• Главная
  • Chemical vapor deposition method of raw material compound for CVD and iridium or iridium compound thin film

Chemical vapor deposition method of raw material compound for CVD and iridium or iridium compound thin film

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for manufacturing thin film

Номер патента: EP4249629A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2023-09-27.

Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof

Номер патента: US20210355581A1. Автор: Hongping Zhao,Zhaoying Chen. Владелец: Ohio State Innovation Foundation. Дата публикации: 2021-11-18.

Method of controlling contamination of vapor deposition apparatus and method of producing epitaxial wafer

Номер патента: US20200392618A1. Автор: Shota Kinose. Владелец: Sumco Corp. Дата публикации: 2020-12-17.

Physical vapor deposition system and methods of operating the same

Номер патента: US20240271271A1. Автор: Yen-Yu Chen,Chia-Hsi Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-15.

Treatment method of emitting layer raw material in oled and application

Номер патента: US20180366686A1. Автор: Jie Xu. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-12-20.

Vapor deposition apparatus and method of manufacturing organic light-emitting display apparatus

Номер патента: US20150191822A1. Автор: Sang-Joon SEO,Jae-eung Oh. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-07-09.

Coils utilized in vapor deposition applications and methods of production

Номер патента: US9659758B2. Автор: Eal Lee,Nicole Truong,Robert Prater,Norm Sand. Владелец: Honeywell International Inc. Дата публикации: 2017-05-23.

Mithrene and methods of fabrication of mithrene

Номер патента: US20190194128A1. Автор: James Nathan Hohman,Mary S. COLLINS,Tess E. SMIDT. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2019-06-27.

Technique for high efficiency metalorganic chemical vapor deposition

Номер патента: US20030049932A1. Автор: Sam Yang,Weimin Li. Владелец: Individual. Дата публикации: 2003-03-13.

CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING LIGHT-EMITTING DIODE DEVICE USING THE SAME

Номер патента: US20160160349A1. Автор: CHO Seong-Joon,CHO Hyun-Su. Владелец: . Дата публикации: 2016-06-09.

GAS DISTRIBUTION PLATE FOR CHEMICAL VAPOR DEPOSITION SYSTEMS AND METHODS OF USING SAME

Номер патента: US20140273409A1. Автор: Pitney John Allen,Hamano Manabu. Владелец: MEMC ELECTRONIC MATERIALS, INC.. Дата публикации: 2014-09-18.

INJECT INSERT LINER ASSEMBLIES FOR CHEMICAL VAPOR DEPOSITION SYSTEMS AND METHODS OF USING SAME

Номер патента: US20140273410A1. Автор: Thomas Shawn,Pitney John Allen,Abedijaberi Arash. Владелец: . Дата публикации: 2014-09-18.

SiC CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING SiC EPITAXIAL WAFER

Номер патента: US20200173023A1. Автор: ATSUMI Hironori,UMETA Yoshikazu. Владелец: SHOWA DENKO K.K.. Дата публикации: 2020-06-04.

Chemical vapor deposition apparatus and method of forming thin layer using same

Номер патента: US20050022741A1. Автор: Young-Wook Park,Jung-Hun Seo,Jae-jong Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-02-03.

Chemical vapor deposition apparatus and method of manufacturing led using the same

Номер патента: KR102025717B1. Автор: 김준우,이재봉,김추호,이원신,허인회. Владелец: 삼성전자주식회사. Дата публикации: 2019-09-27.

METHOD OF CONTROLLING CONTAMINATION OF VAPOR DEPOSITION APPARATUS AND METHOD OF PRODUCING EPITAXIAL WAFER

Номер патента: US20200392618A1. Автор: KINOSE Shota. Владелец: SUMCO CORPORATION. Дата публикации: 2020-12-17.

VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS

Номер патента: US20190048469A1. Автор: Seo Sang-Joon,Oh Jae-Eung. Владелец: . Дата публикации: 2019-02-14.

Vapor deposition apparatus and method of manufacturing organic light-emitting display apparatus

Номер патента: US8986794B2. Автор: Sang-Joon SEO,Jae-eung Oh. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-03-24.

Deposition method, method of manufacturing semiconductor device, and semiconductor device

Номер патента: TW200514161A. Автор: Kazuo Maeda,Yoshimi Shioya. Владелец: Semiconductor Process Lab Co. Дата публикации: 2005-04-16.

HIGH DENSITY PLASMA CHEMICAL VAPOR DEPOSITION CHAMBER AND METHOD OF USING

Номер патента: US20210125811A1. Автор: Liu Ding-I,Lee Wen-Long,Wu Wei-Ching. Владелец: . Дата публикации: 2021-04-29.

Mithrene and methods of fabrication of mithrene

Номер патента: WO2018038898A3. Автор: James Nathan Hohman,Mary S. COLLINS,Tess E. SMIDT. Владелец: The Regents of the University of California. Дата публикации: 2018-03-22.

Mithrene and methods of fabrication of mithrene

Номер патента: WO2018038898A9. Автор: James Nathan Hohman,Mary S. COLLINS,Tess E. SMIDT. Владелец: The Regents of the University of California. Дата публикации: 2018-04-12.

Radio frequency tuned substrate biased physical vapor deposition apparatus and method of operation

Номер патента: US20130284589A1. Автор: Youming Li,Jeffrey Birkmeyer. Владелец: Fujifilm Corp. Дата публикации: 2013-10-31.

Vapor deposition apparatus and method of manufacturing organic light-emitting display apparatus

Номер патента: US20150191822A1. Автор: Sang-Joon SEO,Jae-eung Oh. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-07-09.

Coils utilized in vapor deposition applications and methods of production

Номер патента: US20060213769A1. Автор: Eal Lee,Nicole Truong,Robert Prater,Norm Sand. Владелец: Honeywell International Inc. Дата публикации: 2006-09-28.

Electron beam vapor deposition apparatus and method of coating

Номер патента: SG165297A1. Автор: James W Neal. Владелец: United Technologies Corp. Дата публикации: 2010-10-28.

Electron beam vapor deposition apparatus and method of coating

Номер патента: US20100242841A1. Автор: James W. Neal. Владелец: Individual. Дата публикации: 2010-09-30.

Method and system for forming copper thin film

Номер патента: US20020052109A1. Автор: Atsushi Sekiguchi,Akiko Kobayashi,Toshiaki Sasaki,Susumu Akiyama,Minjuan Zhang. Владелец: Individual. Дата публикации: 2002-05-02.

Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition

Номер патента: WO1999057330A9. Автор: Seshu B Desu,John J Senkevich. Владелец: Seshu B Desu. Дата публикации: 2000-02-10.

High pressure spatial chemical vapor deposition system and related process

Номер патента: US20240209502A1. Автор: Siddha Pimputkar. Владелец: Lehigh University. Дата публикации: 2024-06-27.

Apparatuses for thin film deposition

Номер патента: US12024772B2. Автор: Antti Niskanen,Yukihiro Mori,Suvi Haukka,Eva Tois,Hidemi Suemori,Jun Kawahara,Raija Matero,Jaako Anttila. Владелец: ASM IP Holding BV. Дата публикации: 2024-07-02.

Chemical vapor deposition of chalcogenide materials

Номер патента: CA2595761A1. Автор: Stanford R. Ovshinsky,Smuruthi Kamepalli. Владелец: Individual. Дата публикации: 2006-08-10.

Susceptor for a chemical vapor deposition reactor

Номер патента: WO2021167847A1. Автор: Alex Ignatiev,Shahab Khandan,Mikhail NOVOZHILOV,James JEWETT. Владелец: Metox Technologies, Inc.. Дата публикации: 2021-08-26.

Method of making composite substrate from sic

Номер патента: RU2728484C2. Автор: Содзи АКИЯМА,Йосихиро КУБОТА,Хироюки НАГАСАВА. Владелец: Кусик Инк.. Дата публикации: 2020-07-29.

Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Номер патента: EP1204987A4. Автор: ZHENG Yuan,Sanjeev Jain. Владелец: ASML US Inc. Дата публикации: 2002-10-30.

Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Номер патента: EP1204987A1. Автор: ZHENG Yuan,Sanjeev Jain. Владелец: ASML US Inc. Дата публикации: 2002-05-15.

Metal-organic (MO) chemical vapor deposition method and MO chemical vapor deposition reactor

Номер патента: US6180541B1. Автор: Jae-Hyun Joo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-01-30.

Atomic layer deposition and etching of transition metal dichalcogenide thin films

Номер патента: US20230250534A1. Автор: Mikko Ritala,Markku Leskelä,Jani Hämäläinen. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-10.

Method for making polycrystalline silicon thin film

Номер патента: US5344796A. Автор: Jong Y. Shin,Suk B. Ma. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1994-09-06.

Binary and ternary metal chalcogenide materials and method of making the same

Номер патента: EP2130942A3. Автор: Liu Yang,Manchao Xiao. Владелец: Air Products and Chemicals Inc. Дата публикации: 2012-12-05.

System and method for chemical vapor deposition process control

Номер патента: EP2109878A1. Автор: Michael W. Stowell. Владелец: Applied Materials Inc. Дата публикации: 2009-10-21.

A chemical vapor deposition chamber article

Номер патента: WO2020242292A8. Автор: Marcus Gerardus Van Munster,Guiming SONG. Владелец: Schunk Xycarb Technology B.V.. Дата публикации: 2021-01-28.

Method of forming ferroelectric film

Номер патента: US20040259275A1. Автор: Takeshi Kijima,Eiji Natori,Yasuaki Hamada. Владелец: Seiko Epson Corp. Дата публикации: 2004-12-23.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: EP1897131A2. Автор: Ya-Hong Xie. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2008-03-12.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: WO2007001296A2. Автор: Ya-Hong Xie. Владелец: The Regents of the University of California. Дата публикации: 2007-01-04.

Method of manufacturing a semiconductor device with a contact hole

Номер патента: US20020182854A1. Автор: Junichi Miyano,Kiyohiko Toshikawa,Yoshikazu Motoyama. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-12-05.

Thin film growth modulation using wafer bow

Номер патента: WO2023147136A1. Автор: Hu Kang,Ming Li,Xin Meng,Defu LIANG,Joseph Lindsey Womack. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2023-08-03.

Metal organic chemical vapor deposition apparatus for solar cell

Номер патента: US20160225933A1. Автор: Heonmin Lee,Dongjoo YOU,Wonki Yoon. Владелец: LG ELECTRONICS INC. Дата публикации: 2016-08-04.

Metalorganic chemical vapor deposition system and method

Номер патента: US20200115800A1. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2020-04-16.

Methods of fabricating interconnects for semiconductor components

Номер патента: US7410898B2. Автор: Shuang Meng,Garo J. Derderian,Kyle K. Kirby. Владелец: Micron Technology Inc. Дата публикации: 2008-08-12.

Methods of fabricating interconnects for semiconductor components

Номер патента: US20050186777A1. Автор: Kyle Kirby,Shuang Meng,Garo Derderian. Владелец: Individual. Дата публикации: 2005-08-25.

Methods of fabricating interconnects for semiconductor components

Номер патента: SG131950A1. Автор: Shuang Meng,Kyle K Kirby,Garo J Derderian. Владелец: Micron Technology Inc. Дата публикации: 2007-05-28.

Chemical vapor deposition of perovskite thin films

Номер патента: US20190074439A1. Автор: Xiao Chen,Parag Banerjee,Peifu Cheng,Yoon Myung. Владелец: Washington University in St Louis WUSTL. Дата публикации: 2019-03-07.

Method of producing thin-film

Номер патента: US20240018654A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2024-01-18.

Method for manufacturing thin film

Номер патента: EP4249631A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2023-09-27.

Atomic-layer-chemical-vapor-deposition of films that contain silicon dioxide

Номер патента: EP1248865A1. Автор: Suvi Haukka,Marko Tuominen,Eva Aro. Владелец: ASM Microchemistry Oy. Дата публикации: 2002-10-16.

Method and system for inline chemical vapor deposition

Номер патента: WO2012170166A3. Автор: Piero Sferlazzo,Thomas Michael Lampros. Владелец: AVENTA TECHNOLOGIES LLC. Дата публикации: 2013-04-25.

High pressure spatial chemical vapor deposition system and related process

Номер патента: US11885018B2. Автор: Siddha Pimputkar. Владелец: Lehigh University. Дата публикации: 2024-01-30.

Method for forming an aluminum metallic thin film by vapor phase growth on a semiconductor substrate

Номер патента: US4430364A. Автор: Takashi Ito. Владелец: Fujitsu Ltd. Дата публикации: 1984-02-07.

Plasma enhanced wafer soak for thin film deposition

Номер патента: WO2020081235A1. Автор: Ming Li,Tu Hong,Arul N. Dhas. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2020-04-23.

Method of an apparatus for forming thin film for semiconductor device

Номер патента: US5240505A. Автор: Masanobu Iwasaki,Hiromi Itoh. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-08-31.

Methods for thin film deposition

Номер патента: US20170032956A1. Автор: Antti Niskanen,Yukihiro Mori,Suvi Haukka,Eva Tois,Hidemi Suemori,Jun Kawahara,Raija Matero,Jaako Anttila. Владелец: ASM IP Holding BV. Дата публикации: 2017-02-02.

Susceptor for a chemical vapor deposition reactor

Номер патента: EP4107795A1. Автор: Alex Ignatiev,Shahab Khandan,Mikhail NOVOZHILOV,James JEWETT. Владелец: Metox Technologies Inc. Дата публикации: 2022-12-28.

Plasma enhanced wafer soak for thin film deposition

Номер патента: US12014921B2. Автор: Ming Li,Tu Hong,Arul N. Dhas. Владелец: Lam Research Corp. Дата публикации: 2024-06-18.

Device, system and method for plasma-enhanced chemical vapor deposition

Номер патента: CA3192596A1. Автор: Jens-Uwe FUCHS,Ralf Reize,Mirko Tröller,Roland Leichtle. Владелец: Individual. Дата публикации: 2022-03-24.

Chemical Vapor Deposition Diamond (CVDD) Wires for Thermal Transport

Номер патента: US20210143080A1. Автор: Philip Andrew Swire,Nina Biddle. Владелец: Microsemi Semiconductor Ltd. Дата публикации: 2021-05-13.

Superhard dielectric compounds and methods of preparation

Номер патента: WO2003058644A2. Автор: John Kouvetakis,John Tolle,I. S. T. Tsong,Levi Torrison. Владелец: Arizona Board of Regents. Дата публикации: 2003-07-17.

Superhard dielectric compounds and methods of preparation

Номер патента: US20040191151A1. Автор: John Kouvetakis,John Tolle,Levi Torrison,I S T Tsong. Владелец: Individual. Дата публикации: 2004-09-30.

Metalorganic chemical vapor deposition of zinc oxide

Номер патента: WO2009131842A1. Автор: Bunmi T. Adekore,Jonathan Pierce. Владелец: Lumenz, Inc.. Дата публикации: 2009-10-29.

Metalorganic chemical vapor deposition of zinc oxide

Номер патента: EP2279284A1. Автор: Bunmi T. Adekore,Jonathan Pierce. Владелец: LUMENZ Inc. Дата публикации: 2011-02-02.

Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition

Номер патента: US20030111009A1. Автор: Vadim Boguslavskiy,Alexander Gurary. Владелец: Emcore Corp. Дата публикации: 2003-06-19.

Semiconductor devices having ruthenium phosphorus thin films

Номер патента: WO2017099770A1. Автор: Han Wui Then,Scott B. Clendenning,Michael L. McSwiney,John J. Plombon. Владелец: Intel Corporation. Дата публикации: 2017-06-15.

Method of depositing textured tin oxide

Номер патента: CA1333461C. Автор: Anthony W. Catalano,Charles M. Fortmann,James G. O'dowd,Ora Jean Lee. Владелец: Solarex Corp. Дата публикации: 1994-12-13.

Laser-enhanced chemical vapor deposition

Номер патента: US20230203660A1. Автор: Rodney S. Harris,Stephen G. Topping. Владелец: River Electro Optics LLC. Дата публикации: 2023-06-29.

Migration and plasma enhanced chemical vapor deposition

Номер патента: CA2756994C. Автор: Kenneth Scott Alexander Butcher. Владелец: Individual. Дата публикации: 2017-03-07.

Microwave apparatus for depositing thin films

Номер патента: CA2146369C. Автор: Stanford R. Ovshinsky,Masatsugu Izu,Wataru Hasegawa,Buddie R. Ii Dotter. Владелец: Energy Conversion Devices Inc. Дата публикации: 1999-06-15.

Chemical vapor deposition method of growing oxide films with giant magnetoresistance

Номер патента: US5487356A. Автор: Jiming Zhang,Yi-Oun Li. Владелец: Advanced Technology Materials Inc. Дата публикации: 1996-01-30.

Apparatus for forming thin film

Номер патента: US6079358A. Автор: Dae-won Kim,Sang-Soon Bae. Владелец: SK Corp. Дата публикации: 2000-06-27.

High density plasma chemical vapor deposition chamber

Номер патента: US20020112666A1. Автор: Pei-Ren Jeng. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-22.

Chemical vapor deposition tool and operating method thereof

Номер патента: US20170032940A1. Автор: Chien-Ta Lee,Pen-Li HUNG,Yu-Shan SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-02.

Plasma chemical vapor deposition device

Номер патента: US10151033B2. Автор: Yuji Takano,Hiromichi Nakata,Yoji Sato,Takayasu Sato,Kazutaka Tachibana,Osamu Ariyada,Ryo TSURUMOTO. Владелец: Toyota Motor Corp. Дата публикации: 2018-12-11.

Plasma enhanced chemical vapor deposition of graphene on optical fibers

Номер патента: US20230212743A1. Автор: Nai-Chang Yeh,Deepan Kishore Kumar. Владелец: California Institute of Technology CalTech. Дата публикации: 2023-07-06.

Vapor deposition apparatus and method of coating a substrate in a vacuum chamber

Номер патента: CN115667574A. Автор: 斯蒂芬·班格特,安德烈亚斯·勒普. Владелец: Applied Materials Inc. Дата публикации: 2023-01-31.

Method of modifying feather raw material

Номер патента: WO2019068960A1. Автор: Anne Kallioinen,Jouko Savolainen. Владелец: Hkscan Oyj. Дата публикации: 2019-04-11.

Compound for the aluminum film from chemical vapor deposition and the method of synthesis

Номер патента: US6380383B1. Автор: Hyun-koock Shin. Владелец: Rohm and Haas Co. Дата публикации: 2002-04-30.

Power Supply Apparatus for Chemical Vapor Deposition Apparatus and Method of controlling the same

Номер патента: KR101122215B1. Автор: 유효열. Владелец: 주식회사 다원시스. Дата публикации: 2012-03-20.

Vapor emission device, organic thin-film vapor deposition apparatus and method of organic thin-film vapor deposition

Номер патента: CN101803462A. Автор: 根岸敏夫,越田达彦. Владелец: Ulvac Inc. Дата публикации: 2010-08-11.

Vapor deposition system and method of operating

Номер патента: WO2008121601A1. Автор: Jacques Faguet. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2008-10-09.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: AU2003232015A1. Автор: Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2003-11-17.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1502292B1. Автор: Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2010-08-25.

Vapor deposition method for the gaas thin film

Номер патента: CA1274429A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1990-09-25.

Deposition method over mixed substrates using trisilane

Номер патента: EP1887617A3. Автор: Michael A. Todd. Владелец: ASM America Inc. Дата публикации: 2015-07-29.

Method of manufacturing silicon carbide structure

Номер патента: US8865519B2. Автор: Joung Il Kim,Jae Seok Lim,Mi-Ra Yoon. Владелец: Tokai Carbon Korea Co Ltd. Дата публикации: 2014-10-21.

Capacitor having a physical vapor deposited electrode and method of manufacture

Номер патента: US20020044405A1. Автор: Ashish Shah,Barry Muffoletto,Neal Nesselbeck. Владелец: Greatbatch Ltd. Дата публикации: 2002-04-18.

Process for preparing contact lens with film by plasma enhanced chemical vapor deposition

Номер патента: US20190310494A1. Автор: Wen-Pin Lin,Meng-Jiy WANG. Владелец: Brighten Optix Corp. Дата публикации: 2019-10-10.

Chemical vapor deposition of dense and transparent zirconia films

Номер патента: US5145720A. Автор: Toshio Hirai,Hisanori Yamane. Владелец: SUMITOMO METAL MINING CO LTD. Дата публикации: 1992-09-08.

Cerium oxide-titanium oxide composite thin film electrode

Номер патента: US20220396871A1. Автор: Muhammad Ali Ehsan,Abdul REHMAN. Владелец: KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS. Дата публикации: 2022-12-15.

Method of manufacturing a piezoelectric thin film

Номер патента: US12063023B2. Автор: Sang Jeong An. Владелец: Wavelord Co Ltd. Дата публикации: 2024-08-13.

Compounds for forming alumina films using chemical vapor deposition method and process for preparing the compound

Номер патента: US20030010256A1. Автор: Hyun-koock Shin. Владелец: Shipley Co LLC. Дата публикации: 2003-01-16.

Embedded wire chemical vapor deposition (ewcvd)

Номер патента: EP4363630A1. Автор: Joseph Pegna,Kirk L. Williams,Shay L. Harrison. Владелец: Free Form Fibers LLC. Дата публикации: 2024-05-08.

Chemical vapor deposition of aluminum films using dimethylethylamine alane

Номер патента: US5191099A. Автор: Everett C. Phillips,Wayne L. Gladfelter. Владелец: University of Minnesota. Дата публикации: 1993-03-02.

Method of calcining a raw material to obtain a cementitious material

Номер патента: US20240018039A1. Автор: Beat Stoffel,Ernst Bucher,Karl-Heinz Boes,Mirko Weber. Владелец: Holcim Technology Ltd. Дата публикации: 2024-01-18.

Method of burning pulverous raw material and rotary kiln plant therefor

Номер патента: US4025295A. Автор: Jørn TOUBORG. Владелец: FLSmidth and Co AS. Дата публикации: 1977-05-24.

Method of calcining a raw material to obtain a cementitious material

Номер патента: WO2024105534A1. Автор: Michael Weihrauch,Ernst Bucher,Ralf Oßwald,Hans Rudolf BLUM. Владелец: Holcim Technology Ltd. Дата публикации: 2024-05-23.

Method of separating animal raw material

Номер патента: CA1099139A. Автор: Poul Filstrup. Владелец: De Laval Separator Co. Дата публикации: 1981-04-14.

Method of processing plant raw materials

Номер патента: CA2528242C. Автор: Viktor Ivanovich Roschin,Vagif Sultanovich Sultanov. Владелец: Individual. Дата публикации: 2014-02-18.

Method of cleaning hydrocarbon raw materials

Номер патента: RU2629939C2. Автор: Изабелль ВИЛЛЬШАНЖ,Том ФРИЗИНГ. Владелец: Аксенс. Дата публикации: 2017-09-05.

Improvements in or relating to methods of enriching valuable raw materials

Номер патента: GB1242444A. Автор: R Saunal. Владелец: MINES D ANDERNY CHEVILLON SOC. Дата публикации: 1971-08-11.

A kind of preparation method of Cefmenoxime Hcl raw material

Номер патента: CN106117246B. Автор: 芦红代. Владелец: Individual. Дата публикации: 2018-02-27.

The method of processing hydrocarbon raw materials

Номер патента: SU448651A3. Автор: Эрнест Поллитцер. Владелец: Ойл Продактс Компани (Фирма). Дата публикации: 1974-10-30.

Preparation method of cefotetan disodium raw material medicine

Номер патента: CN112552316A. Автор: 张德武,刘晓彤,李军军,侯善波,凌瑜莲. Владелец: Shandong Yuxin Pharmaceutical Co ltd. Дата публикации: 2021-03-26.

Apparatus for chemical vapor deposition and cleaning method of chemical vapor deposition

Номер патента: KR101232900B1. Автор: 이창엽. Владелец: 엘아이지에이디피 주식회사. Дата публикации: 2013-02-13.

CHEMICAL VAPOR DEPOSITION PROCESS AND METHOD OF FORMING FILM

Номер патента: US20220033964A1. Автор: Chen Pei-Yu,Hung Wan-Yu. Владелец: WINBOND ELECTRONICS CORP.. Дата публикации: 2022-02-03.

CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD OF FORMING FILM

Номер патента: US20220170153A1. Автор: MORI Chikara,YAMAMURA Waichi. Владелец: SHIN-ETSU CHEMICAL CO., LTD.. Дата публикации: 2022-06-02.

Gas distribution manifold system for chemical vapor deposition reactors and method of use

Номер патента: US20140224175A1. Автор: Arash Abedijaberi. Владелец: SunEdison Inc. Дата публикации: 2014-08-14.

CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD OF FORMING FILM

Номер патента: US20190360094A1. Автор: MORI Chikara,YAMAMURA Waichi. Владелец: SHIN-ETSU CHEMICAL CO., LTD.. Дата публикации: 2019-11-28.

Low temperature thermal chemical vapor deposition apparatus and method of synthesizing carbon nanotube using the same

Номер патента: KR100334351B1. Автор: 유재은,이철진. Владелец: 최규술. Дата публикации: 2002-04-25.

Gas feeding system for chemical vapor deposition reactor and method of controlling the same

Номер патента: EP1038048B1. Автор: Kyu-Hong Lee,Won-Gu Kang,Sang-Won Kang. Владелец: Genitech Co Ltd. Дата публикации: 2003-01-15.

Methods of making nanopowders, nanoceramic materials and nanoceramic components

Номер патента: US20220234959A1. Автор: Xiaowei Wu,Guodong Zhan,Jennifer Y. Sun,Xiao Ming He. Владелец: Applied Materials Inc. Дата публикации: 2022-07-28.

PHYSICAL VAPOR DEPOSITION APPARATUS AND METHOD OF DEPOSITING PHASE-CHANGE MATERIALS USING THE SAME

Номер патента: US20160102396A1. Автор: WU Zhe,Park Jeong-Hee,Park Jung-Hwan,Ahn Dong-ho. Владелец: . Дата публикации: 2016-04-14.

Securing Base, Vapor Deposition Apparatus and Method of Measuring Deformation of To-Be-Treated Substrate

Номер патента: US20170101714A1. Автор: HUANG Lei. Владелец: . Дата публикации: 2017-04-13.

VAPOR DEPOSITION SYSTEM AND METHOD OF OPERATING

Номер патента: US20160024651A1. Автор: Faguet Jacques. Владелец: . Дата публикации: 2016-01-28.

Ceramic compositions, physical vapor deposition targets and methods of forming ceramic compositions

Номер патента: US6277254B1. Автор: Jianxing Li,Qi Tan. Владелец: Honeywell International Inc. Дата публикации: 2001-08-21.

Exhaust system for vapor deposition reactor and method of using the same

Номер патента: US6572924B1. Автор: Michael W. Halpin. Владелец: ASM America Inc. Дата публикации: 2003-06-03.

Evaporation source, vapor deposition apparatus and method of film formation

Номер патента: KR101167547B1. Автор: 도시오 네기시. Владелец: 가부시키가이샤 알박. Дата публикации: 2012-07-20.

Physical vapor deposition targets and methods of formation

Номер патента: KR100642881B1. Автор: 바산쓰 모한,지앙씽 리,티모띠 에이. 스캇. Владелец: 마이크론 테크놀로지, 인크.. Дата публикации: 2006-11-10.

Deposition apparatus, deposition method, method of manufacturing liquid crystal device

Номер патента: US20080075856A1. Автор: Hiroyuki Kojima. Владелец: Seiko Epson Corp. Дата публикации: 2008-03-27.

Oled display panel and encapsulating method of same

Номер патента: US20210408459A1. Автор: Lei Zhao. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2021-12-30.

Method of consumer/producer raw material selection

Номер патента: US20150006112A1. Автор: Kenneth P. Rodbell,Emmanuel Yashchin,Michael S. Gordon. Владелец: International Business Machines Corp. Дата публикации: 2015-01-01.

Bottom gate type thin film transistor, its manufacturing method and liquid crystal display device using the same

Номер патента: WO2002001603A3. Автор: Teizo Yukawa. Владелец: Teizo Yukawa. Дата публикации: 2002-08-08.

Vapor deposition mask and method of depositing using the same

Номер патента: KR100637226B1. Автор: 김형민,남궁성태,조영수,금지환,지창순. Владелец: 삼성에스디아이 주식회사. Дата публикации: 2006-10-23.

VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS

Номер патента: US20140026814A1. Автор: Kim Jae-Hyun,Huh Myung-Soo,Kim Jin-Kwang. Владелец: . Дата публикации: 2014-01-30.

Method of fabricating a TFT-EL pixel

Номер патента: US5550066A. Автор: Ching W. Tang,Biay C. Hseih. Владелец: Eastman Kodak Co. Дата публикации: 1996-08-27.

Organic zinc precursor and ZnO thin-film deposition by MOCVD

Номер патента: US7514586B2. Автор: Chrong-Ching Lee,Ren-Bor Lin. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2009-04-07.

Method of forming paracyclophane containing functional group with disulfide bond

Номер патента: US10336692B2. Автор: Chih-Yu Wu,Hsien-Yeh Chen,Zhen-Yu Guan. Владелец: May-Hwa Enterprise Corp. Дата публикации: 2019-07-02.

Method of sputtering a carbon protective film on a magnetic disk with high sp3 carbon.

Номер патента: MY124998A. Автор: PENG Gang,YAMASHITA Tsutomu,Chen Tu,Hong Liu Wen. Владелец: Komag Incorporated. Дата публикации: 2006-07-31.

Method of operating filament assisted chemical vapor deposition system

Номер патента: WO2012112334A2. Автор: Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2012-08-23.

Chemical vapor deposition system arrangement

Номер патента: US20160053375A1. Автор: William David Grove,Nicholas Peter Deskevich. Владелец: Silcotek Corp. Дата публикации: 2016-02-25.

High surface area, high porosity iridium-based catalyst and method of making

Номер патента: WO2024145088A1. Автор: Chunqing Liu,Dennis F. VAN DER VLIET,Zhanyong LI,Stacey DEPTUCH. Владелец: UOP LLC. Дата публикации: 2024-07-04.

High surface area, high porosity iridium-based catalyst and method of making

Номер патента: US20240218538A1. Автор: Chunqing Liu,Dennis F. VAN DER VLIET,Zhanyong LI,Stacey DEPTUCH. Владелец: UOP LLC. Дата публикации: 2024-07-04.

Method and apparatus of forming thin films

Номер патента: US5755888A. Автор: Shigenori Hayashi,Hideo Torii,Ryoichi Takayama,Eiji Fujii. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-05-26.

Method of reducing stress-induced mechanical problems in optical-quality thin films

Номер патента: EP1302792A3. Автор: Luc Ouellet,Jonathan Lachance. Владелец: Dalsa Semiconductor Inc. Дата публикации: 2004-08-04.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: EP4395800A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Individual. Дата публикации: 2024-07-10.

Shell connection device and chemical vapor deposition apparatus

Номер патента: LU505605B1. Автор: Haibo Huo. Владелец: Univ Zhengzhou Aeronautics. Дата публикации: 2024-05-24.

CHEMICAL VAPOR DEPOSITION OF CuInXGa1- X(SeyS1-y)2 THIN FILMS AND USES THEREOF

Номер патента: WO2008151067A3. Автор: Tim Anderson,W K Kim. Владелец: W K Kim. Дата публикации: 2009-02-19.

Method of forming a conformal oxide film

Номер патента: US20020106907A1. Автор: Ching-Yu Chang. Владелец: Individual. Дата публикации: 2002-08-08.

Fluoro-containing thermal chemical vapor deposition process and article

Номер патента: US20180163308A1. Автор: David A. Smith. Владелец: Silcotek Corp. Дата публикации: 2018-06-14.

Silicon-nitride-containing thermal chemical vapor deposition coating

Номер патента: US20170167015A1. Автор: David A. Smith,Min YUAN,James B. Mattzela. Владелец: Silcotek Corp. Дата публикации: 2017-06-15.

Susceptor and apparatus for cvd with the susceptor

Номер патента: US20120031338A1. Автор: Won Shin LEE. Владелец: Samsung LED Co Ltd. Дата публикации: 2012-02-09.

Atomic layer or chemical vapor deposition process for nitride or oxide films

Номер патента: WO2023150265A1. Автор: PRASAD NARHAR GADGIL,Peter Joseph DUSZA. Владелец: PRASAD NARHAR GADGIL. Дата публикации: 2023-08-10.

Variable-temperature vapor deposition process

Номер патента: US20240209498A1. Автор: Paul Connolly Quayle. Владелец: Great Lakes Crystal Technologies Inc. Дата публикации: 2024-06-27.

Compound, thin-film forming raw material, thin-film, and method of producing thin-film

Номер патента: US20240318304A1. Автор: Masako HATASE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2024-09-26.

Method of making a multilayer tool surface with PCNA interruption of CVD, and tool made by the process

Номер патента: US5869147A. Автор: Udo KÖNIG. Владелец: Widia GmbH. Дата публикации: 1999-02-09.

Precursor selection method for chemical vapor deposition techniques

Номер патента: US20080243460A1. Автор: Timothy P. Holme,Masayuki Sugawara,Friedrick B. Prinz. Владелец: Individual. Дата публикации: 2008-10-02.

Method for preventing vacuum pump pipeline from blockage, and chemical vapor deposition machine

Номер патента: US20200208262A1. Автор: Jianfeng SHAN. Владелец: HKC Co Ltd. Дата публикации: 2020-07-02.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: MY174019A. Автор: Wenjun Qin,Aaron D Rhodes,Chad Fero,Jeffrey C Gum. Владелец: OCI Co Ltd. Дата публикации: 2020-03-04.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: WO2014100401A1. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron D. RHODES. Владелец: GTAT CORPORATION. Дата публикации: 2014-06-26.

Methods and Systems for Stabilizing Filaments in a Chemical Vapor Deposition Reactor

Номер патента: US20140170337A1. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron Dean Rhodes. Владелец: GTAT Corp. Дата публикации: 2014-06-19.

Processing system and method for chemical vapor deposition

Номер патента: EP1100980A2. Автор: Joseph T. Hillman. Владелец: Tokyo Electron Ltd. Дата публикации: 2001-05-23.

Chemical vapor deposition method for the thin film of semiconductor

Номер патента: CA1268688A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1990-05-08.

Chemical vapor deposition method for the thin film of semiconductor

Номер патента: US4705700A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1987-11-10.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US10556799B2. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2020-02-11.

Apparatus and method for chemical vapor deposition control

Номер патента: EP2580368A1. Автор: Eric J. Strang,Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-04-17.

Thin film diamond coating system and method

Номер патента: US20180127871A1. Автор: Adam Khan,Robert Polak. Владелец: Individual. Дата публикации: 2018-05-10.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US20170096345A1. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2017-04-06.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US20180339907A1. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2018-11-29.

Initiated chemical vapor deposition and structuration of polyoxymethylene

Номер патента: US20220372201A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN. Владелец: DREXEL UNIVERSITY. Дата публикации: 2022-11-24.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20020051847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-05-02.

Initiated chemical vapor deposition and structuration of polyoxymethylene

Номер патента: WO2021163025A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN. Владелец: Drexel Uniiversity. Дата публикации: 2021-08-19.

Lanthanum cerium oxide thin film and its preparation thereof

Номер патента: MY153033A. Автор: Cheong Kuan Dr Yew,Zainovia Dr Lockman,Lim Way Foong. Владелец: Univ Sains Malaysia. Дата публикации: 2014-12-31.

Inverted diffusion stagnation point flow reactor for vapor deposition of thin films

Номер патента: CA2016970A1. Автор: Prasad N. Gadgil. Владелец: SIMON FRASER UNIVERSITY. Дата публикации: 1991-11-16.

Systems and methods for production of graphene by plasma-enhanced chemical vapor deposition

Номер патента: US20140255621A1. Автор: Peter V. Bedworth,Steven W. Sinton. Владелец: Lockheed Martin Corp. Дата публикации: 2014-09-11.

Corrosion-resistant coated article and thermal chemical vapor deposition coating process

Номер патента: US12036765B2. Автор: Min YUAN. Владелец: Silcotek Corp. Дата публикации: 2024-07-16.

Metal organic chemical vapor deposition apparatus

Номер патента: US20240175135A1. Автор: Sung-Chul Choi,Kwang-Il Cho. Владелец: Tes Co Ltd. Дата публикации: 2024-05-30.

Chemical vapor deposition of thick inorganic coating on a polarizer

Номер патента: US11746418B2. Автор: Brian Johnson,Matthew R. Linford,Anubhav Diwan. Владелец: Moxtek Inc. Дата публикации: 2023-09-05.

Method of determining deposition temperature

Номер патента: US20040146643A1. Автор: Wen-Cheng Lien,Shih-Liang Chou,Tsung-De Lin,Tian-Jue Hong,Tsung-Chin Wu,Kou-Yow Tseng. Владелец: Macronix International Co Ltd. Дата публикации: 2004-07-29.

Chemical vapor deposition system and method

Номер патента: EP1204782A1. Автор: Robert J. Bailey,Thomas E. Kane,Lisa H. Michael. Владелец: Silicon Valley Group Thermal Systems LLC. Дата публикации: 2002-05-15.

CONTAMINATION CONTROL METHOD OF VAPOR DEPOSITION APPARATUS AND METHOD OF PRODUCING EPITAXIAL SILICON WAFER

Номер патента: US20160097144A1. Автор: NOGAMI Syouji. Владелец: SUMCO CORPORATION. Дата публикации: 2016-04-07.

Chemical vapor deposition process and coated article

Номер патента: US20160060763A1. Автор: David A. Smith,Min YUAN,James B. Mattzela,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2016-03-03.

Fluorine compounds for doping conductive oxide thin films

Номер патента: US20110070371A1. Автор: Xiaonan Li,Carrie L. Wyse,Robert Torres, Jr.,Teresa M. Barnes,Tim Gessert. Владелец: Matheson Tri-Gas Inc. Дата публикации: 2011-03-24.

Method of in-situ cleaning for LPCVD TEOS pump

Номер патента: US6498104B1. Автор: David Chi,Kent Kuohua Chang,Fuodoor Gologhlan,Hector Serrato. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-12-24.

Process for the deposition of thin layers by chemical vapor deposition

Номер патента: US20020127338A1. Автор: Annette Saenger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2002-09-12.

Method of coloring polyeseter raw material and colored material thereby

Номер патента: JPS5382855A. Автор: Ikubaru Aburu,Riinharuto Pauru. Владелец: Ciba Geigy AG. Дата публикации: 1978-07-21.

Chemical vapor deposition method of silicon dioxide film

Номер патента: US5360646A. Автор: Katsumi Morita. Владелец: Applied Materials Inc. Дата публикации: 1994-11-01.

Process for chemical vapor deposition of transition metal nitrides

Номер патента: WO1991008322A1. Автор: Roy G. Gordon,Renaud Fix,David Hoffman. Владелец: President and Fellows of Harvard College. Дата публикации: 1991-06-13.

Method of forming a film on a substrate by chemical vapor deposition

Номер патента: US11885022B2. Автор: Waichi Yamamura,Chikara MORI. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-01-30.

Thermal chemical vapor deposition coating

Номер патента: US20180258529A1. Автор: James B. Mattzela,Paul H. Silvis,Gary A. Barone,Thomas F. Vezza,William David Grove. Владелец: Silcotek Corp. Дата публикации: 2018-09-13.

METHOD OF PRODUCING CARBIDE RAW MATERIAL

Номер патента: US20180087186A1. Автор: Chen Hsueh-I,Ma Dai-liang,Yu Bang-Ying,Ko Cheng-Jung,Lin Bo-Cheng,YEH SHU-YU. Владелец: . Дата публикации: 2018-03-29.

METHOD OF TREATING RECYCLABLE RAW MATERIALS

Номер патента: US20150337409A1. Автор: Oguma Nobuhiro,Tanaka Fumito. Владелец: MITSUBISHI MATERIALS CORPORATION. Дата публикации: 2015-11-26.

METHOD OF PROCESSING HYDROCARBON RAW MATERIALS

Номер патента: SU432726A3. Автор: . Владелец: Иностранна фирма Юниверсал Ойл Продактс Компани. Дата публикации: 1974-06-15.

Method of processing petroleum raw material

Номер патента: SU703028A3. Автор: Артур Гембики Стэнли,Айвор Хаммерман Джон. Владелец: Юоп Инк (Фирма). Дата публикации: 1979-12-05.

METHOD OF PREPARING CHLOROMAGNY RAW MATERIALS

Номер патента: SU379672A1. Автор: . Владелец: . Дата публикации: 1973-04-20.

Recharging method of polycrystalline silicon raw material

Номер патента: JP5777336B2. Автор: 英生 加藤,聡子 吉村,加藤 英生,武士 二宮. Владелец: SILTRONIC AG. Дата публикации: 2015-09-09.

Method of obtaining slag raw material

Номер патента: SU604478A3. Автор: Х.Эванс Раймонд. Владелец: Дзе Калюмит Компани, (Фирма). Дата публикации: 1978-04-25.

Purification method of chalcogenide glass raw material

Номер патента: JPH0699164B2. Автор: 準治 西井,隆司 山岸,郁夫 稲川. Владелец: 非酸化物ガラス研究開発株式会社. Дата публикации: 1994-12-07.

Method of preparing cement raw material

Номер патента: SU676190A3. Автор: Эрик Гуде Клаус,Лунд Бьерн. Владелец: А/О Ниро Атомайзер (Фирма). Дата публикации: 1979-07-25.

A method of aweto mycelium raw material is produced using mutagenic strain

Номер патента: CN105586271B. Автор: 李婷婷,王雪梅,刘伟民,程宇,王冲之,伍娟. Владелец: Jiangsu University. Дата публикации: 2019-04-02.

Method of processing plant raw materials

Номер патента: CA2528242A1. Автор: Viktor Ivanovich Roschin,Vagif Sultanovich Sultanov. Владелец: Vagif Sultanovich Sultanov. Дата публикации: 2004-12-16.

Purification method of gypsum-containing raw material

Номер патента: CN108946783B. Автор: 张超,武占月,任佳楠. Владелец: Beijing Zhongjin Ruifeng Environmental Protection Technology Co ltd. Дата публикации: 2022-04-22.

Method for etching and controlled chemical vapor deposition

Номер патента: US4468283A. Автор: Irfan Ahmed. Владелец: Individual. Дата публикации: 1984-08-28.

Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking

Номер патента: CA1166129A. Автор: Bernard M. Kemlage. Владелец: International Business Machines Corp. Дата публикации: 1984-04-24.

Method of producing tungsten rhenium alloys by chemical vapor deposition

Номер патента: US3637374A. Автор: Frederick A Glaski,Robert A Holzi,James R Humphrey. Владелец: Fansteel Inc. Дата публикации: 1972-01-25.

Chemical vapor deposition apparatus for forming thin film

Номер патента: US5209182A. Автор: Tomohiro Ohta,Eiichi Kondoh,Kenichi Otsuka,Tohru Mitomo,Hiroshi Sekihashi. Владелец: Kawasaki Steel Corp. Дата публикации: 1993-05-11.

Selective area chemical vapor deposition

Номер патента: CA2028438C. Автор: Michael A. Pickering,Raymond L. Taylor,Joseph T. Keeley,Jitendra Singh Goela. Владелец: CVD Inc. Дата публикации: 1993-11-30.

Gas delivering apparatus for chemical vapor deposition

Номер патента: US6123776A. Автор: Kuen-Jian Chen,Horng-Bor Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-09-26.

Triangular deposition chamber for a vapor deposition system

Номер патента: CA2120092C. Автор: Jitendra S. Goela,Lee E. Burns,James C. Macdonald,Alexander Teverovsky. Владелец: CVD Inc. Дата публикации: 1997-05-20.

Antimicrobial nanolaminates using vapor deposited methods

Номер патента: US20230072705A1. Автор: Prerna Goradia. Владелец: Individual. Дата публикации: 2023-03-09.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: WO2023031951A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Prerna Goradia. Дата публикации: 2023-03-09.

Modular tray for solid chemical vaporizing chamber

Номер патента: WO2023059827A1. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: ENTEGRIS, INC.. Дата публикации: 2023-04-13.

Synthesis of advanced scintillators via vapor deposition techniques

Номер патента: US20100200757A1. Автор: Vinod K. Sarin,Stephen Gibson Topping. Владелец: Individual. Дата публикации: 2010-08-12.

Modular tray for solid chemical vaporizing chamber

Номер патента: WO2023059827A9. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: ENTEGRIS, INC.. Дата публикации: 2024-02-15.

Synthesis of advanced scintillators via vapor deposition techniques

Номер патента: US20130341513A1. Автор: Vinod K. Sarin,Stephen Gibson Topping. Владелец: Boston University. Дата публикации: 2013-12-26.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US20200040447A1. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2020-02-06.

Method of making composite articles from silicon carbide

Номер патента: US20200040449A1. Автор: William F Fischer, III,Walter Wrigglesworth, III,Lauren Montgomery. Владелец: Individual. Дата публикации: 2020-02-06.

A Reforming Method of Poly Lactic Acid, A Bio Degradable Compounds for Foam Using therof and A Foam for Shoes Using therof

Номер патента: KR101563397B1. Автор: 최면천. Владелец: 최면천. Дата публикации: 2015-10-26.

Grape skin compositions and compounds, and methods of preparation and use therefor

Номер патента: NZ790328A. Автор: Joshua Ruffell. Владелец: Phantasm Ltd. Дата публикации: 2023-06-30.

Grape skin compositions and compounds, and methods of preparation and use therefor

Номер патента: AU2021288251A1. Автор: Joshua Ruffell. Владелец: Phantasm Ltd. Дата публикации: 2022-08-18.

Grape skin compositions and compounds, and methods of preparation and use therefor

Номер патента: US20230022145A1. Автор: Joshua Ruffell. Владелец: Phantasm Ltd. Дата публикации: 2023-01-26.

Apparatus for forming thin film

Номер патента: US5010842A. Автор: Masao Oda,Yoshimi Kinoshita,Masahiro Hayama. Владелец: Mitsubishi Electric Corp. Дата публикации: 1991-04-30.

Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes

Номер патента: US5230929A. Автор: Gerardo Caporiccio,Riccardo D'agostino,Pietro Favia. Владелец: Dow Corning Corp. Дата публикации: 1993-07-27.

Chemical vapor deposition

Номер патента: US4107352A. Автор: Mohammad Javid Hakim. Владелец: Westinghouse Canada Inc. Дата публикации: 1978-08-15.

Atmospheric pressure chemical vapor deposition apparatus

Номер патента: US4834020A. Автор: Lawrence D. Bartholomew,Nicholas M. Gralenski,Michael A. Richie,Michael L. Hersh. Владелец: Watkins Johnson Co. Дата публикации: 1989-05-30.

Chemical vapor deposition system and method

Номер патента: WO2006116776A3. Автор: Eunsung Park,Kevin Casey,Catherine E Talor. Владелец: Catherine E Talor. Дата публикации: 2007-05-03.

Gas injection system for chemical vapor deposition using sequenced valves

Номер патента: WO2012082225A1. Автор: William E. Quinn,Eric A. Armour. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2012-06-21.

Gas Injection System For Chemical Vapor Deposition Using Sequenced Valves

Номер патента: US20160168710A1. Автор: William E. Quinn,Eric A. Armour. Владелец: Veeco Instruments Inc. Дата публикации: 2016-06-16.

Chemical vapor deposition method and apparatus

Номер патента: EP3377671A1. Автор: Daniel J. DESROSIER,Chad R. FERO. Владелец: GTAT Corp. Дата публикации: 2018-09-26.

Wafer carrier and metal organic chemical vapor deposition apparatus

Номер патента: US20220064791A1. Автор: Yen-Lin LAI,Jyun-De Wu,Shen-Jie Wang,Chien-Chih Yen. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2022-03-03.

Modular tray for solid chemical vaporizing chamber

Номер патента: EP4413177A1. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: Entegris Inc. Дата публикации: 2024-08-14.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US10975467B2. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2021-04-13.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US20190085446A1. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2019-03-21.

Auxiliary gasline-heating unit in chemical vapor deposition

Номер патента: US20010042930A1. Автор: Chien-Hsin Lai,Juen-Kuen Lin,Peng-Yih Peng,Fu-Yang Yu. Владелец: Individual. Дата публикации: 2001-11-22.

Chemical vapor deposition apparatus

Номер патента: WO2023160793A1. Автор: Hristo Strakov,Vasileios PAPAGEORGIOU,Manfred Pfitzner,Anja BÄUMCHEN. Владелец: Ihi Bernex Ag. Дата публикации: 2023-08-31.

Method of disinfecting water and ion exchange resin compound for use therein

Номер патента: IL35761A0. Автор: . Владелец: Univ Kansas State. Дата публикации: 1971-02-25.

Method of manufacturing semiconductor device including bonding pad and fuse elements

Номер патента: US7335537B2. Автор: Takashi Yamashita,Noriaki Fujiki,Junko Izumitani. Владелец: Renesas Technology Corp. Дата публикации: 2008-02-26.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2002062593A1. Автор: Ram Sabnis,Douglas J. Guerrero. Владелец: Brewer Science, Inc.. Дата публикации: 2002-08-15.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1397260A1. Автор: Ram Sabnis,Douglas J. Guerrero. Владелец: Brewer Science Inc. Дата публикации: 2004-03-17.

Metal Organic Chemical Vapor Deposition of Embedded Resistors for ReRAM Cells

Номер патента: US20150179937A1. Автор: Yun Wang,Chien-Lan Hsueh. Владелец: Intermolecular Inc. Дата публикации: 2015-06-25.

Method of carrying out plasma-enhanced chemical vapor deposition

Номер патента: US6432493B1. Автор: Tetsuya Taguwa. Владелец: NEC Corp. Дата публикации: 2002-08-13.

Manufacturing method of group of whiskers

Номер патента: US20120094420A1. Автор: Makoto Ishikawa,Toshihiko Takeuchi,Yuki Murakami. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-04-19.

High density plasma chemical vapor deposition process

Номер патента: US20020030033A1. Автор: Water Lur,Shih-Wei Sun,Chih-Chien Liu,Ta-Shan Tseng,W.B. Shieh,J.Y. Wu. Владелец: Individual. Дата публикации: 2002-03-14.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: US20080272444A1. Автор: Hiroyuki Kitamura. Владелец: Elpida Memory Inc. Дата публикации: 2008-11-06.

Semiconductor device having thin film wiring layer of aluminum containing carbon

Номер патента: US5148259A. Автор: Takashi Kato,Takashi Ito,Mamoru Maeda. Владелец: Fujitsu Ltd. Дата публикации: 1992-09-15.

Thin film semiconductor device and method of production

Номер патента: US5389580A. Автор: Mitsutoshi Miyasaka. Владелец: Seiko Epson Corp. Дата публикации: 1995-02-14.

Semiconductor interconnection structure and method of fabrication

Номер патента: EP1317772A1. Автор: Stefan Weber,Roy Iggulden. Владелец: Infineon Technologies North America Corp. Дата публикации: 2003-06-11.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: WO2014086742A1. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: SILTRONIC AG. Дата публикации: 2014-06-12.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: EP2959500A1. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: Intel Corp. Дата публикации: 2015-12-30.

Method of manufacturing amorphous silicon based thin film photoelectric conversion device

Номер патента: EP1032054B1. Автор: Kenji Yamamoto,Masashi Yoshimi. Владелец: Kaneka Corp. Дата публикации: 2006-07-05.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: US9691632B2. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: Intel Corp. Дата публикации: 2017-06-27.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: US20150303071A1. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: Intel Corp. Дата публикации: 2015-10-22.

Method of forming nitride capped cu lines with reduced electromigration along the cu/nitride interface

Номер патента: WO2003007368A3. Автор: Minh Van Ngo,Larry Zhao,Paul R Besser. Владелец: Paul R Besser. Дата публикации: 2003-03-27.

Method of forming an intermetal dielectric layer

Номер патента: US6410106B2. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2002-06-25.

Method of forming an intermetal dielectric layer

Номер патента: US20010001678A1. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-05-24.

Silicon thin film transistors, systems, and methods of making same

Номер патента: WO2009094639A1. Автор: John Snyder,John M. Heitzinger. Владелец: Soligie, Inc.. Дата публикации: 2009-07-30.

Organic EL lighting emitting device, method of manufacturing the same, and organic EL light source device

Номер патента: US9196854B2. Автор: Yasuhiko Takamatsu. Владелец: Ricoh Co Ltd. Дата публикации: 2015-11-24.

Method of forming multilayer interconnection structure

Номер патента: US5312773A. Автор: Naoki Nagashima. Владелец: Sony Corp. Дата публикации: 1994-05-17.

Method of making a field effect transistor having an elevated source and an elevated drain

Номер патента: US6057200A. Автор: Sujit Sharan,Kirk Prall,Pai-Hung Pan. Владелец: Micron Technology Inc. Дата публикации: 2000-05-02.

Method of forming polycrystalline silicon thin films for semiconductor devices

Номер патента: US5464795A. Автор: Shizuo Oguro. Владелец: NEC Corp. Дата публикации: 1995-11-07.

Method for selective thin film deposition

Номер патента: US20170352691A1. Автор: Carolyn Rae Ellinger. Владелец: Eastman Kodak Co. Дата публикации: 2017-12-07.

Apparatus and method for manufacturing thin film encapsulation

Номер патента: EP4033559A1. Автор: Yong-Suk Lee,Myung-Soo Huh,Jeong-Ho Yi. Владелец: Samsung Display Co Ltd. Дата публикации: 2022-07-27.

Metal silicide nanowires and methods of their production

Номер патента: US20100279115A1. Автор: Song Jin,Andrew L. Schmitt,Yipu Song. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2010-11-04.

Method of nonstoichiometric cvd dielectric film surface passivation for film roughness control

Номер патента: WO2010056731A1. Автор: Kwanghoon Kim,Lance Kim. Владелец: MICROCHIP TECHNOLOGY INCORPORATED. Дата публикации: 2010-05-20.

Low resistivity tungsten film and method of manufacture

Номер патента: WO2022046320A1. Автор: Wei Lei,Joung Joo Lee,Kai Wu,Zhebo CHEN,Xi CEN,Feihu Wang,Chunming Zhou,Zhibo YUAN. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-03.

Thin-film transistor and display device including the same

Номер патента: US20240282863A1. Автор: Jeyong JEON,Jaeyoon Park,Pilsang YUN,Sehee Park,Jungseok Seo,ChanYong JEONG. Владелец: LG Display Co Ltd. Дата публикации: 2024-08-22.

Growth of carbon nanotube (cnt) leads on circuits in substrate-free continuous chemical vapor deposition (cvd) process

Номер патента: US20170077370A1. Автор: Keith Daniel Humfeld. Владелец: Boeing Co. Дата публикации: 2017-03-16.

In-situ p-type activation of iii-nitride films grown via metal organic chemical vapor deposition

Номер патента: US20210151329A1. Автор: Manijeh Razeghi. Владелец: Northwestern University. Дата публикации: 2021-05-20.

Method of making an electron beam window

Номер патента: US4468282A. Автор: Armand P. Neukermans. Владелец: Hewlett Packard Co. Дата публикации: 1984-08-28.

Method of fabricating active layers in a laser utilizing InP-based active regions

Номер патента: US20040165631A1. Автор: YING-LAN Chang,Ashish Tandon. Владелец: Individual. Дата публикации: 2004-08-26.

Solid-state thin film capacitor

Номер патента: WO2011011736A2. Автор: Hooman Hafezi. Владелец: PROTEUS BIOMEDICAL, INC.. Дата публикации: 2011-01-27.

Organic Light-emitting Display Device and Method of Manufacturing the Same

Номер патента: US20130135274A1. Автор: Young-In Hwang,Jung-mi Choi. Владелец: Samsung Mobile Display Co Ltd. Дата публикации: 2013-05-30.

Semiconductor device, method of manufacturing the same and display device

Номер патента: US20230371313A1. Автор: Kano Masataka. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-16.

Chemical vapor deposition method for the gaas thin film

Номер патента: CA1305910C. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1992-08-04.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: EP4329948A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn LLC. Дата публикации: 2024-03-06.

Method of Growing Personalized Single Crystal Diamond

Номер патента: US20240240354A1. Автор: William Holber,Robert J. BASNETT. Владелец: PLASMABILITY LLC. Дата публикации: 2024-07-18.

Silicon-based explosive devices and methods of manufacture

Номер патента: US20120174808A1. Автор: Ronald G. Polcawich,Luke J. Currano,Wayne Churaman,Mark Gelak. Владелец: US Department of Army. Дата публикации: 2012-07-12.

Manufacturing apparatus and manufacturing method of porous glass base material for optical fiber

Номер патента: US12043564B2. Автор: Hitoshi Iinuma,Naoto Noda. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-07-23.

Chemical vapor deposition functionalization

Номер патента: US20160059260A1. Автор: David A. Smith,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2016-03-03.

Thin film tantalum coating for medical implants

Номер патента: CA2880824C. Автор: Joseph R. Vargas,Steven Seelman. Владелец: Zimmer Inc. Дата публикации: 2019-08-13.

Nanolaminated thin film circuitry materials

Номер патента: US20010012600A1. Автор: Andrew Hunt,Richard Carpenter,Wen-Yi Lin. Владелец: Shipley Co LLC. Дата публикации: 2001-08-09.

Producing method of tobacco raw material

Номер патента: US20170224009A1. Автор: Manabu Yamada,Takeshi Akiyama,Manabu Takeuchi,Yoshinori Fujisawa,Shinya OSUGA. Владелец: Japan Tobacco Inc. Дата публикации: 2017-08-10.

Producing method of tobacco raw material

Номер патента: US20160360781A1. Автор: Manabu Yamada,Takeshi Akiyama,Manabu Takeuchi,Yoshinori Fujisawa,Shinya OSUGA. Владелец: Japan Tobacco Inc. Дата публикации: 2016-12-15.

Contactless humidity/chemical vapor sensor device and associated method of fabrication

Номер патента: US7302829B2. Автор: Anis Zribi. Владелец: General Electric Co. Дата публикации: 2007-12-04.

Process and device for the flotation of mineral raw materials

Номер патента: GB1594500A. Автор: . Владелец: Akademie der Wissenschaften der DDR. Дата публикации: 1981-07-30.

PERFECT PROCESS AND INSTALLATION FOR DRY GRINDING OF RAW RAW MATERIALS

Номер патента: BR7100067D0. Автор: J Cleemann. Владелец: Smidth & Co As F L. Дата публикации: 1973-05-03.

Fiber raw materials processing system and operating method thereof

Номер патента: US20230089779A1. Автор: Pei-Jen Wu. Владелец: Yi Chun Green Technology Co Ltd. Дата публикации: 2023-03-23.

Fiber raw materials processing system and operating method thereof

Номер патента: AU2022345170A1. Автор: Pei-Jen Wu. Владелец: Yi Chun Green Technology Co Ltd. Дата публикации: 2024-01-04.

Processing and refining method of copper-containing raw material

Номер патента: TW200900510A. Автор: Okamoto Hidenori,Sasaki Yasukatsu,Soe Koji. Владелец: Nippon Mining & Amp Metals Co Ltd. Дата публикации: 2009-01-01.

Method of manufacturing tobacco raw material and oral tobacco product

Номер патента: EP3172974B1. Автор: Kazuo Mori,Atsushi Nagai,Hiroshi Otaki,Kei Kobayashi,Hiroyuki Chida. Владелец: Japan Tobacco Inc. Дата публикации: 2023-11-01.

Method of using powder raw material and method of melting molten metal

Номер патента: JP6624124B2. Автор: 雄介 南,南 雄介. Владелец: JFE Steel Corp. Дата публикации: 2019-12-25.

A kind of preparation method of electronic cigarette raw material

Номер патента: CN106723315B. Автор: 张静,张丽洁,刘艺,袁毅. Владелец: Huabao Flavours and Fragrances Co Ltd. Дата публикации: 2018-12-28.

Manufacturing method of injection molding raw material and manufacturing method of resin molding

Номер патента: JP6492270B2. Автор: 祐一郎 角. Владелец: TBM Co Ltd. Дата публикации: 2019-04-03.

Method of mixing powder raw material and liquid raw material.

Номер патента: AU2003304039A1. Автор: Naganobu Hayabusa. Владелец: Individual. Дата публикации: 2004-11-04.

METHOD OF CONSUMER/PRODUCER RAW MATERIAL SELECTION

Номер патента: US20150006112A1. Автор: Yashchin Emmanuel,Rodbell Kenneth P.,Gordon Michael S.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-01-01.

METHOD OF MANUFACTURING TOBACCO RAW MATERIAL AND ORAL TOBACCO PRODUCT

Номер патента: US20170172199A1. Автор: Kobayashi Kei,Nagai Atsushi,CHIDA Hiroyuki,MORI Kazuo,OTAKI Hiroshi. Владелец: JAPAN TOBACCO INC.. Дата публикации: 2017-06-22.

Recovery method of iron ore raw material from red mud

Номер патента: JPS5677309A. Автор: Takashi Hashida,Nobuyuki Kono. Владелец: MITSUI ARUMINA SEIZOU KK. Дата публикации: 1981-06-25.

Treatment method of plant-based raw material

Номер патента: JP2013198424A. Автор: Kazumasa Toyoda,和昌 豊田. Владелец: Nippon Paper Industries Co Ltd. Дата публикации: 2013-10-03.

Method of converting a raw material stream into a product stream using a fluidized bed and apparatus for use in said method

Номер патента: CN102046280A. Автор: R·西蒙. Владелец: Clean Fuels BV. Дата публикации: 2011-05-04.

Method of agglomerating iron raw material and its agglomeration equipment

Номер патента: JP5463571B2. Автор: 高郁 山本,幹男 内堀,利広 高木,外茂二 石田. Владелец: Sintokogio Ltd. Дата публикации: 2014-04-09.

Low energy consumption ball milling method of construction ceramic raw material

Номер патента: CN104907138A. Автор: 刘俊荣,赵光岩,史杰,冉舰波. Владелец: Foshan Oceano Ceramics Co Ltd. Дата публикации: 2015-09-16.

Wet mixing method of reducing furnace raw materials

Номер патента: JP4133778B2. Автор: 敏 近藤,哲治 茨城,博史 織田,安部  洋一,伸幸 兼森,盛雄 今宮. Владелец: Nippon Steel Corp. Дата публикации: 2008-08-13.

Preparation method of biomass fuel raw material

Номер патента: CN112317099A. Автор: 邓彦文. Владелец: Individual. Дата публикации: 2021-02-05.

Biological fermentation method of bread making raw materials

Номер патента: CN111602696A. Автор: 阳厚敏. Владелец: Chongqing Jialihua Food Co ltd. Дата публикации: 2020-09-01.

Treatment method of luggage buckle raw material with wood as basal body

Номер патента: CN105479574A. Автор: 刘书梅,石礼荣,胥继林. Владелец: ANHUI GUANYI BAGS Co Ltd. Дата публикации: 2016-04-13.

Processing method of wild ginseng raw material

Номер патента: KR102437148B1. Автор: 최일락. Владелец: 평창산삼마을 영농조합법인. Дата публикации: 2022-08-29.

Method of producing vegetable raw material for anti-inflammation medicine

Номер патента: SU984396A3. Автор: Исаак Отто. Владелец: Дегусса (Фирма). Дата публикации: 1982-12-23.

Method of converting a raw material stream into a product stream using a fluidized bed and apparatus for use in said method

Номер патента: CN102046280B. Автор: R·西蒙. Владелец: Clean Fuels BV. Дата публикации: 2013-08-07.

Method of crushing regenerative raw materials with tissue separation

Номер патента: SU99404A1. Автор: Т.Г. Ястребов,К.Ф. Колхир,Д.И. Язин. Владелец: Д.И. Язин. Дата публикации: 1953-11-30.

Method of burning inorganic raw material in uniflow system regeneration type vertical furnace

Номер патента: JPS5539300A. Автор: Hiyusuru Erubin,Shiyainbenraifu Karuru. Владелец: MAERZ OFENBAU AG. Дата публикации: 1980-03-19.

Two-stage method of drying wooden raw material

Номер патента: PL338682A1. Автор: . Владелец: Valmet Fibertech Ab. Дата публикации: 2000-11-20.

Method of pretreating mineral raw materials, in particular hard coal and apparatus therefor

Номер патента: PL340176A1. Автор: . Владелец: Hoelter Heinz. Дата публикации: 2000-12-04.

Methods of modeling migraine pain and identifying candidate compounds for the treatment of migraine

Номер патента: CA2649454A1. Автор: Frank Porreca,Todd W. Vanderah. Владелец: Todd W. Vanderah. Дата публикации: 2007-10-25.

Optically clear, durable infrared windows, and method of making the same

Номер патента: WO2000022206A1. Автор: Stephen A. Gabelich,William W. Chen,Norman H. Harris. Владелец: Raytheon Company. Дата публикации: 2000-04-20.

Saw for cutting silicon into seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: EP2731770A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: SunEdison Inc. Дата публикации: 2014-05-21.

Interference coatings for flexible optics using multilayered polymer thin films

Номер патента: US20240027651A1. Автор: Sheng Ye,Wyatt TENHAEFF,Yineng ZHAO. Владелец: UNIVERSITY OF ROCHESTER. Дата публикации: 2024-01-25.

Optically clear, durable infrared windows, and method of making the same

Номер патента: EP1040213A1. Автор: Stephen A. Gabelich,William W. Chen,Norman H. Harris. Владелец: Raytheon Co. Дата публикации: 2000-10-04.

Magnetic recording medium and method of fabricating the same

Номер патента: US20050048322A1. Автор: Hitoshi Wako. Владелец: Sony Corp. Дата публикации: 2005-03-03.

Method of producing fluid exhaust head

Номер патента: RU2422289C1. Автор: Масатака КАТО,Казухиро ХАЯКАВА. Владелец: Кэнон Кабусики Кайся. Дата публикации: 2011-06-27.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2003087233A3. Автор: Wu-Sheng Shih,Ram W Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2004-02-05.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1493061A4. Автор: Wu-Sheng Shih,Ram W Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2009-01-07.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1493061A2. Автор: Wu-Sheng Shih,Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2005-01-05.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2003087233A2. Автор: Wu-Sheng Shih,Ram W. Sabnis. Владелец: Brewer Science, Inc.. Дата публикации: 2003-10-23.

Method of processing chickpea raw materials

Номер патента: RU2355176C2. Автор: . Владелец: Аникеева Наталья Васильевна. Дата публикации: 2009-05-20.

Method of enriching liquid raw material

Номер патента: RU2285565C2. Автор: Сергей Георгиевич Чиргин. Владелец: Сергей Георгиевич Чиргин. Дата публикации: 2006-10-20.

Electrode, Plasma Assisted Chemical Vapor Deposition Apparatus, And Methods Of Forming The Same

Номер патента: SG10201910983VA. Автор: Wickramanayaka Sunil. Владелец: Airise Pte Ltd. Дата публикации: 2020-06-29.

Chemical vapor deposition apparatus and method of forminf parylene film

Номер патента: TWI376426B. Автор: Chun Hao Chang,Tung Ying Lin,Teng Yen Wang. Владелец: Ind Tech Res Inst. Дата публикации: 2012-11-11.

Method of producing industrial raw material out of powder

Номер патента: JPS5334612A. Автор: Katsuhiro Yamazaki,Eiji Oono,Eisuke Nakanishi,Kazuo Chikugo. Владелец: KOMATSU LTD. Дата публикации: 1978-03-31.

Method of obtaining lignocellulosic raw materials used in production of thermomechanical fibrous compounds

Номер патента: PL267347A1. Автор: . Владелец: . Дата публикации: 1989-02-20.

Method of obtaining a raw material body for production of ceramic articles for use in building trade

Номер патента: PL296227A1. Автор: Waclaw Jopek. Владелец: Waclaw Jopek. Дата публикации: 1994-04-18.

Method of improving cellulose raw materials

Номер патента: CA293875A. Автор: Faust Otto,Hottenroth Valentin. Владелец: Zellsloff Fabrik Waldhof AG. Дата публикации: 1929-10-08.

Method of hydrorefining hydrocarbonaceous raw materials

Номер патента: PL335912A1. Автор: Janusz Trawczyński. Владелец: Politechnika Wrocławska. Дата публикации: 2001-04-09.

Method of waste organic raw materials liquidation

Номер патента: CS214088A1. Автор: Petr Ing Samek,Tadeas Ing Kozusznik. Владелец: Tadeas Ing Kozusznik. Дата публикации: 1990-01-12.

Method of processing sulfidic raw materials

Номер патента: YU60080A. Автор: K I Ushakov,M E Khilko,R I Felman,V I Sadykov,E I Kalnin,P A Kovgan. Владелец: G Nauc I I Tsvet Metall Gintsv. Дата публикации: 1983-01-21.

Method of washing mineral raw materials

Номер патента: PL288060A2. Автор: Jerzy Olejarz,Zdzisław Naziemiec,Aleksander Krawczynski,Kazimierz Pasek,Paulin Chlebny. Владелец: Inst Mineralnych Materialow Bu. Дата публикации: 1991-09-09.

Method of treating the raw material for the pyrolysis

Номер патента: CS222327B1. Автор: Jiri Svoboda,Rudolf Kubicka,Jan Zelenka,Václav Novák,Milan Vitvar,Zdenek Smrz,Vlastimil Kadlec. Владелец: Vlastimil Kadlec. Дата публикации: 1983-06-24.

Method of waste organic raw materials liquidation

Номер патента: CS271016B1. Автор: Petr Ing Samek,Tadeas Ing Kozusznik. Владелец: Tadeas Ing Kozusznik. Дата публикации: 1990-08-14.

VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS

Номер патента: US20130108778A1. Автор: Seo Sang-Joon,Oh Jae-Eung. Владелец: . Дата публикации: 2013-05-02.

Vapor deposition apparatus, and method of manufacturing organic EL apparatus

Номер патента: JP6549835B2. Автор: 祐介 川村,俊彦 木村,木村 俊彦,大地 上山,快 日月. Владелец: Kaneka Corp. Дата публикации: 2019-07-24.

Physical vapor deposition chamber and method of using the same

Номер патента: TW202237872A. Автор: 葉書佑,吳昇穎,林明賢,范致中. Владелец: 台灣積體電路製造股份有限公司. Дата публикации: 2022-10-01.

Method of preserving fur raw materials

Номер патента: SU140525A1. Автор: Е.В. Гаевой,А.Н. Машков,И.И. Панюкин. Владелец: И.И. Панюкин. Дата публикации: 1960-11-30.

Method of obtaining complex raw material for glass-making

Номер патента: SU662493A1. Автор: Гарегин Саркисович Мелконян. Владелец: Melkonyan Garegin S. Дата публикации: 1979-05-15.

Method of preserving fur raw materials

Номер патента: SU134807A1. Автор: Е.В. Гаевой,А.Н. Машков,И.И. Панюкин. Владелец: И.И. Панюкин. Дата публикации: 1960-11-30.

Pre-treatment method of sinter ore raw material for blast furnace

Номер патента: JP2701178B2. Автор: 行博 肥田,光伸 右田,正則 中野,紀之 田中. Владелец: Nippon Steel Corp. Дата публикации: 1998-01-21.

Method of neutralising vegetable raw material hydrolisates

Номер патента: SU636263A1. Автор: Ефим Дмитриевич Гельфанд. Владелец: Gelfand Efim D. Дата публикации: 1978-12-05.

Methanation method of oxygen-containing raw material

Номер патента: CN106566566A. Автор: 张永刚,徐洋,周丛,郝雪松,王秀玲. Владелец: Sinopec Beijing Research Institute of Chemical Industry. Дата публикации: 2017-04-19.

The method of cooking starchy raw materials in alcohol production

Номер патента: SU67271A1. Автор: В.Б. Фремель. Владелец: В.Б. Фремель. Дата публикации: 1946-10-31.

Method of obtaining resinous raw material

Номер патента: SU914001A1. Автор: Yurij M Novoselov. Владелец: Kirov Ni Pi Lesnoj Promy. Дата публикации: 1982-03-23.

The method of re-tanning raw materials

Номер патента: SU148194A1. Автор: И.Ф. Кадомцев. Владелец: И.Ф. Кадомцев. Дата публикации: 1961-11-30.

Pre-treatment method of sinter ore raw material for blast furnace

Номер патента: JP2589633B2. Автор: 行博 肥田,光伸 右田,正則 中野,正 出野,啓司 安藤. Владелец: Nippon Steel Corp. Дата публикации: 1997-03-12.

Preparation method of SiC fireproof raw material powder

Номер патента: CN102424586A. Автор: 李文娟,黄朝晖,房明浩,刘艳改,潘子鹤. Владелец: China University of Geosciences Beijing. Дата публикации: 2012-04-25.

Three section type catalystic conversion method of petroleum hydrocarbon raw material and its device

Номер патента: CN1912058A. Автор: 石宝珍. Владелец: LUOYANG PETRO-CHEMICAL EQUIPMENT INST. Дата публикации: 2007-02-14.

The method of cooking the raw materials in the alcohol industry

Номер патента: SU60840A1. Автор: А.Л. Малченко. Владелец: А.Л. Малченко. Дата публикации: 1941-11-30.

Koji making method of puffing brewing raw material

Номер патента: JP3277640B2. Автор: 靖 高野,正樹 柏原,忠明 七種,二郎 片岡. Владелец: Ajinomoto Co Inc. Дата публикации: 2002-04-22.

A method of processing starchy raw materials "for alcohol

Номер патента: SU108458A1. Автор: А.Л. Малченко. Владелец: А.Л. Малченко. Дата публикации: 1956-11-30.

Method of obtaining fluff raw material from feather waste

Номер патента: SU1150273A1. Автор: Vyacheslav V Ilyukhin,Boris S Babakin. Владелец: Mo T I Myasnoj Moloch Promy. Дата публикации: 1985-04-15.

METHOD OF PROCESSING OIL RAW MATERIALS

Номер патента: SU427979A1. Автор: ретеЕи иао б. Владелец: Н. К. Подлесный, П. К. Змиевский , М. Г. Митрофанов. Дата публикации: 1974-05-15.

Content determination method of glucosamine hydrochloride raw material

Номер патента: CN103760275B. Автор: 张欣,陈文静,王崇益. Владелец: Jiangsu Chia Tai Qingjiang Pharmaceutical Co Ltd. Дата публикации: 2015-07-15.

Two-step synthetic method of chalcogenide polycrystalline raw material

Номер патента: CN101671847A. Автор: 陶绪堂,刘贯东,王善朋,将民华. Владелец: Shandong University. Дата публикации: 2010-03-17.

Hydrolysis method of wood fiber raw material

Номер патента: CN105330869A. Автор: 李志强,江泽慧,费本华,张融. Владелец: International Center for Bamboo and Rattan. Дата публикации: 2016-02-17.

Method of calcining cement raw material containing combustible matter

Номер патента: JPS55162458A. Автор: Hidekazu Abe. Владелец: IHI Corp. Дата публикации: 1980-12-17.

Method of preserving amorphous raw material

Номер патента: JPS56161914A. Автор: Shiyouji Katou. Владелец: SAN ESU KEE ENGINEERING KK. Дата публикации: 1981-12-12.

Method of activating mineral raw materials

Номер патента: PL306010A1. Автор: Jan Krajewski. Владелец: OS BAD ROZWOJOWY PRZEM SIARKO. Дата публикации: 1996-05-27.

A method of producing an antiseptic mixture of fluoride compounds for impregnating wood

Номер патента: SU92409A1. Автор: В.Н. Машинский. Владелец: В.Н. Машинский. Дата публикации: 1950-11-30.

Method of diabetic waffles production (versions)

Номер патента: RU2418429C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-05-20.

Thin film deposition method

Номер патента: CA2264371C. Автор: Stanford R. Ovshinsky,Masatsugu Izu,Wataru Hasegawa,Buddie R. Ii Dotter. Владелец: Energy Conversion Devices Inc. Дата публикации: 2003-06-03.

Method of diabetic waffles production (versions)

Номер патента: RU2418453C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-05-20.

Method of diabetic waffles production (versions)

Номер патента: RU2419307C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-05-27.

Method of diabetic waffles production (versions)

Номер патента: RU2418449C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-05-20.

Method of diabetic waffles production (versions)

Номер патента: RU2419306C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-05-27.

Method of diabetic waffles production (versions)

Номер патента: RU2419308C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-05-27.

Method of diabetic waffles production (versions)

Номер патента: RU2424715C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-07-27.

Method of extraction of materials

Номер патента: RU2213606C1. Автор: В.П. Голицын,Н.В. Голицына. Владелец: Голицына Наталья Владимировна. Дата публикации: 2003-10-10.