Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 54458. Отображено 124.
25-06-2020 дата публикации

Method of forming a device structure using selective deposition of gallium nitride and system for same

Номер: KR1020200074873A
Автор:
Принадлежит:

Подробнее
02-06-2020 дата публикации

Patterning of composite metal oxide structures

Номер: KR1020200061389A
Автор:
Принадлежит:

Подробнее
13-01-2020 дата публикации

Method for Depositing Silicon-Free Carbon-Containing Film as Gap-Fill Layer by Pulse Plasma-Assisted Deposition

Номер: KR1020200004254A
Автор:
Принадлежит:

Подробнее
05-09-2019 дата публикации

Номер: KR1020190103693A
Автор:
Принадлежит:

Подробнее
08-05-2020 дата публикации

ANTI-RADIATION STRUCTURE, TEMPERATURE-PRESSURE COMPLEX SENSOR WITH ANTI-RADIATION PROPERTY AND A METHOD FOR MANUFACTURING THE SAME

Номер: KR1020200049467A
Автор:
Принадлежит:

Подробнее
22-01-2020 дата публикации

SELECTIVE PEALD OF OXIDE ON DIELECTRIC

Номер: KR1020200007823A
Автор:
Принадлежит:

Подробнее
07-01-2020 дата публикации

Chemical vapor infiltration device

Номер: KR0102063220B1
Автор:
Принадлежит:

Подробнее
26-12-2019 дата публикации

Decomposing apparatus of acetylene gas using chemical vapor deposition and decomposing method of the same

Номер: KR0102059337B1
Автор:
Принадлежит:

Подробнее
07-10-2019 дата публикации

Номер: KR1020190112862A
Автор:
Принадлежит:

Подробнее
18-10-2019 дата публикации

Method for forming silicon-Si- containing film

Номер: KR1020190118599A
Автор:
Принадлежит:

Подробнее
24-09-2019 дата публикации

Номер: KR0102024217B1
Автор:
Принадлежит:

Подробнее
07-01-2020 дата публикации

Chemical vapor infiltration device

Номер: KR0102063219B1
Автор:
Принадлежит:

Подробнее
01-04-2020 дата публикации

METHOD OF DEPOSITING THIN FILMS USING PROTECTIVE MATERIAL

Номер: KR0102095710B1
Принадлежит:

Подробнее
19-08-2020 дата публикации

SUBSTRATE PROCESSING METHOD AND FILM FORMING SYSTEM

Номер: KR1020200097646A
Автор:
Принадлежит:

Подробнее
13-01-2020 дата публикации

Plasma enhanced deposition process for controlling the formation of oxygen-containing thin films

Номер: KR1020200004317A
Автор:
Принадлежит:

Подробнее
21-10-2019 дата публикации

3-DIMENSIONAL POLYMER-CERAMIC NANO COMPOSITE HAVING HIGH STRENGTH AND METHOD FOR MANUFACTURING THE SAME

Номер: KR0102031824B1
Автор:
Принадлежит:

Подробнее
04-10-2019 дата публикации

Номер: KR0102028690B1
Автор:
Принадлежит:

Подробнее
15-07-2020 дата публикации

Graphite Mold with Coating layer of SiC and SiOC/C

Номер: KR0102134406B1
Автор:
Принадлежит:

Подробнее
16-06-2020 дата публикации

Deposition Coating Method of Boron Nitride by Vapor Reaction

Номер: KR0102123016B1
Автор:
Принадлежит:

Подробнее
22-11-2019 дата публикации

DECOMPOSING APPARATUS OF ACETYLENE USING CHEMICAL VAPOR DEPOSITON AND DECOMPOSING METHOD OF THE SAME

Номер: KR1020190130221A
Автор:
Принадлежит:

Подробнее
10-07-2020 дата публикации

A METHOD OF PLATING OF NUCLEAR FUEL CLADDING INNER-WALL AND A NUCLEAR FUEL CLADDING PREPARED BY THE SAME

Номер: KR1020200084305A
Автор:
Принадлежит:

Подробнее
11-10-2019 дата публикации

Номер: KR1020190115399A
Автор:
Принадлежит:

Подробнее
03-06-2020 дата публикации

Graphite Mold with Coating layer of SiC and SiOC/C

Номер: KR1020200061842A
Автор:
Принадлежит:

Подробнее
20-07-2020 дата публикации

Apparatus of Atomic Layer Deposition and controlling method thereof

Номер: KR1020200086884A
Автор:
Принадлежит:

Подробнее
05-01-2012 дата публикации

Vapor-phase process apparatus, vapor-phase process method, and substrate

Номер: US20120003142A1
Принадлежит: Sumitomo Electric Industries Ltd

A vapor-phase process apparatus and a vapor-phase process method capable of satisfactorily maintaining quality of processes even when different types of processes are performed are obtained. A vapor-phase process apparatus includes a process chamber, gas supply ports serving as a plurality of gas introduction portions, and a gas supply portion (a gas supply member, a pipe, a flow rate control device, a pipe, and a buffer chamber). The process chamber allows flow of a reaction gas therein. The plurality of gas supply ports are formed in a wall surface (upper wall) of the process chamber along a direction of flow of the reaction gas. The gas supply portion can supply a gas into the process chamber at a different flow rate from each of one gas supply port and another gas supply port different from that one gas supply port among the plurality of gas supply ports.

Подробнее
05-01-2012 дата публикации

Methods for forming tungsten-containing layers

Номер: US20120003833A1
Принадлежит: Applied Materials Inc

Methods for forming tungsten-containing layers on substrates are provided herein. In some embodiments, a method for forming a tungsten-containing layer on a substrate disposed in a process chamber may include mixing hydrogen and a hydride to form a first process gas; introducing the first process gas to the process chamber; exposing the substrate in the process chamber to the first process gas for a first period of time to form a conditioned substrate surface; subsequently purging the process chamber of the first process gas; exposing the substrate to a second process gas comprising a tungsten precursor for a second period of time to form a tungsten-containing nucleation layer atop the conditioned substrate surface; and subsequently purging the process chamber of the second process gas.

Подробнее
09-09-2019 дата публикации

Вакуумная установка для нанесения тонкопленочных покрытий на подложку

Номер: RU0000192228U1

Полезная модель относится к области технологического оборудования для нанесения покрытий, а именно к вакуумному технологическому оборудованию, предназначенному для нанесения тонкопленочных покрытий с заданными оптическими, электрическими и другими характеристиками.Разработана вакуумная установка для нанесения тонкопленочных покрытий, включающая по меньшей мере одну технологическую камеру, установленную на каркасе и снабженную технологическими устройствами, и по меньшей мере одну шлюзовую камеру, установленную на транспортной системе, выполненной с возможностью обеспечения перемещения шлюзовой камеры из позиции загрузки/выгрузки в рабочую позицию под технологической камерой, подложкодержатель для размещения на нем подложки для нанесения тонкопленочного покрытия, выполненный с возможностью вращения вокруг своей оси, устройство подачи для перемещения подложкодержателя из шлюзовой камеры в технологическую камеру, вакуумный затвор, расположенный между камерами и выполненный с возможностью разделения внутреннего объема шлюзовой и технологической камер, устройство стыковки технологической и шлюзовой камер, при этом в верхней части технологической камеры установлен захват, выполненный с возможностью обеспечения фиксации подложкодержателя во внутреннем объеме технологической камеры и его вращения вокруг своей оси во время обработки поверхности подложки.Таким образом, разработана вакуумная установка для нанесения тонкопленочных покрытий, конструкция которой позволяет обеспечить достижение технического результата, заключающегося в сокращении времени осуществления технологического процесса, а также в повышении качества получаемого тонкопленочного покрытия. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 192 228 U1 (51) МПК C23C 14/24 (2006.01) C23C 14/50 (2006.01) C23C 14/56 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (52) СПК C23C 14/24 (2019.02); C23C 14/50 (2019.02); C23C 14/56 (2019.02) (21)(22) Заявка: 2018142966, 04.12. ...

Подробнее
12-01-2012 дата публикации

Heating and cooling of substrate support

Номер: US20120006493A1
Принадлежит: Individual

A process chamber and a method for controlling the temperature of a substrate positioned on a substrate support assembly within the process chamber are provided. The substrate support assembly includes a thermally conductive body, a substrate support surface on the surface of the thermally conductive body and adapted to support a large area substrate thereon, one or more heating elements embedded within the thermally conductive body, and two or more cooling channels embedded within the thermally conductive body to be coplanar with the one or more heating elements. The cooling channels may be branched into two or more equal-length cooling passages being extended from a single point inlet and into a single point outlet to provide equal resistance cooling.

Подробнее
12-01-2012 дата публикации

Chemical vapor deposition apparatus and method of forming semiconductor epitaxial thin film using the same

Номер: US20120009697A1

A chemical vapor deposition apparatus includes: a reaction chamber including an inner tube having a predetermined volume of an inner space, and an outer tube tightly sealing the inner tube; a wafer holder disposed within the inner tube and on which a plurality of wafers are stacked at predetermined intervals; and a gas supply unit including at least one gas line supplying an external reaction gas to the reaction chamber, and a plurality of spray nozzles communicating with the gas line to spray the reaction gas to the wafers, whereby semiconductor epitaxial thin films are grown on the surfaces of the wafers, wherein the semiconductor epitaxial thin film grown on the surface of the wafer includes a light emitting structure in which a first-conductivity-type semiconductor layer, an active layer, and a second-conductivity-type semiconductor layer are sequentially formed.

Подробнее
26-01-2012 дата публикации

Tellurium Precursors for Film Deposition

Номер: US20120021590A1

Methods and compositions for depositing a tellurium-containing film on a substrate are disclosed. A reactor and at least one substrate disposed in the reactor are provided. A tellurium-containing precursor is provided and introduced into the reactor, which is maintained at a temperature ranging from approximately 20° C. to approximately 100° C. Tellurium is deposited on to the substrate through a deposition process to form a thin film on the substrate.

Подробнее
02-02-2012 дата публикации

Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same

Номер: US20120028410A1
Автор: Eugene P. Marsh
Принадлежит: Micron Technology Inc

A method of forming a material. The method comprises conducting an ALD layer cycle of a first metal, the ALD layer cycle comprising a reactive first metal precursor and a co-reactive first metal precursor. An ALD layer cycle of a second metal is conducted, the ALD layer cycle comprising a reactive second metal precursor and a co-reactive second metal precursor. An ALD layer cycle of a third metal is conducted, the ALD layer cycle comprising a reactive third metal precursor and a co-reactive third metal precursor. The ALD layer cycles of the first metal, the second metal, and the third metal are repeated to form a material, such as a GeSbTe material, having a desired stoichiometry. Additional methods of forming a material, such as a GeSbTe material, are disclosed, as is a method of forming a semiconductor device structure including a GeSbTe material.

Подробнее
02-02-2012 дата публикации

Method of growing electrical conductors

Номер: US20120028474A1
Принадлежит: ASM International NV

A method for forming a conductive thin film includes depositing a metal oxide thin film on a substrate by an atomic layer deposition (ALD) process. The method further includes at least partially reducing the metal oxide thin film by exposing the metal oxide thin film to a reducing agent, thereby forming a seed layer. In one arrangement, the reducing agent comprises one or more organic compounds that contain at least one functional group selected from the group consisting of —OH, —CHO, and —COOH. In another arrangement, the reducing agent comprises an electric current.

Подробнее
02-02-2012 дата публикации

Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide

Номер: US20120028478A1
Принадлежит: Harvard College

Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris(tert-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300° C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl)amide to deposit lithium phosphate films on substrates heated to 250° C. Supplying the vapors in alternating pulses produces these same compositions with a very uniform distribution of thickness and excellent step coverage.

Подробнее
09-02-2012 дата публикации

Delivery device and method of use thereof

Номер: US20120034378A1
Принадлежит: Rohm and Haas Electronic Materials LLC

A delivery device comprises an inlet port and an outlet port. The delivery device comprises an inlet chamber and an outlet chamber, with the outlet chamber being opposedly disposed to the inlet chamber and in fluid communication with the inlet chamber via a conical section. The outlet chamber comprises a labyrinth that is operative to prevent solid particles of a solid precursor compound contained in the delivery device from leaving the delivery device while at the same time permitting vapors of the solid precursor compound to leave the delivery device via the outlet port.

Подробнее
09-02-2012 дата публикации

Substrate processing apparatus and producing method of semiconductor device

Номер: US20120034788A1
Принадлежит: Hirohisa Yamazaki, Masanori Sakai, Toru Kagaya

A substrate treatment apparatus includes a reaction tube and a heater heating a silicon wafer. Trimethyl aluminum (TMA) and ozone (O 3 ) are alternately fed into the reaction tubeto generate Al 2 O 3 film on the surface of the wafer. The apparatus also includes supply tubes and for flowing the ozone and TMA and a nozzle supplying gas into the reaction tube. The two supply tubes are connected to the nozzle disposed inside the heater in a zone inside the reaction tube where a temperature is lower than a temperature near the wafer, and the ozone and TMA are supplied into the reaction tube through the nozzle.

Подробнее
16-02-2012 дата публикации

Enhanced wafer carrier

Номер: US20120040097A1
Принадлежит: Veeco Instruments Inc

A wafer carrier used in wafer treatments such as chemical vapor deposition has pockets for holding the wafers and support surfaces for supporting the wafers above the floors of the pockets. The carrier is provided with locks for restraining wafers against upward movement away from the support surfaces. Constraining the wafers against upward movement limits the effect of wafer distortion on the spacing between the wafer and the floor surfaces, and thus limits the effects of wafer distortion on heat transfer. The carrier may include a main portion and minor portions having higher thermal conductivity than the main portion, the minor portions being disposed below the pockets.

Подробнее
16-02-2012 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20120040518A1

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus inhibits deposition on windows or other microwave transmission elements that couple microwave energy to deposition species. The apparatus includes a microwave applicator with conduits passing therethrough that carry deposition species. The applicator transfers microwave energy to the deposition species to transform them to a reactive state conducive to formation of a thin film material. The conduits physically isolate deposition species that would react to form a thin film material at the point of microwave power transfer. The deposition species are separately energized and swept away from the point of power transfer to prevent thin film deposition. The invention allows for the ultrafast formation of silicon-containing amorphous semiconductors that exhibit high mobility, low porosity, little or no Staebler-Wronski degradation, and low defect concentration.

Подробнее
23-02-2012 дата публикации

Coating device

Номер: US20120042824A1
Автор: Chung-Pei Wang
Принадлежит: Hon Hai Precision Industry Co Ltd

A coating device includes a main body, a transport device, at least one loader, a driving device. The main body has a top plate, a bottom plate, and a pair of sidewalls connecting the top plate and bottom plate. The sidewalls respectively define an input gate and an output gate. The transport device includes a transport track passing through the input gate and the output gate, and at least one lifting arm mounted on the transport track. Each loader is configured for loading workpieces and includes a rotary shaft, at least one loading frame rotatably connected with the rotary shaft. The driving device is mounted on the top plate. Each lifting arm is configured for clamping a corresponding loader and transporting the corresponding loader to engage with the driving device. The driving device rotates the corresponding loader rotary shaftthrough the rotary shaft of the corresponding loader.

Подробнее
01-03-2012 дата публикации

Methods of selectively forming a material

Номер: US20120052681A1
Автор: Eugene P. Marsh
Принадлежит: Micron Technology Inc

Methods for depositing a material, such as a metal or a transition metal oxide, using an ALD (atomic layer deposition) process and resulting structures are disclosed. Such methods include treating a surface of a semiconductor structure periodically throughout the ALD process to regenerate a blocking material or to coat a blocking material that enables selective deposition of the material on a surface of a substrate. The surface treatment may reactivate a surface of the substrate toward the blocking material, may restore the blocking material after degradation occurs during the ALD process, and/or may coat the blocking material to prevent further degradation during the ALD process. For example, the surface treatment may be applied after performing one or more ALD cycles. Accordingly, the presently disclosed methods enable in situ restoration of blocking materials in ALD process that are generally incompatible with the blocking material and also enables selective deposition in recessed structures.

Подробнее
15-03-2012 дата публикации

Multiple section showerhead assembly

Номер: US20120064698A1
Автор: Donald J.K. Olgado
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally provide a method and apparatus that may be utilized for deposition of Group III-nitride films using MOCVD and/or HVPE hardware. In one embodiment, the apparatus is a showerhead assembly made of multiple sections that are isolated from one another and attached to a top plate. Each showerhead section has separate inlets and passages for delivering separate processing gases into a processing volume of a processing chamber without mixing the gases prior to entering the processing volume. In one embodiment, each showerhead section includes a temperature control manifold for flowing a cooling fluid through the respective showerhead section. By providing multiple, isolated showerhead sections, manufacturing complexity and costs are significantly reduced as compared to conventionally manufacturing the entire showerhead from a single block or stack of plates.

Подробнее
22-03-2012 дата публикации

Conductive layers for hafnium silicon oxynitride

Номер: US20120068272A1
Автор: Kie Y. Ahn, Leonard Forbes
Принадлежит: Individual

Electronic apparatus and methods of forming the electronic apparatus include HfSiON for use in a variety of electronic systems. In various embodiments, conductive material is coupled to a dielectric containing HfSiON, where such conductive material may include one or more monolayers of titanium nitride, tantalum, or combinations of titanium nitride and tantalum.

Подробнее
29-03-2012 дата публикации

Heater with liquid heating element

Номер: US20120073502A1
Автор: Boris Volf, Eric A. Armour
Принадлежит: Veeco Instruments Inc

A heater for a heating system of a chemical vapor deposition process includes a relatively highly emissive body and an electrically conductive heating element disposed within a passageway in the body. The heating element is constructed to melt below an operating temperature of the heater. The passageway is constructed to retain the melted heating element in a continuous path, so that an electrical current along the heating element may be maintained during operation of the heater. Various shapes and arrangements of the passageway within the body may be used, and the heating system may be constructed to provide multiple, independently controllable temperature zones.

Подробнее
29-03-2012 дата публикации

Adapter Ring For Silicon Electrode

Номер: US20120073752A1
Автор: Terry Parde
Принадлежит: SunEdison Inc

Methods and systems are provided for retrofitting wafer etching systems. The methods and systems use an adapter ring to retrofit wafer etching systems designed for use with multiple piece electrodes such that single piece electrodes can be used in the etching systems. A portion of the adapter ring is disposed in a receptacle formed in a thermal coupled plate in the wafer etching system. Another portion of the adapter ring is positioned in a channel formed in an upper electrode.

Подробнее
29-03-2012 дата публикации

Electrode plate for plasma etching and plasma etching apparatus

Номер: US20120073753A1
Принадлежит: Tokyo Electron Ltd

An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
29-03-2012 дата публикации

Method of forming lutetium and lanthanum dielectric structures

Номер: US20120074480A1
Автор: Kie Y. Ahn, Leonard Forbes
Принадлежит: Individual

Methods of forming dielectric structures are shown. Methods of forming dielectric structures are shown that include lutetium oxide and lanthanum aluminum oxide crystals embedded within the lutetium oxide. Specific methods shown include monolayer deposition which yields process improvements such as chemistry control, step coverage, crystallinity/microstructure control.

Подробнее
29-03-2012 дата публикации

Method and apparatus for multiple-channel pulse gas delivery system

Номер: US20120076935A1
Принадлежит: Individual

A pulse gas delivery system for delivering a sequence of pulses of prescribed amounts of gases to a process tool, comprises: (a) a plurality of channels, each including (i) a gas delivery chamber; (ii) an inlet valve connected so as to control gas flowing into the corresponding gas delivery chamber; and (iii) an outlet valve connected so as to control the amount of gas flowing out of the corresponding gas delivery chamber; and (b) a dedicated multiple channel controller configured so as to control the inlet and outlet valves of each of the channels so that pulses of gases in prescribed amounts can be provided to the process tool in a predetermined sequence in accordance with a pulse gas delivery process.

Подробнее
12-04-2012 дата публикации

ATOMIC LAYER DEPOSITION OF CRYSTALLINE PrCaMnO (PCMO) AND RELATED STRUCTURES AND METHODS

Номер: US20120086104A1
Автор: Eugene P. Marsh
Принадлежит: Micron Technology Inc

Methods of forming a PrCaMnO (PCMO) material by atomic layer deposition. The methods include separately exposing a surface of a substrate to a manganese-containing precursor, an oxygen-containing precursor, a praseodymium-containing precursor and a calcium-containing precursor. The resulting PCMO material is crystalline. A semiconductor device structure including the PCMO material, and related methods, are also disclosed.

Подробнее
19-04-2012 дата публикации

Thin film depositing apparatus

Номер: US20120090543A1
Автор: Woo-Seok Cheong

Provided is a thin film depositing apparatus. The thin film depositing apparatus includes: a loading chamber loading a plurality of substrates; a first process chamber connected to the loading chamber and including a plurality of sputter guns inducing a first plasma on the plurality of substrates; a buffer chamber connected to the other side of the first process chamber facing the loading chamber; and a substrate transfer module simultaneously passing the plurality of substrates between the plurality of sputter guns during a process using the first plasma and transferring the plurality of substrates from the first process chamber to the buffer chamber.

Подробнее
19-04-2012 дата публикации

Solvent resistant printhead

Номер: US20120092410A1
Автор: David Graham, Sean Weaver
Принадлежит: Individual

A solvent resistant printhead having a barrier deposited and intercalating into the various polymeric materials on the printhead is disclosed. The deposition process may be performed at the various level of production depending on what material or surface requires protection from the solvent. The barrier may include a base coating and an outer coating. The base coating may include an intercalate layer deposited on the printhead and intercalating into the various polymeric materials and a tie layer deposited on the intercalate layer. The outer coating may be a self-assembled monolayer deposited on the base coating.

Подробнее
03-05-2012 дата публикации

Pre-heat ring designs to increase deposition uniformity and substrate throughput

Номер: US20120103263A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally relates to apparatus for use in film depositions. The apparatus generally include pre-heat rings adapted to be positioned in a processing chamber. In one embodiment, a pre-heat ring includes a ring having an inner edge and an outer edge. The outer edge has a constant radius. The inner edge is oblong-shaped and may have a first portion having a constant radius measured from a center of a circle defined by an outer circumference of the ring. A second portion may have a constant radius measured from a location other than the center of the outer circumference. In another embodiment, a processing chamber includes a pre-heat ring positioned around the periphery of a substrate support. The pre-heat ring includes an inner edge having a first portion, a second portion, and one or more linear portions positioned between the first portion and the second portion.

Подробнее
03-05-2012 дата публикации

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер: US20120108062A1
Принадлежит: Applied Materials Inc

Methods for deposition of elemental metal films on surfaces using metal coordination complexes comprising nitrogen-containing ligands are provided. Also provided are nitrogen-containing ligands useful in the methods of the invention and metal coordination complexes comprising these ligands.

Подробнее
03-05-2012 дата публикации

Showerhead for cvd depositions

Номер: US20120108076A1
Принадлежит: Texas Instruments Inc

A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.

Подробнее
10-05-2012 дата публикации

Deposition apparatus

Номер: US20120114856A1
Принадлежит: Genitech Co Ltd

A deposition apparatus configured to form a thin film on a substrate includes: a reactor wall; a substrate support positioned under the reactor wall; and a showerhead plate positioned above the substrate support. The showerhead plate defines a reaction space together with the substrate support. The apparatus also includes one or more gas conduits configured to open to a periphery of the reaction space at least while an inert gas is supplied therethrough. The one or more gas conduits are configured to supply the inert gas inwardly toward the periphery of the substrate support around the reaction space. This configuration prevents reactant gases from flowing between a substrate and the substrate support during a deposition process, thereby preventing deposition of an undesired thin film and impurity particles on the back side of the substrate.

Подробнее
17-05-2012 дата публикации

Apparatus and method of aligning and positioning a cold substrate on a hot surface

Номер: US20120122253A1
Принадлежит: Applied Materials Inc

Embodiments of the invention contemplate a method, apparatus and system that are used to support and position a substrate on a surface that is at a different temperature than the initial, or incoming, substrate temperature. Embodiments of the invention may also include a method of controlling the transfer of heat between a substrate and substrate support positioned in a processing chamber. The apparatus and methods described herein generally may also provide an inexpensive and simple way of accurately positioning a substrate on a substrate support that is positioned in a semiconductor processing chamber. Substrate processing chambers that can benefit from the various embodiments described herein include, but are not limited to RTP, CVD, PVD, ALD, plasma etching, and/or laser annealing chambers.

Подробнее
17-05-2012 дата публикации

Substrate processing apparatus and method for manufacturing semiconductor device

Номер: US20120122318A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

The substrate processing apparatus includes: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; at least one processing gas supply nozzle which extends running along an inner wall of the processing chamber in the stacking direction of the substrates and supplies a processing gas to the inside of the processing chamber; a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich the processing gas supply nozzle from both sides thereof along the circumferential direction of the substrates and which supply the inactive gas to the inside of the processing chamber; and an exhaust line for exhausting the inside of the processing chamber.

Подробнее
24-05-2012 дата публикации

Method of manufacturing semiconductor device, semiconductor device and substrate processing apparatus

Номер: US20120126355A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film.

Подробнее
31-05-2012 дата публикации

Coating device and coating method

Номер: US20120135144A1

A coating installation includes at least one recipient which can be evacuated and which is provided to receive a substrate, at least one gas supply device which can introduce at least one gaseous precursor into the recipient, and at least one activation device which contains at least one heatable activation element, the end thereof being secured to a securing point on a support element. A shielding element which can protect at least the securing point at least partially against the effect of the gaseous precursor is provided. The shielding element has a longitudinal extension having a first side and a second side, the first side being arranged on the support element and a locking element being arranged on the second side of the shielding element, the locking element having at least one outlet. At least one separation wall is arranged inside the shielding element, the wall separating the inner volume of the shielding element into a first partial volume and into a second partial volume.

Подробнее
31-05-2012 дата публикации

Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates

Номер: US20120135145A1
Принадлежит: Eugene Technology Co Ltd

According to one embodiment of the present invention, a substrate-processing apparatus comprises: a lower chamber with an open top; an upper chamber which covers the top of the lower chamber, and which cooperates with the lower chamber to form an internal space for substrate-processing; a shower head arranged in a lower portion of the upper chamber to supply reaction gas to the internal space, and forming a buffer space between the shower head and the upper chamber; a gas supply port formed in the upper chamber to supply reaction gas to the buffer space; and a diffusion unit arranged in the buffer space to diffuse the reaction gas supplied through the gas supply port. The diffusion unit includes: a plurality of diffusion areas which are blocked from each other, in order to enable the reaction gas to be diffused therein; a plurality of diffusion holes for placing the gas supply port and the diffusion areas in communication; and one or more diffusion plates, the shapes of which correspond to the shapes of the diffusion areas, and which are selectively inserted into the respective diffusion areas.

Подробнее
31-05-2012 дата публикации

Method of Fabricating Semiconductor Device and Apparatus for Fabricating the Same

Номер: US20120135544A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided is a method of fabricating a semiconductor device. The method of fabricating a semiconductor device includes forming a plurality of magnetic memory patterns spaced apart from each other on a substrate, with each of the magnetic memory patterns including a free pattern, a tunnel barrier pattern, and a reference pattern which are stacked on the substrate, performing a magnetic thermal treatment process on the magnetic memory patterns, and forming a passivation layer on the magnetic memory patterns. The magnetic thermal treatment process and the forming of the passivation layer are simultaneously performed in one reactor.

Подробнее
31-05-2012 дата публикации

Apparatus and Process for Atomic Layer Deposition

Номер: US20120135609A1
Принадлежит: Applied Materials Inc

Provided are gas distribution plates (showerheads) for use in an apparatus configured to form a film during, for example, an atomic layer deposition (ALD) process. The gas distribution plate comprises a body defining a thickness and a peripheral edge and has a front surface for facing the substrate. The front surface has a central region with a plurality of openings configured to distribute process gases over the substrate and a focus ring with a sloped region. The focus ring is concentric to the central region such that the thickness at the focus ring is greater than the thickness at the central region.

Подробнее
07-06-2012 дата публикации

High pressure chemical vapor deposition apparatuses, methods, and compositions produced therewith

Номер: US20120138952A1
Автор: Nikolaus Dietz

A composition, reactor apparatus, method, and control system for growing epitaxial layers of group III-nitride alloys. Super-atmospheric pressure is used as a process parameter to control the epitaxial layer growth where the identity of alloy layers differ within a heterostructure stack of two or more layers.

Подробнее
14-06-2012 дата публикации

Showerhead integrating intake and exhaust

Номер: US20120145078A1

A showerhead integrating intake and exhaust is provided for showering a gas. The showerhead at least includes a showerhead body that has a gas-active surface and a plurality of intake bores thereon. The showerhead body further includes a central exhaust vent disposed on the gas-active surface. The central exhaust vent may exhaust standing gas and further pre-exhaust byproduct from reaction process.

Подробнее
28-06-2012 дата публикации

Plasma processing apparatus and substrate processing method

Номер: US20120160809A1
Принадлежит: Tokyo Electron Ltd

A microwave supply unit 20 of a plasma processing apparatus 11 includes a stub member 51 configured to be extensible from the outer conductor 33 toward the inner conductor 32 . The stub member 51 serves as a distance varying device for varying a distance in the radial direction between a part of the outer surface 36 of the inner conductor 32 and a facing member facing the part of the outer surface of the inner conductor 32 in the radial direction, i.e., the cooling plate protrusion 47 . The stub member 51 includes a rod-shaped member 52 supported at the outer conductor 33 and configured to be extended in the radial direction; and a screw 53 as a moving distance adjusting member for adjusting a moving distance of the rod-shaped member 52 in the radial direction.

Подробнее
28-06-2012 дата публикации

Thin film forming method, thin film forming apparatus, and program

Номер: US20120164847A1
Принадлежит: Tokyo Electron Ltd

A control unit heats a reaction pipe to a load temperature by controlling a temperature-raising heater 16, and then makes semiconductor wafers received in the reaction pipe. Next, the control unit heats the reaction pipe in which the semiconductor wafers are received to a film formation temperature by controlling the temperature-raising heater, and then forms thin films on the semiconductor wafers by supplying a film forming gas into the reaction pipe from a process gas introducing pipe. Also, the control unit sets the load temperature to a temperature higher than the film formation temperature.

Подробнее
12-07-2012 дата публикации

Deposition of group iv metal-containing films at high temperature

Номер: US20120175751A1
Принадлежит: Individual

Disclosed are group IV metal-containing precursors and their use in the deposition of group IV metal-containing films{nitride, oxide and metal) at high process temperature. The use of cyclopentadienyl and imido ligands linked to the metal center secures thermal stability, allowing a large deposition temperature window, and low impurity contamination. The group IV metal (titanium, zirconium, hafnium)-containing fvm depositions may be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD.

Подробнее
12-07-2012 дата публикации

Plasma vapor deposition system and method for making multi-junction silicon thin film solar cell modules and panels

Номер: US20120178202A1
Автор: Mohd Aslami
Принадлежит: Individual

A plasma vapor deposition system for making multi-junction silicon thin film solar cell modules and panels including a flexible substrate disposed about and removably supported by a dual-walled cylindrical substrate support for axially rotating the flexible substrate about its longitudinal axis, the dual-walled cylindrical substrate support comprising an inner wall spaced apart by an outer wall to define a coaxial cavity; a plasma vapor deposition torch located substantially adjacent to the flexible substrate for depositing at least one thin film material layer on an outer surface of the flexible substrate; and a traversing platform for supporting the rotatable substrate support relative to the plasma vapor deposition torch, the rotatable substrate support being traversed along its longitudinal axis by the traversing platform.

Подробнее
12-07-2012 дата публикации

Compositions and methods of use for forming titanium-containing thin films

Номер: US20120178266A1
Принадлежит: Sigma Aldrich Co LLC

Compositions and methods for forming titanium-containing thin films are provided. The compositions comprise at least one precursor selected from the group consisting of (methylcyclopentadienyl)Ti(NMe 2 ) 3 , (ethylcyclopentadienyl)Ti(NMe 2 ) 3 , (isopropylcyclopentadienyl)Ti(NMe 2 ) 3 , (methylcyclopentadienyl)Ti(NEt 2 ) 3 , (methylcyclopentadienyl)Ti(NMeEt) 3 , (ethylcyclopentadienyl)Ti(NMeEt) 3 and (methylcyclopentadienyl)Ti(OMe) 3 ; and at least one liquification co-factor other than the at least one precursor; wherein the at least one liquification co-factor is present in amount sufficient to co-act with the at least one precursor, and in combination with the at least one precursor, forms a liquid composition.

Подробнее
19-07-2012 дата публикации

Semiconductor processing system and methods using capacitively coupled plasma

Номер: US20120180954A1
Принадлежит: Applied Materials Inc

Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.

Подробнее
02-08-2012 дата публикации

Gas distribution plate with discrete protective elements

Номер: US20120193456A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention provide a gas distribution plate assembly having protective elements for plasma processing. The gas distribution plate assembly includes a base plate having a front side and a backside, and a plurality of protective elements in direct contact with the base plate. The protective elements cover the front side of the base plate to protect the base plate from a plasma processing environment during use.

Подробнее
02-08-2012 дата публикации

Methods of forming an insulating metal oxide

Номер: US20120196448A1
Автор: Kie Y. Ahn, Leonard Forbes
Принадлежит: Individual

A dielectric containing an insulating metal oxide film having multiple metal components and a method of fabricating such a dielectric produce a reliable dielectric for use in a variety of electronic devices. Embodiments include a titanium aluminum oxide film structured as one or more monolayers. Embodiments also include structures for capacitors, transistors, memory devices, and electronic systems with dielectrics containing a titanium aluminum oxide film.

Подробнее
02-08-2012 дата публикации

Zirconium, hafnium and titanium precursors for atomic layer deposition of corresponding metal-containing films

Номер: US20120196449A1
Принадлежит: Advanced Technology Materials Inc

A zirconium precursor selected from among compounds of Formulae (I), (II) and (III): wherein: M is Zr, Hf or Ti; R 1 is hydrogen or C 1 -C 5 alkyl; each of R 2 , R′ and R″ is independently selected from C 1 -C 5 alkyl; and n has a value of 0, 1, 2, 3 or 4. Compounds of such formulae are useful in vapor deposition processes such as atomic layer deposition, to form corresponding metal-containing films, e.g., high k dielectric zirconium films in the fabrication of DRAM memory cells.

Подробнее
09-08-2012 дата публикации

Substrate mounting mechanism, and substrate processing

Номер: US20120199573A1
Принадлежит: Tokyo Electron Ltd

A substrate mounting mechanism on which a target substrate is placed is provided. The substrate mounting mechanism includes a heater plate, which has a substrate mounting surface on which the target substrate is placed and has a heater embedded therein to heat the substrate to a deposition temperature at which a film is deposited. The substrate mounting mechanism also includes a temperature control jacket, which is formed to cover at least a surface of the heater plate other than the substrate mounting surface and adjusts the temperature to a non-deposition temperature below the deposition temperature.

Подробнее
09-08-2012 дата публикации

Multidentate Ketoimine Ligands For Metal Complexes

Номер: US20120201958A1
Принадлежит: Air Products and Chemicals Inc

The present invention is a plurality of metal-containing complexes of a multidentate ketoiminate.

Подробнее
09-08-2012 дата публикации

In-Situ Hydroxylation System

Номер: US20120201959A1
Принадлежит: Applied Materials Inc

Described are systems and methods for the hydroxylation of a substrate surface using ammonia and water vapor.

Подробнее
16-08-2012 дата публикации

Combined Injection Module For Sequentially Injecting Source Precursor And Reactant Precursor

Номер: US20120207926A1
Автор: Sang In LEE
Принадлежит: Synos Technology Inc

Performing atomic layer deposition using a combined injector that sequentially injects source precursor and reactant precursor onto a substrate. The source precursor is injected into the injector via a first channel, injected onto the substrate and then discharged through a first exhaust portion. The reactant precursor is then injected into the injector via a second channel separate from the first channel, injected onto the substrate and then discharged through a second exhaust portion separate from the first exhaust portion. After injecting the source precursor or the reactant precursor, a purge gas may be injected into the injector and discharged to remove any source precursor or reactant precursor remaining in paths from the first or second channel to the first or second exhaust portion.

Подробнее
30-08-2012 дата публикации

Composition and method for low temperature deposition of ruthenium

Номер: US20120216712A1
Принадлежит: Veeco Instruments Inc

Composition and method for depositing ruthenium. A composition containing ruthenium tetroxide RuO 4 is used as a precursor solution 608 to coat substrates 400 via ALD, plasma enhanced deposition, and/or CVD. Periodic plasma densification may be used.

Подробнее
30-08-2012 дата публикации

Atomic layer deposition of super-conducting niobium silicide

Номер: US20120219824A1
Принадлежит: UChicago Argonne LLC

A method of preparing a superconducting thin film of niobium silicide using atomic layer deposition (ALD) where the superconducting critical temperature of the film is controllable by modulating the thickness of the thin film. The film is formed by exposing a substrate within an ALD reactor to alternating exposures of a niobium halide (NbQ x ) and a reducing precursor, for example, disilane (Si 2 H 6 ) or silane (SiH 4 ). A number of ALD cycles are performed to obtain the film thickness and establish the desired superconducting critical temperature between 0.4 K and 3.1 K.

Подробнее
06-09-2012 дата публикации

Film deposition apparatus

Номер: US20120222615A1
Принадлежит: Tokyo Electron Ltd

A film deposition apparatus includes a first turntable including at least ten substrate receiving areas that receive corresponding 300 mm substrates; a first reaction gas supplying portion arranged in a first area inside the chamber to supply a first reaction gas; a second reaction gas supplying portion arranged in a second area away from the first reaction gas supplying portion along the rotation direction of the first turntable to supply a second reaction gas; and a separation area arranged between the first and the second areas. The separation area includes a separation gas supplying portion that supplies a separation gas that separates the first reaction and the second reaction gases, and a ceiling surface having a height so that a pressure in a space between the ceiling surface and the first turntable is higher with the separation gas than pressures in the first and the second areas.

Подробнее
06-09-2012 дата публикации

Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use

Номер: US20120222620A1
Автор: Joseph Yudovsky
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a rotating wheel with a plurality of substrate carriers for continuous processing of substrates. The processing chamber may have a loading station on the front end which is configured with one or more robots to load and unload substrates from the substrate carriers without needing to stop the rotating wheel.

Подробнее
06-09-2012 дата публикации

Gas supplying apparatus, cylinder cabinet provided with the same, valve box, and substrate process apparatus

Номер: US20120222751A1
Автор: Tsuneyuki Okabe
Принадлежит: Tokyo Electron Ltd

A disclosed gas supplying apparatus includes a pressure controller that reduces a primary pressure thereby providing a secondary pressure greater than a process pressure at which a predetermined process is performed and less than the atmospheric pressure in a secondary pipe; a pressure sensor that measures a pressure in the secondary pipe; a first open/close valve provided in the secondary pipe; an open/close valve controller that opens or closes the first open/close valve; a pressure comparator that compares the pressure measured by the pressure sensor in the secondary pipe with a first set pressure that is greater than the process pressure by a predetermined pressure; and a controller that outputs a signal to the open/close valve controller thereby closing the first open/close valve, when the pressure comparator determines that the pressure in the secondary pipe is less than the first set pressure.

Подробнее
06-09-2012 дата публикации

Apparatus And Process For Atomic Layer Deposition

Номер: US20120225192A1
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a gas distribution plate comprising at least one gas injector unit. Each gas injector unit comprises a plurality of elongate gas injectors including at least two first reactive gas injectors and at least one second reactive gas injector, the at least two first reactive gas injectors surrounding the at least one second reactive gas injector. Also provided are atomic layer deposition apparatuses and methods including a gas distribution plate with a plurality of gas injector units.

Подробнее
06-09-2012 дата публикации

Apparatus and Process for Atomic Layer Deposition

Номер: US20120225207A1
Автор: Joseph Yudovsky
Принадлежит: Applied Materials Inc

Provided is a substrate processing apparatus, such as an atomic layer deposition (ALD) chamber, comprising a substrate support on a swinging support arm and, optionally, a plurality of exhaust ducts located adjacent to but a distance from the gas distribution plate. One or more of the substrate processing apparatus may be a component of an integrated cluster tool to process multiple substrates concurrently.

Подробнее
06-09-2012 дата публикации

Reduced pattern loading using silicon oxide multi-layers

Номер: US20120225565A1
Принадлежит: Applied Materials Inc

Aspects of the disclosure pertain to methods of depositing conformal silicon oxide multi-layers on patterned substrates. The conformal silicon oxide multi-layers are each formed by depositing multiple sub-layers. Sub-layers are deposited by flowing BIS(DIETHYLAMINO)SILANE (BDEAS) and an oxygen-containing precursor into a processing chamber such that a relatively uniform dielectric growth rate is achieved across the patterned substrate surface. A plasma treatment may follow formation of sub-layers to further improve conformality and to decrease the wet etch rate of the conformal silicon oxide multi-layer film. The deposition of conformal silicon oxide multi-layers grown according to embodiments have a reduced dependence on pattern density while still being suitable for non-sacrificial applications.

Подробнее
13-09-2012 дата публикации

Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration

Номер: US20120228771A1
Принадлежит: International Business Machines Corp

An interconnect structure and method for forming a multi-layered seed layer for semiconductor interconnections are disclosed. Specifically, the method and structure involves utilizing sequential catalytic chemical vapor deposition, which is followed by annealing, to form the multi-layered seed layer of an interconnect structure. The multi-layered seed layer will improve electromigration resistance, decrease void formation, and enhance reliability of ultra-large-scale integration (ULSI) chips.

Подробнее
13-09-2012 дата публикации

Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Номер: US20120231626A1
Принадлежит: Applied Materials Inc

The invention provides a method of forming a film stack on a substrate, comprising performing a silicon containing gas soak process to form a silicon containing layer over the substrate, reacting with the silicon containing layer to form a tungsten silicide layer on the substrate, depositing a tungsten nitride layer on the substrate, subjecting the substrate to a nitridation treatment using active nitrogen species from a remote plasma, and depositing a conductive bulk layer directly on the tungsten nitride layer.

Подробнее
20-09-2012 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20120238040A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a technology that can obtain high in-plane uniformity of etching while etching a substrate using plasma. A proper temperature of a focus ring capable of performing etching having high in-plane uniformity is identified in advance for each of the multilayers formed on a wafer, the temperature is reflected to a processing recipe as a set temperature, and a heating mechanism and a cooling mechanism are controlled such that the temperature of the focus ring is within an appropriate temperature range including the set temperature thereof for each of the layers to be successively etched. Heat of the focus ring is radiated using a laser and is discharged to a supporting table without using a heater, to independently separate the heating mechanism and the cooling mechanism from each other.

Подробнее
27-09-2012 дата публикации

Liner assembly for chemical vapor deposition chamber

Номер: US20120240853A1
Принадлежит: Applied Materials Inc

Embodiments described herein relate to an apparatus and method for lining a processing region within a chamber. In one embodiment, a modular liner assembly for a substrate processing chamber is provided. The modular liner assembly includes a first liner and a second liner, each of the first liner and second liner comprising an annular body sized to be received in a processing volume of a chamber, and at least a third liner comprising a body that extends through the first liner and the second liner, the third liner having a first end disposed in the process volume and a second end disposed outside of the chamber.

Подробнее
27-09-2012 дата публикации

Manufacturing Apparatus and Method for Semiconductor Device

Номер: US20120244685A1
Принадлежит: Nuflare Technology Inc

A semiconductor manufacturing apparatus includes: a plurality of reaction chambers into which wafers are introduced and deposition process is performed; a material gas supply mechanism that includes a plurality of material gas supply lines that respectively supply a material gas to the plurality of reaction chambers and a flow rate control mechanism that controls a flow rate of the marital gas in the material gas supply lines; a carrier gas supply mechanism that includes a plurality of carrier gas supply lines that respectively supplies a carrier gas into the plurality of reaction chambers; and a material gas switching mechanism that intermittently opens and closes the plurality of material gas supply lines respectively so that at least one of the plurality of material gas supply lines comes to be in an opened state at a same time, and sequentially switches the reaction chamber to which the material gas is supplied.

Подробнее
27-09-2012 дата публикации

Tray for cvd and method for forming film using same

Номер: US20120244703A1
Принадлежит: Sumco Corp

A tray for film formation by a CVD method includes a tray main body ( 2 ) and a supporting member ( 3 ) mounted on the tray main body ( 2 ) for supporting a silicon wafer ( 5 ). The supporting member ( 3 ) has a holding portion ( 3 c ), on which the silicon wafer ( 5 ) is directly placed. The holding portion ( 3 c ) has its lower surface ( 3 d ) apart from a surface ( 2 a ) of the tray main body that is opposed to and apart from the supported silicon wafer ( 5 ), whereby the thickness distribution of an oxide film formed on the silicon wafer can be made uniform. The tray has a structure for reducing a contact area between the supporting member ( 3 ) and the tray main body ( 2 ), with the holding portion ( 3 c ) having a tilted surface with its inner circumferential side closer to the tray main body surface ( 2 a ) that is opposed to the silicon wafer.

Подробнее
04-10-2012 дата публикации

Apparatus and method for atomic layer deposition

Номер: US20120248219A1
Принадлежит: Lam Research Corp

A proximity heads for dispensing reactants and purging gas to deposit a thin film by Atomic Layer Deposition (ALD) includes a plurality of sides. Extending over a portion of the substrate region and being spaced apart from the portion of the substrate region when present, the proximity head is rotatable so as to place each side in a direction of the substrate region, and is disposed in a vacuum chamber coupled to a carrier gas source to sustain a pressure for the proximity head during operation. Each side of the proximity head includes a gas conduit through which the reactant gas and the purging gas are sequentially dispensed, and at least two separate vacuum conduits on each side of the gas conduit to pull excess reactant gas, purging gas, or deposition byproducts from a reaction volume between a surface of the proximity head facing the substrate and the substrate.

Подробнее
11-10-2012 дата публикации

Method for Metal Deposition Using Hydrogen Plasma

Номер: US20120258602A1
Принадлежит: Applied Materials Inc

Methods for formation and treatment of pure metal layers using CVD and ALD techniques are provided. In one or more embodiments, the method includes forming a metal precursor layer and treating the metal precursor layer to a hydrogen plasma to reduce the metal precursor layer to form a metal layer. In one or more embodiments, treating the metal precursor layer includes exposing the metal precursor layer to a high frequency-generated hydrogen plasma. Methods of preventing a hydrogen plasma from penetrating a metal precursor layer are also provided.

Подробнее
11-10-2012 дата публикации

Metalorganic chemical vapor deposition (mocvd) process and apparatus to produce multi-layer high-temperature superconducting (hts) coated tape

Номер: US20120258863A1
Принадлежит: Superpower Inc

An MOCVD apparatus and process for producing multi-layer HTS-coated tapes with increased current capacity which includes multiple liquid precursor sources, each having an associated pump and vaporizer, the outlets of which feed a multiple compartment showerhead apparatus within an MOCVD reactor. The multiple compartment showerhead apparatus is located in close proximity to an associated substrate heater which together define multiple deposition sectors in a deposition zone.

Подробнее
25-10-2012 дата публикации

Reaction system for growing a thin film

Номер: US20120266821A1
Принадлежит: ASM America Inc

An atomic deposition (ALD) thin film deposition apparatus includes a deposition chamber configured to deposit a thin film on a wafer mounted within a space defined therein. The deposition chamber comprises a gas inlet that is in communication with the space. A gas system is configured to deliver gas to the gas inlet of the deposition chamber. At least a portion of the gas system is positioned above the deposition chamber. The gas system includes a mixer configured to mix a plurality of gas streams. A transfer member is in fluid communication with the mixer and the gas inlet. The transfer member comprising a pair of horizontally divergent walls configured to spread the gas in a horizontal direction before entering the gas inlet.

Подробнее
25-10-2012 дата публикации

Film deposition method and apparatus

Номер: US20120269969A1
Принадлежит: Tokyo Electron Ltd

A film deposition method of depositing a thin film by alternately supplying at least a first source gas and a second source gas to a substrate is disclosed. The film deposition method includes steps of evacuating a process chamber where the substrate is accommodated, without supplying any gas to the process chamber; supplying an inert gas to the process chamber until a pressure within the process chamber becomes a predetermined pressure; supplying the first source gas to the process chamber filled with the inert gas at the predetermined pressure without evacuating the process chamber; stopping supplying the first source gas to the process chamber and evacuating the process chamber; supplying the second source gas to the process chamber; and stopping supplying the second source gas to the process chamber and evacuating the process chamber.

Подробнее
25-10-2012 дата публикации

Apparatus for deposition of materials on a substrate

Номер: US20120270384A1
Принадлежит: Applied Materials Inc

Methods and apparatus for deposition of materials on a substrate are provided herein. In some embodiments, an apparatus for processing a substrate may include a process chamber having a substrate support disposed therein to support a processing surface of a substrate, an injector disposed to a first side of the substrate support and having a first flow path to provide a first process gas and a second flow path to provide a second process gas independent of the first process gas, wherein the injector is positioned to provide the first and second process gases across the processing surface of the substrate, a showerhead disposed above the substrate support to provide the first process gas to the processing surface of the substrate, and an exhaust port disposed to a second side of the substrate support, opposite the injector, to exhaust the first and second process gases from the process chamber.

Подробнее
01-11-2012 дата публикации

Atomic layer deposition of metal phosphates and lithium silicates

Номер: US20120276305A1
Принадлежит: Individual

The present application relates to atomic layer deposition (ALD) processes for producing metal phosphates such as titanium phosphate, aluminum phosphate and lithium phosphate, as well as to ALD processes for depositing lithium silicates.

Подробнее
08-11-2012 дата публикации

Method for manufacturing semiconductor device, substrate processing apparatus, and semiconductor device

Номер: US20120280369A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

There is provided a method for manufacturing a semiconductor device, comprising simultaneously or alternately exposing a substrate, which has two or more kinds of thin films having different elemental components laminated or exposed; and performing different modification treatments to the thin films respectively.

Подробнее
15-11-2012 дата публикации

Heating system for a vapor-phase deposition source

Номер: US20120285381A1
Принадлежит: ASTRON FIAMM SAFETY SARL

A vapor-phase deposition source includes a vessel equipped with two zones. The first zone is for the production of vapor. It is equipped with a receptacle for the material and elements for heating the material placed in the receptacle. The second is a diffusion zone having a vessel communicating with the production zone and equipped with at least one orifice so that the vapor-phase material is transmitted towards the exterior of the vessel through the orifice. The source is characterized in that, on the one hand, the room includes an inner wall and an outer envelope defining an intermediate space filled with a heat-transporting liquid and, on the other, it is equipped with elements for heating the coolant.

Подробнее
15-11-2012 дата публикации

Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method

Номер: US20120288625A1
Принадлежит: Tokyo Electron Ltd

A gas supply apparatus including a raw material gas supply system supplying a raw material gas inside a raw material storage tank into the processing container by the carrier gas, the gas supply apparatus includes: a carrier gas passage introducing the carrier gas into the raw material storage tank, a raw material gas passage connecting the raw material storage tank and the processing container to supply the carrier gas and the raw material gas; a pressure control gas passage being connected to the raw material gas passage to supply the pressure control gas; and a valve control unit controlling an opening/closing valve to perform for starting a supply of the pressure control gas into the processing container and simultaneously starting supply of the raw material gas into the processing container from the raw material storage tank, and stopping the supply of the pressure control gas.

Подробнее
29-11-2012 дата публикации

Vapor Delivery Device, Methods of Manufacture And Methods of Use Thereof

Номер: US20120298207A1
Принадлежит: Rohm and Haas Electronic Materials LLC

A method comprises transporting a first stream of a carrier gas to a delivery device that contains a solid precursor compound. The first stream of carrier gas is at a temperature greater than or equal to 20° C. The method further comprises transporting a second stream of the carrier gas to a point downstream of the delivery device. The first stream and the second stream are combined to form a third stream, such that the dewpoint of the vapor of the solid precursor compound in the third stream is lower than the ambient temperature. The flow direction of the first stream, the flow direction of the second stream and the flow direction of the third stream are unidirectional and are not opposed to each other.

Подробнее
29-11-2012 дата публикации

Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead

Номер: US20120301616A1
Принадлежит: Intermolecular Inc

A multi-zone, combinatorial, single wafer showerhead is used to concurrently develop hardware, materials, unit processes, and unit process sequences. The multi-zone, combinatorial, single wafer showerhead utilizes showerhead pucks to perform process sequences on isolated regions of a single substrate. The showerhead pucks are designed so that they are easily interchangeable to allow the characterization of the interaction between hardware characteristics, process parameters, and their influence on the result of the process sequence.

Подробнее
06-12-2012 дата публикации

Gas distribution system for ceramic showerhead of plasma etch reactor

Номер: US20120305190A1
Принадлежит: Lam Research Corp

A gas delivery system for a ceramic showerhead includes gas connection blocks and a gas ring, the gas connection blocks mounted on the gas ring such that gas outlets in the blocks deliver process gas to gas inlets in an outer periphery of the showerhead. The gas ring includes a bottom ring with channels therein and a welded cover plate enclosing the channels. The gas ring can include a first channel extending ½ the length of the gas ring, two second channels connected at midpoints thereof to downstream ends of the first channel, and four third channels connected at midpoints thereof to downstream ends of the second channels. the cover plate can include a first section enclosing the first channel, two second sections connected at midpoints thereof to ends of the first section, and third sections connected at midpoints thereof to ends of the second sections. The channels are arranged such that the process gas travels equal distances for a single gas inlet in the gas ring to eight outlets in the cover ring allowing equal gas flow.

Подробнее
13-12-2012 дата публикации

Method for initializing or removing contaminants from a deposition chamber and method of manufacturing the chamber

Номер: US20120312698A1
Принадлежит: Samsung Mobile Display Co Ltd

The present disclosure relates to a method for initializing a deposition chamber, a method for removing contaminants in a deposition chamber, and a method of manufacturing a deposition chamber. In the method for initializing a deposition chamber, light is irradiated in the chamber, and then minute contaminants remaining in the chamber are removed. The newly manufactured chamber is thereby initialized so that it can be used for deposition.

Подробнее
13-12-2012 дата публикации

Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium

Номер: US20120315394A1
Автор: Shozo Ito
Принадлежит: Tokyo Electron Ltd

A film forming apparatus for forming a film on an object includes: a processing container; gas supply means, having gas jet ports, respectively; a holding means for holding the object; a drive mechanism for moving the holding means relative to the gas jet ports; and a control means which, when repeating P times a cycle, consisting of a supply period for supplying a gas and a supply stop period during which the supply of the gas is stopped, performs control so that as viewed from the center of the object, a gas supply starting position is sequentially shifted in the circumferential direction of the object for every cycle in such a manner that the entire circumference of the object to be processed is divided into K segments (K=P), K being an arbitrary division number, and the gas supply starting position is shifted by one segment for every cycle.

Подробнее
20-12-2012 дата публикации

Film forming method and film forming apparatus

Номер: US20120321791A1
Принадлежит: Tokyo Electron Ltd

A film forming method for forming a thin film including boron, nitrogen, silicon, and carbon on a surface of a processing target by supplying a boron containing gas, a nitriding gas, a silane-based gas, and a hydrocarbon gas in a processing container in which the processing target is accommodated to be vacuum sucked includes: a first process which forms a BN film by performing a cycle of alternately and intermittently supplying the boron-containing gas and the nitriding gas once or more; and a second process which forms a SiCN film by performing a cycle of intermittently supplying the silane-based gas, the hydrocarbon gas, and the nitriding gas once or more. Accordingly, the thin film including boron, nitrogen, silicon, and carbon with a low-k dielectric constant, an improved wet-etching resistance, and a reduced leak current can be formed.

Подробнее
20-12-2012 дата публикации

Chemical vapor deposition apparatus

Номер: US20120322168A1
Принадлежит: Individual

System and method for forming one or more materials. The system includes a susceptor component configured to rotate around a central axis, and a showerhead component that is located above the susceptor component and not in direct contact with the susceptor component. Additionally, the system includes one or more substrate holders located on the susceptor component and configured to rotate around the central axis and also rotate around corresponding holder axes respectively, and a central component. Moreover, the system includes one or more first inlets formed within the central component, one or more second inlets, and one or more third inlets formed within the showerhead component and located farther away from the central component than the one or more second inlets.

Подробнее
03-01-2013 дата публикации

Deposition device

Номер: US20130000558A1
Принадлежит: Tokyo Electron Ltd

The disclosed deposition device for forming a thin film using a starter gas comprising an organic metal compound is provided with: a processing container 22 ; a mounting platform 28 which has a heater 34 for heating the workpiece W; a gas introduction mechanism 80 which introduces the starter gas toward the area more exterior than the outer peripheral end of the workpiece W on the mounting platform 28 ; an internal partition wall 90 which is disposed such that the lower end of said processing space contacts the mounting platform 28 to form gas outlets 92 between the lower portion of the space and the edges of the mounting platform 28 ; and a orifice forming member 96 which extends radially inward toward the mounting platform 28 and forms an orifice 98 communicating with the gas outlet 92.

Подробнее
03-01-2013 дата публикации

Method of protecting patterned magnetic materials of a stack

Номер: US20130004736A1
Принадлежит: SEAGATE TECHNOLOGY LLC

The embodiments disclose a method of protecting patterned magnetic materials of a stack, including depositing a thin continuous film of an inert material that is inert to the magnetic materials of a patterned stack upon which the thin continuous film is being deposited and forming a thin interim interface layer from the thin continuous film to protect top and sidewall areas of non-etched higher relief magnetic islands and magnetic film etched surfaces of the patterned stack from air exposure damage and damage from contact with backfilled materials.

Подробнее
03-01-2013 дата публикации

Apparatus for atomic layer deposition

Номер: US20130005057A1
Принадлежит: Samsung Mobile Display Co Ltd

An atomic layer deposition apparatus and a sealing method of an organic light emitting device using the same are disclosed. In one embodiment, the atomic layer deposition apparatus improves a structure of the purge gas injection nozzle so as to increase the exhaust efficiency of the purge gas in an atomic layer deposition process, which increases a speed of a purge process. As a result, it is possible to improve a deposition speed and a quality of a sealing film when a sealing process for sealing the organic light emitting device is implemented by using the atomic layer deposition.

Подробнее
03-01-2013 дата публикации

Low temperature deposition of phase change memory materials

Номер: US20130005078A1
Принадлежит: Advanced Technology Materials Inc

A system and method for forming a phase change memory material on a substrate, in which the substrate is contacted with precursors for a phase change memory chalcogenide alloy under conditions producing deposition of the chalcogenide alloy on the substrate, at temperature below 350° C., with the contacting being carried out via chemical vapor deposition or atomic layer deposition. Various tellurium, germanium and germanium-tellurium precursors are described, which are useful for forming GST phase change memory films on substrates.

Подробнее
03-01-2013 дата публикации

Method and apparatus for forming silicon film

Номер: US20130005142A1
Принадлежит: Tokyo Electron Ltd

Provided is a method and apparatus for forming a silicon film, which are capable of suppressing generation of a void or seam. The method includes performing a first film-forming process, performing an etching process, performing a doping process, and performing a second film-forming process. In the first film-forming process, a non-doped silicon film that is not doped with an impurity is formed so as to embed a groove of an object. In the etching process, the non-doped silicon film formed via the first film-forming process is etched. In the doping process, the non-doped silicon film etched via the etching process is doped with an impurity. In the second film-forming process, an impurity-doped silicon film is formed so as to embed the silicon film doped via the doping process.

Подробнее
10-01-2013 дата публикации

Methods for depositing thin films comprising gallium nitride by atomic layer deposition

Номер: US20130012003A1
Принадлежит: Individual

Atomic layer deposition (ALD) processes for forming thin films comprising GaN are provided. In some embodiments, ALD processes for forming doped GaN thin films are provided. The thin films may find use, for example, in light-emitting diodes.

Подробнее
17-01-2013 дата публикации

Substrate processing apparatus

Номер: US20130014895A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus can suppress an edge gas from being diffused toward a center region of a substrate. An upper electrode 200 serving as a gas introducing unit configured to supply one kind of gas or different kinds of gases to a center region and an edge region of the substrate includes a center gas inlet section 204 having a multiple number of gas holes 212 for a center gas; and an edge gas inlet section 206 having a multiplicity of gas holes 214 for an edge gas. By providing a gas hole formation plate 230 having gas holes 232 communicating with the gas holes 214 at a bottom surface of the edge gas inlet section 206, a vertical position of edge gas discharging openings can be adjusted.

Подробнее
17-01-2013 дата публикации

Method of manufacturing semiconductor device and substrate processing apparatus

Номер: US20130017685A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

To provide a method of manufacturing a semiconductor device, including: forming a thin film different from a silicon oxide film on a substrate by supplying a processing gas into a processing vessel in which the substrate is housed; removing a deposit including the thin film adhered to an inside of the processing vessel by supplying a fluorine-containing gas into the processing vessel after executing forming the thin film prescribed number of times; and forming a silicon oxide film having a prescribed film thickness on the inside of the processing vessel by alternately supplying a silicon-containing gas, and an oxygen-containing gas and a hydrogen-containing gas into the heated processing vessel in which a pressure is set to be less than an atmospheric pressure after removing the deposit.

Подробнее
24-01-2013 дата публикации

Film deposition apparatus and substrate processing apparatus

Номер: US20130019801A1
Автор: Manabu Honma
Принадлежит: Tokyo Electron Ltd

A film deposition apparatus includes a processing chamber; a rotary table; process regions provided in the processing chamber and arranged apart from each other in the rotational direction of the rotary table; reaction gas supplying units configured to supply reaction gases of different types to the corresponding process regions; separation regions provided between the process regions; separation gas supplying units configured to supply a separation gas to the separation regions to separate the atmospheres of the process regions; and an exhaust path forming part having openings at positions corresponding to the process regions and configured to form exhaust paths for separately guiding the atmospheres of the process regions from the openings to the corresponding exhaust ports of the processing chamber for exhausting atmospheres of the process regions. The exhaust path forming part is configured such that positions of the openings in the rotational direction are changeable.

Подробнее
24-01-2013 дата публикации

Thin film manufacturing apparatus, thin film manufacturing method and method for manufacturing semiconductor device

Номер: US20130023062A1
Принадлежит: Individual

In an apparatus for manufacturing a ceramic thin film by employing a thermal CVD method, an internal jig, which is provided with a heat radiation material film on the surface, is provided at a position that faces a substrate (S) on which the film is to be formed. The thin film and a semiconductor device are manufactured using such apparatus.

Подробнее
14-02-2013 дата публикации

Film forming method, manufacturing method of semiconductor device using the same, film forming apparatus, and semiconductor device

Номер: US20130037873A1
Принадлежит: Tokyo Electron Ltd

Provided is a semiconductor device capable of preventing destruction of an electrode having a pillar shape and densely arranged. The semiconductor device having a field-effect transistor and a capacitor having a pillar shape, the semiconductor device includes: a first electrode having a pillar shape and electrically connected to an impurity diffusion region of the field-effect transistor; a dielectric film formed at least on a side of the first electrode; a second electrode formed on the dielectric film; and a support film extending in a direction crossing a length direction of the first electrode having the pillar shape, and formed by a boron-added silicon nitride film connected to the first electrode by penetrating through at least a part of the second electrode.

Подробнее
28-02-2013 дата публикации

Organometallic compounds

Номер: US20130047890A1
Автор: Scott Houston Meiere
Принадлежит: Praxair Technology Inc

This invention relates to organometallic compounds represented by the formula H a M(NR 1 R 2 ) x (NR 3 H) y (NH 2 ) z wherein M is a metal or metalloid, each of R 1 , R 2 and R 3 is the same or different and is independently a hydrocarbon group or a heteroatom-containing group, a is a value from 0 to 3, x is a value from 0 to 3, y is a value from 0 to 4, z is a value from 0 to 4, and a+x+y+z is equal to the oxidation state of M, provided that at least one of y and z is a value of at least 1, a process for producing the organometallic compounds, and a method for producing a film or coating from organometallic precursor compounds.

Подробнее
28-02-2013 дата публикации

Substrate processing apparatus and film deposition apparatus

Номер: US20130047924A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus includes a process chamber; a turntable rotatably provided in the process chamber for mounting a substrate on one surface of the turntable and including a substrate mounting portion at which the substrate is to be mounted and a table body which is an other portion of the turntable, the substrate mounting portion being configured to have a heat capacity smaller than that the table body; and a heater that heats the substrate from an opposite surface side of the turntable.

Подробнее
28-02-2013 дата публикации

Dynamic fluid valve and method for establishing the same

Номер: US20130052347A1
Принадлежит: LEVITECH BV

A method, comprising: —providing a process space atmosphere at a process space atmosphere pressure; —providing an exterior atmosphere at an exterior atmosphere pressure that is different from the process space atmosphere pressure; —providing a passage via which the exterior atmosphere is in open communication with the process space atmosphere, and via which substrates are exchangeable between the exterior atmosphere and the process space atmosphere; —injecting an exchange fluid into the passage at at least one exchange fluid injection point, so as to effect a flow of exchange fluid that extends through at least a part of the passage, wherein said flow is directed towards—the exterior in case the exterior atmosphere pressure is greater than the process space atmosphere pressure; or—the process space in case the exterior atmosphere pressure is smaller than the process space atmosphere pressure.

Подробнее
07-03-2013 дата публикации

Method for forming a capacitor dielectric and method for manufacturing a capacitor using the capacitor dielectric

Номер: US20130058007A1
Автор: Jong-Bum Park
Принадлежит: Hynix Semiconductor Inc

A method for forming a capacitor dielectric includes depositing a zirconium oxide layer, performing a post-treatment on the zirconium oxide layer such that the zirconium oxide layer has a tetragonal phase, and depositing a tantalum oxide layer over the zirconium oxide layer such that the tantalum oxide layer has a tetragonal phase.

Подробнее
07-03-2013 дата публикации

Method of manufacturing semiconductor device and substrate processing apparataus

Номер: US20130059451A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

A method of manufacturing a semiconductor device, the method comprising: forming an oxide film on a substrate by alternately repeating: (a) forming an element-containing layer on the substrate by supplying a source gas containing an element into a process vessel accommodating the substrate; and (b) changing the element-containing layer to an oxide layer by supplying an oxygen-containing gas and a hydrogen-containing gas into the process vessel having an inside pressure lower than atmospheric pressure, reacting the oxygen-containing gas with the hydrogen-containing gas to generate an atomic oxygen, and oxidizing the element-containing layer by the atomic oxygen.

Подробнее
21-03-2013 дата публикации

Device for forming a reduced chamber space, and method for positioning multilayer bodies

Номер: US20130067723A1
Принадлежит: Saint Gobain Glass France SAS

A device for forming a reduced chamber space, which is a process box or a process hood, containing an apparatus, which positions at least two multilayer bodies each including a surface to be processed, wherein the apparatus is designed such that the multilayer bodies are opposite to each other, wherein the surfaces to be processed are facing away from each other such that the multilayer bodies can be processed as a multilayer body arrangement in a processing system. In addition, a method for positioning the two multilayer bodies comprising a surface to be processed, with the two multilayer bodies disposed in such a device such that multilayer bodies are opposite each other, wherein the surfaces to be processed are facing away from each other, such that the multilayer bodies are processable as a multilayer body arrangement in a processing system.

Подробнее