Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 11616. Отображено 100.
02-02-2012 дата публикации

Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide

Номер: US20120028478A1
Принадлежит: Harvard College

Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris(tert-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300° C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl)amide to deposit lithium phosphate films on substrates heated to 250° C. Supplying the vapors in alternating pulses produces these same compositions with a very uniform distribution of thickness and excellent step coverage.

Подробнее
29-03-2012 дата публикации

Method and apparatus for multiple-channel pulse gas delivery system

Номер: US20120076935A1
Принадлежит: Individual

A pulse gas delivery system for delivering a sequence of pulses of prescribed amounts of gases to a process tool, comprises: (a) a plurality of channels, each including (i) a gas delivery chamber; (ii) an inlet valve connected so as to control gas flowing into the corresponding gas delivery chamber; and (iii) an outlet valve connected so as to control the amount of gas flowing out of the corresponding gas delivery chamber; and (b) a dedicated multiple channel controller configured so as to control the inlet and outlet valves of each of the channels so that pulses of gases in prescribed amounts can be provided to the process tool in a predetermined sequence in accordance with a pulse gas delivery process.

Подробнее
19-04-2012 дата публикации

Solvent resistant printhead

Номер: US20120092410A1
Автор: David Graham, Sean Weaver
Принадлежит: Individual

A solvent resistant printhead having a barrier deposited and intercalating into the various polymeric materials on the printhead is disclosed. The deposition process may be performed at the various level of production depending on what material or surface requires protection from the solvent. The barrier may include a base coating and an outer coating. The base coating may include an intercalate layer deposited on the printhead and intercalating into the various polymeric materials and a tie layer deposited on the intercalate layer. The outer coating may be a self-assembled monolayer deposited on the base coating.

Подробнее
03-05-2012 дата публикации

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер: US20120108062A1
Принадлежит: Applied Materials Inc

Methods for deposition of elemental metal films on surfaces using metal coordination complexes comprising nitrogen-containing ligands are provided. Also provided are nitrogen-containing ligands useful in the methods of the invention and metal coordination complexes comprising these ligands.

Подробнее
24-05-2012 дата публикации

Method of manufacturing semiconductor device, semiconductor device and substrate processing apparatus

Номер: US20120126355A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film.

Подробнее
12-07-2012 дата публикации

Deposition of group iv metal-containing films at high temperature

Номер: US20120175751A1
Принадлежит: Individual

Disclosed are group IV metal-containing precursors and their use in the deposition of group IV metal-containing films{nitride, oxide and metal) at high process temperature. The use of cyclopentadienyl and imido ligands linked to the metal center secures thermal stability, allowing a large deposition temperature window, and low impurity contamination. The group IV metal (titanium, zirconium, hafnium)-containing fvm depositions may be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD.

Подробнее
12-07-2012 дата публикации

Compositions and methods of use for forming titanium-containing thin films

Номер: US20120178266A1
Принадлежит: Sigma Aldrich Co LLC

Compositions and methods for forming titanium-containing thin films are provided. The compositions comprise at least one precursor selected from the group consisting of (methylcyclopentadienyl)Ti(NMe 2 ) 3 , (ethylcyclopentadienyl)Ti(NMe 2 ) 3 , (isopropylcyclopentadienyl)Ti(NMe 2 ) 3 , (methylcyclopentadienyl)Ti(NEt 2 ) 3 , (methylcyclopentadienyl)Ti(NMeEt) 3 , (ethylcyclopentadienyl)Ti(NMeEt) 3 and (methylcyclopentadienyl)Ti(OMe) 3 ; and at least one liquification co-factor other than the at least one precursor; wherein the at least one liquification co-factor is present in amount sufficient to co-act with the at least one precursor, and in combination with the at least one precursor, forms a liquid composition.

Подробнее
02-08-2012 дата публикации

Zirconium, hafnium and titanium precursors for atomic layer deposition of corresponding metal-containing films

Номер: US20120196449A1
Принадлежит: Advanced Technology Materials Inc

A zirconium precursor selected from among compounds of Formulae (I), (II) and (III): wherein: M is Zr, Hf or Ti; R 1 is hydrogen or C 1 -C 5 alkyl; each of R 2 , R′ and R″ is independently selected from C 1 -C 5 alkyl; and n has a value of 0, 1, 2, 3 or 4. Compounds of such formulae are useful in vapor deposition processes such as atomic layer deposition, to form corresponding metal-containing films, e.g., high k dielectric zirconium films in the fabrication of DRAM memory cells.

Подробнее
09-08-2012 дата публикации

Multidentate Ketoimine Ligands For Metal Complexes

Номер: US20120201958A1
Принадлежит: Air Products and Chemicals Inc

The present invention is a plurality of metal-containing complexes of a multidentate ketoiminate.

Подробнее
06-09-2012 дата публикации

Film deposition apparatus

Номер: US20120222615A1
Принадлежит: Tokyo Electron Ltd

A film deposition apparatus includes a first turntable including at least ten substrate receiving areas that receive corresponding 300 mm substrates; a first reaction gas supplying portion arranged in a first area inside the chamber to supply a first reaction gas; a second reaction gas supplying portion arranged in a second area away from the first reaction gas supplying portion along the rotation direction of the first turntable to supply a second reaction gas; and a separation area arranged between the first and the second areas. The separation area includes a separation gas supplying portion that supplies a separation gas that separates the first reaction and the second reaction gases, and a ceiling surface having a height so that a pressure in a space between the ceiling surface and the first turntable is higher with the separation gas than pressures in the first and the second areas.

Подробнее
06-09-2012 дата публикации

Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use

Номер: US20120222620A1
Автор: Joseph Yudovsky
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a rotating wheel with a plurality of substrate carriers for continuous processing of substrates. The processing chamber may have a loading station on the front end which is configured with one or more robots to load and unload substrates from the substrate carriers without needing to stop the rotating wheel.

Подробнее
06-09-2012 дата публикации

Gas supplying apparatus, cylinder cabinet provided with the same, valve box, and substrate process apparatus

Номер: US20120222751A1
Автор: Tsuneyuki Okabe
Принадлежит: Tokyo Electron Ltd

A disclosed gas supplying apparatus includes a pressure controller that reduces a primary pressure thereby providing a secondary pressure greater than a process pressure at which a predetermined process is performed and less than the atmospheric pressure in a secondary pipe; a pressure sensor that measures a pressure in the secondary pipe; a first open/close valve provided in the secondary pipe; an open/close valve controller that opens or closes the first open/close valve; a pressure comparator that compares the pressure measured by the pressure sensor in the secondary pipe with a first set pressure that is greater than the process pressure by a predetermined pressure; and a controller that outputs a signal to the open/close valve controller thereby closing the first open/close valve, when the pressure comparator determines that the pressure in the secondary pipe is less than the first set pressure.

Подробнее
06-09-2012 дата публикации

Apparatus And Process For Atomic Layer Deposition

Номер: US20120225192A1
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a gas distribution plate comprising at least one gas injector unit. Each gas injector unit comprises a plurality of elongate gas injectors including at least two first reactive gas injectors and at least one second reactive gas injector, the at least two first reactive gas injectors surrounding the at least one second reactive gas injector. Also provided are atomic layer deposition apparatuses and methods including a gas distribution plate with a plurality of gas injector units.

Подробнее
06-09-2012 дата публикации

Apparatus and Process for Atomic Layer Deposition

Номер: US20120225207A1
Автор: Joseph Yudovsky
Принадлежит: Applied Materials Inc

Provided is a substrate processing apparatus, such as an atomic layer deposition (ALD) chamber, comprising a substrate support on a swinging support arm and, optionally, a plurality of exhaust ducts located adjacent to but a distance from the gas distribution plate. One or more of the substrate processing apparatus may be a component of an integrated cluster tool to process multiple substrates concurrently.

Подробнее
04-10-2012 дата публикации

Apparatus and method for atomic layer deposition

Номер: US20120248219A1
Принадлежит: Lam Research Corp

A proximity heads for dispensing reactants and purging gas to deposit a thin film by Atomic Layer Deposition (ALD) includes a plurality of sides. Extending over a portion of the substrate region and being spaced apart from the portion of the substrate region when present, the proximity head is rotatable so as to place each side in a direction of the substrate region, and is disposed in a vacuum chamber coupled to a carrier gas source to sustain a pressure for the proximity head during operation. Each side of the proximity head includes a gas conduit through which the reactant gas and the purging gas are sequentially dispensed, and at least two separate vacuum conduits on each side of the gas conduit to pull excess reactant gas, purging gas, or deposition byproducts from a reaction volume between a surface of the proximity head facing the substrate and the substrate.

Подробнее
15-11-2012 дата публикации

Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method

Номер: US20120288625A1
Принадлежит: Tokyo Electron Ltd

A gas supply apparatus including a raw material gas supply system supplying a raw material gas inside a raw material storage tank into the processing container by the carrier gas, the gas supply apparatus includes: a carrier gas passage introducing the carrier gas into the raw material storage tank, a raw material gas passage connecting the raw material storage tank and the processing container to supply the carrier gas and the raw material gas; a pressure control gas passage being connected to the raw material gas passage to supply the pressure control gas; and a valve control unit controlling an opening/closing valve to perform for starting a supply of the pressure control gas into the processing container and simultaneously starting supply of the raw material gas into the processing container from the raw material storage tank, and stopping the supply of the pressure control gas.

Подробнее
29-11-2012 дата публикации

Vapor Delivery Device, Methods of Manufacture And Methods of Use Thereof

Номер: US20120298207A1
Принадлежит: Rohm and Haas Electronic Materials LLC

A method comprises transporting a first stream of a carrier gas to a delivery device that contains a solid precursor compound. The first stream of carrier gas is at a temperature greater than or equal to 20° C. The method further comprises transporting a second stream of the carrier gas to a point downstream of the delivery device. The first stream and the second stream are combined to form a third stream, such that the dewpoint of the vapor of the solid precursor compound in the third stream is lower than the ambient temperature. The flow direction of the first stream, the flow direction of the second stream and the flow direction of the third stream are unidirectional and are not opposed to each other.

Подробнее
03-01-2013 дата публикации

Apparatus for atomic layer deposition

Номер: US20130005057A1
Принадлежит: Samsung Mobile Display Co Ltd

An atomic layer deposition apparatus and a sealing method of an organic light emitting device using the same are disclosed. In one embodiment, the atomic layer deposition apparatus improves a structure of the purge gas injection nozzle so as to increase the exhaust efficiency of the purge gas in an atomic layer deposition process, which increases a speed of a purge process. As a result, it is possible to improve a deposition speed and a quality of a sealing film when a sealing process for sealing the organic light emitting device is implemented by using the atomic layer deposition.

Подробнее
03-01-2013 дата публикации

Low temperature deposition of phase change memory materials

Номер: US20130005078A1
Принадлежит: Advanced Technology Materials Inc

A system and method for forming a phase change memory material on a substrate, in which the substrate is contacted with precursors for a phase change memory chalcogenide alloy under conditions producing deposition of the chalcogenide alloy on the substrate, at temperature below 350° C., with the contacting being carried out via chemical vapor deposition or atomic layer deposition. Various tellurium, germanium and germanium-tellurium precursors are described, which are useful for forming GST phase change memory films on substrates.

Подробнее
28-02-2013 дата публикации

Organometallic compounds

Номер: US20130047890A1
Автор: Scott Houston Meiere
Принадлежит: Praxair Technology Inc

This invention relates to organometallic compounds represented by the formula H a M(NR 1 R 2 ) x (NR 3 H) y (NH 2 ) z wherein M is a metal or metalloid, each of R 1 , R 2 and R 3 is the same or different and is independently a hydrocarbon group or a heteroatom-containing group, a is a value from 0 to 3, x is a value from 0 to 3, y is a value from 0 to 4, z is a value from 0 to 4, and a+x+y+z is equal to the oxidation state of M, provided that at least one of y and z is a value of at least 1, a process for producing the organometallic compounds, and a method for producing a film or coating from organometallic precursor compounds.

Подробнее
28-02-2013 дата публикации

Substrate processing apparatus and film deposition apparatus

Номер: US20130047924A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus includes a process chamber; a turntable rotatably provided in the process chamber for mounting a substrate on one surface of the turntable and including a substrate mounting portion at which the substrate is to be mounted and a table body which is an other portion of the turntable, the substrate mounting portion being configured to have a heat capacity smaller than that the table body; and a heater that heats the substrate from an opposite surface side of the turntable.

Подробнее
28-02-2013 дата публикации

Dynamic fluid valve and method for establishing the same

Номер: US20130052347A1
Принадлежит: LEVITECH BV

A method, comprising: —providing a process space atmosphere at a process space atmosphere pressure; —providing an exterior atmosphere at an exterior atmosphere pressure that is different from the process space atmosphere pressure; —providing a passage via which the exterior atmosphere is in open communication with the process space atmosphere, and via which substrates are exchangeable between the exterior atmosphere and the process space atmosphere; —injecting an exchange fluid into the passage at at least one exchange fluid injection point, so as to effect a flow of exchange fluid that extends through at least a part of the passage, wherein said flow is directed towards—the exterior in case the exterior atmosphere pressure is greater than the process space atmosphere pressure; or—the process space in case the exterior atmosphere pressure is smaller than the process space atmosphere pressure.

Подробнее
28-03-2013 дата публикации

Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Номер: US20130078376A1

Disclosed are methods of forming metal-nitride-containing films from the combination of amino-metal precursors and halogenated metal precursors, preferably forming SiN-containing films from the combination of aminosilane precursors and chlorosilane precursors. Varying the sequential reaction of the amino-metal precursors and halogenated metal precursors provide for the formation of metal-nitride-containing films having varying stoichiometry. In addition, the metal-nitride-containing film composition may be modified based upon the structure of aminometal precursor. The disclosed processes may be thermal processes or plasma processes at low temperatures.

Подробнее
28-03-2013 дата публикации

Halogenated organoaminosilane precursors and methods for depositing films comprising same

Номер: US20130078392A1
Принадлежит: Air Products and Chemicals Inc

Described herein are precursors and methods of forming films. In one aspect, there is provided a precursor having Formula I: X m R 1 n H p Si(NR 2 R 3 ) 4-m-n-p   I wherein X is selected from Cl, Br, I; R 1 is selected from linear or branched C 1 -C 10 alkyl group, a C 2 -C 12 alkenyl group, a C 2 -C 12 alkynyl group, a C 4 -C 10 cyclic alkyl, and a C 6 -C 10 aryl group; R 2 is selected from a linear or branched C 1 -C 10 alkyl, a C 3 -C 12 alkenyl group, a C 3 -C 12 alkynyl group, a C 4 -C 10 cyclic alkyl group, and a C 6 -C 10 aryl group; R 3 is selected from a branched C 3 -C 10 alkyl group, a C 3 -C 12 alkenyl group, a C 3 -C 12 alkynyl group, a C 4 -C 10 cyclic alkyl group, and a C 6 -C 10 aryl group; m is 1 or 2; n is 0, 1, or 2; p is 0, 1 or 2; and m+n+p is less than 4, wherein R 2 and R 3 are linked or not linked to form a ring.

Подробнее
11-04-2013 дата публикации

Plasma-enhanced deposition of titanium-containing films for various applications using amidinate titanium precursors

Номер: US20130089681A1

The present invention relates to a process for the use of Titanium amidinate metal precursors for the deposition of Titanium-containing films via Plasma Enhanced Atomic Layer Deposition (PEALD) or Plasma Enhanced Chemical Vapor Deposition (PECVD).

Подробнее
04-07-2013 дата публикации

Delivery device for deposition

Номер: US20130168462A1
Принадлежит: Individual

A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.

Подробнее
18-07-2013 дата публикации

SUBSTRATE TREATMENT SYSTEM

Номер: US20130180451A1
Автор: Horiuchi Nobuo
Принадлежит:

The present invention addresses the problem of providing a substrate treatment system for making it possible to keep the inside of a chamber at a stable pressure, even when a variance occurs in the supply flow rate of an inert gas, and for making it possible to increase the supply flow rate of the inert gas and reduce the duration of time needed to fill with the inert gas during an initial operation. The present invention adopts a configuration provided with: a substrate treatment section for carrying out a predetermined treatment on the substrate; a chamber for accommodating the substrate treatment section in a sealed state; a gas supply unit for supplying an inert gas to inside the chamber; and a gas exhaust unit for discharging the gas inside the chamber; the supply flow rate of the inert gas of the gas supply unit and the exhaust flow rate of the gas exhaust unit being adjusted so that the pressure inside the chamber reaches a chamber setting pressure higher than the pressure outside the chamber. 1. A substrate processing system , comprising:a substrate processing device performing predetermined treatment on a substrate;a chamber housing the substrate processing device in a sealed state;a gas supply unit supplying an inert gas to the chamber; anda gas exhaust unit exhausting the gas supplied in the chamber, thereby a supply flow rate of the gas supply unit and an exhaust flow rate of the gas exhaust unit being controlled on the basis of a chamber pressure in order to make a chamber setting-pressure higher than the pressure outside the chamber.2. The substrate processing system according to claim 1 , whereinan upper limit pressure value and a lower limit pressure value are set for the chamber setting pressure, 'the supply flow rate the gas supply unit and the exhaust flow rate of the gas exhaust unit are controlled in order to keep the chamber pressure being adjusted between the upper limit pressure value and the lower limit pressure value.', 'an upper limit ...

Подробнее
18-07-2013 дата публикации

Apparatus and Methods for Deposition Reactors

Номер: US20130183444A1
Принадлежит: Picosun Oy

An apparatus, such as an ALD (Atomic Layer Deposition) apparatus, including a precursor source configured for depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions. The apparatus includes an in-feed line for feeding precursor vapor from the precursor source to a reaction chamber and a structure configured for utilizing heat from a reaction chamber heater for preventing condensation of precursor vapor into liquid or solid phase between the precursor source and the reaction chamber. Also various other apparatus and methods are presented. 125-. (canceled)26. An apparatus comprising:a precursor source configured for depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions;a first pulsing valve embedded into the precursor source configured to control feeding of precursor vapor from the precursor source to a reaction chamber comprised by the reactor containing the substrate, the apparatus being configured to:convey inactive gas to a precursor source cartridge to raise pressure and to ease subsequent flow of a mixture of precursor vapor and inactive gas towards the reaction chamber.27. The apparatus of claim 26 , wherein the apparatus is configured to:close the precursor cartridge after the pressure raise until the commencement of a next precursor pulse period, and further configured to:open a route towards the reaction chamber via the pulsing valve upon commencement of the next precursor pulse period.28. The apparatus of claim 26 , further comprising a second pulsing valve embedded into the precursor source configured to control feeding of precursor vapor from the precursor source to a reaction chamber comprised by the reactor containing the substrate.29. The apparatus of claim 28 , wherein the apparatus is configured to:convey inactive gas via the second pulsing valve to the precursor source cartridge to raise pressure and to ease subsequent flow of a ...

Подробнее
25-07-2013 дата публикации

Wound care system and bacteridical methods and devices

Номер: US20130189345A1

A variety of article and systems including wound care systems, methods for making the wound care systems, bactericidal, and methods for treating wounds using these systems are disclosed. The wound care systems may include a first material comprising one or more fibers or porous media. The one or more fibers or porous media may be coated with a second material that is capable of inhibiting the growth of bacteria and killing the bacteria to render the wound care system sterile, increasing the absorbency of the first material, or both upon exposure to light. The first material may be cotton, or any suitable fibrous material, the second material may be TiO 2 , and the light may be UV or visible light. A variety of methods including ALD may be used to coat the first material.

Подробнее
19-09-2013 дата публикации

Apparatus and Process for Atomic Layer Deposition with Horizontal Laser

Номер: US20130243971A1
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a gas distribution plate and at least one laser source emitting a laser beam adjacent the gas distribution plate to activate gaseous species from the gas distribution plate. Also provided are gas distribution plates with elongate gas injector ports where the at least one laser beam is directed along the length of the elongate gas injectors.

Подробнее
26-09-2013 дата публикации

Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium

Номер: US20130252439A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

A method includes: forming a thin film on a substrate by performing a cycle a predetermined number of times, the cycle including: (a) supplying a source gas to the substrate in a process chamber; and (b) supplying a reactive gas to the substrate in the process chamber, wherein at least one of (a) and (b) includes: (c) supplying the source gas or the reactive gas at a first flow rate with exhaust of an inside of the process chamber being suspended until an inner pressure of the process chamber reaches a predetermined pressure; and (d) supplying the source gas or the reactive gas at a second flow rate less than the first flow rate with exhaust of the inside of the process chamber being performed while maintaining the inner pressure of the process chamber at the predetermined pressure after the inner pressure of the process chamber reaches the predetermined pressure.

Подробнее
24-10-2013 дата публикации

Gas lock, and coating apparatus comprising a gas lock

Номер: US20130276900A1

The present invention relates to a gas lock for separating two gas chambers, which while taking up minimal space makes it possible to achieve the separation of gases without contact with the product/educt/transporting system. The gas lock according to the invention is distinguished by the integration of a measuring chamber for measuring at least one physical and/or chemical property. Also, the present invention relates to a coating device which comprises a gas lock according to the invention. Also provided are possibilities for using the gas lock according to the invention.

Подробнее
24-10-2013 дата публикации

Methods Of Forming Material Over A Substrate And Methods Of Forming Capacitors

Номер: US20130280426A1
Автор: Carlson Chris M., Song Zhe
Принадлежит:

A method of forming a material over a substrate includes performing at least one iteration of the following temporally separated ALD-type sequence. First, an outermost surface of a substrate is contacted with a first precursor to chemisorb a first species onto the outermost surface from the first precursor. Second, the outermost surface is contacted with a second precursor to chemisorb a second species different from the first species onto the outermost surface from the second precursor. The first and second precursors include ligands and different central atoms. At least one of the first and second precursors includes at least two different composition ligands. The two different composition ligands are polyatomic or a lone halogen. Third, the chemisorbed first species and the chemisorbed second species are contacted with a reactant which reacts with the first species and with the second species to form a reaction product new outermost surface of the substrate. 137-. (canceled)38. A method of forming a material over a substrate comprising performing at least one iteration of the following temporally separated ALD-type sequence:contacting an outermost surface of a substrate with a first precursor to chemisorb a first species onto the outermost surface from the first precursor, the first precursor comprising a central atom and at least two different composition ligands;contacting the outermost surface with a second precursor to chemisorb a second species different from the first species onto the outermost surface from the second precursor, the second precursor comprising a central atom and ligands, the central atoms of the first and second precursors being different; andcontacting the chemisorbed first species and the chemisorbed second species with a reactant which reacts with the first species and with the second species to form a reaction product new outermost surface of the substrate.39. The method of wherein all of the ligands of the second precursor are of the ...

Подробнее
07-11-2013 дата публикации

Methods for improving corrosion resistance and applications in electrical connectors

Номер: US20130292161A1
Принадлежит: Tyco Electronics Corp

A method of manufacturing an electrical conductor includes providing a substrate layer, depositing a graphene layer on the substrate layer and selectively depositing boundary cappings on defects of the graphene layer to inhibit corrosion of the substrate layer at the defects. Optionally, the boundary cappings may include nano-sized crystals deposited only at the defects. The selectively depositing may include electrodepositing boundary cappings on exposed portions of the substrate layer at the defects. The selectively depositing may include reacting boundary capping material with exposed portions of the substrate layer at the defects to deposit the boundary cappings only at the defects.

Подробнее
07-11-2013 дата публикации

Niobium and vanadium organometallic precursors for thin film deposition

Номер: US20130295778A1

Compound of the formula Cp(R 1 ) m M(NR 2 2 ) 2 (═NR 3 ) (I): wherein: M is a metal independently selected from Vanadium (V) or Niobium (Nb) and m≦5; R 1 is an organic ligand, each one independently selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atom; R 2 is an organic ligand, each one independently selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atom; R 3 is an organic ligand selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atom.

Подробнее
28-11-2013 дата публикации

GaN Epitaxy With Migration Enhancement and Surface Energy Modification

Номер: US20130313566A1
Принадлежит: Intermolecular Inc

Methods and apparatus for depositing thin films incorporating the use of a surfactant are described. Methods and apparatuses include a deposition process and system comprising multiple isolated processing regions which enables rapid repetition of sub-monolayer deposition of thin films. The use of surfactants allows the deposition of high quality epitaxial films at lower temperatures having low values of surface roughness. The deposition of Group III-V thin films such as GaN is used as an example.

Подробнее
28-11-2013 дата публикации

Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics

Номер: US20130313656A1
Автор: Jinhong Tong
Принадлежит: Intermolecular Inc

Provided is a two-step ALD deposition process for forming a gate dielectric involving an erbium oxide layer deposition followed by a hafnium oxide layer deposition. Hafnium oxide can provide a high dielectric constant, high density, large bandgap and good thermal stability. Erbium oxide can act as a barrier against oxygen diffusion, which can lead to increasing an effective oxide thickness of the gate dielectric and preventing hafnium-silicon reactions that may lead to higher leakage current.

Подробнее
16-01-2014 дата публикации

Deposition Of N-Metal Films Comprising Aluminum Alloys

Номер: US20140017408A1
Принадлежит: Individual

Provided are methods of depositing films comprising alloys of aluminum, which may be suitable as N-metal films. Certain methods comprise exposing a substrate surface to a metal halide precursor comprising a metal halide selected from TiCl 4 , TaCl 5 and HfCl 4 to provide a metal halide at the substrate surface; purging metal halide; exposing the substrate surface to an alkyl aluminum precursor comprising one or more of dimethyaluminum hydride, diethylhydridoaluminum, methyldihydroaluminum, and an alkyl aluminum hydrides of the formula [(CxHy) 3-a AlH a ] n , wherein x has a value of 1 to 3, y has a value of 2x+2, a has a value of 1 to 2, and n has a value of 1 to 4; and exposing the substrate surface to an alane-containing precursor comprising one or more of dimethylethylamine alane, methylpyrrolidinealane, di(methylpyrolidine)alane, and trimethyl amine alane borane. Other methods comprise exposing a substrate surface to a metal precursor and trimethyl amine alane borane.

Подробнее
06-01-2022 дата публикации

ATOMIC LAYER DEPOSITION OF RHENIUM CONTAINING THIN FILMS

Номер: US20220002868A1
Принадлежит:

Methods for depositing rhenium-containing thin films are provided. In some embodiments metallic rhenium-containing thin films are deposited. In some embodiments rhenium sulfide thin films are deposited. In some embodiments films comprising rhenium nitride are deposited. The rhenium-containing thin films may be deposited by cyclic vapor deposition processes, for example using rhenium halide precursors. The rhenium-containing thin films may find use, for example, as 2D materials. 1. A method for depositing a thin film comprising rhenium sulfide on a substrate , the method comprising two or more sequential deposition cycles each comprising alternately and sequentially contacting the substrate with a vapor-phase rhenium precursor comprising a rhenium halide compound and a vapor-phase sulfur reactant.2. The method of claim 1 , wherein the method is an atomic layer deposition (ALD) process.3. The method of claim 1 , wherein the method is a sequential or pulsed chemical vapor deposition (CVD) process.4. The method of claim 1 , wherein the vapor-phase rhenium precursor comprises ReClor ReF.5. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises hydrogen and sulfur.6. The method of claim 1 , wherein the vapor-phase sulfur reactant is an alkylsulfur compound.7. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises elemental sulfur.8. The method of claim 1 , wherein the vapor-phase sulfur reactant has the formula R—S—H claim 1 , wherein R is a substituted or unsubstituted hydrocarbon.9. The method of claim 8 , wherein R is a C1-C8 alkyl or substituted alkyl10. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises HS claim 1 , wherein n is from 4 to 10.11. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises one or more of HS claim 1 , (CH)S claim 1 , (NH)S claim 1 , ((CH)SO) claim 1 , and HS.12. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises (NH)S.13. The method of ...

Подробнее
07-01-2016 дата публикации

APPARATUS AND METHODS FOR SAFELY PROVIDING HAZARDOUS REACTANTS

Номер: US20160002777A1
Принадлежит:

An apparatus for providing a reactant comprises a reactant space and a reservoir space. The reactant space comprises a chemical complex capable of evolving the reactant when heated. The reservoir space, in turn, is in gas communication with the reactant space. The apparatus is operative to heat the chemical complex when a pressure of the reactant in the reservoir space is below a predetermined set-point, and to cool the chemical complex when the pressure of the reactant in the reservoir space is above the predetermined set-point. 1. A method to be performed in an apparatus with a reservoir space in gas communication with a reactant space , the method comprising the steps of:placing into the reactant space a reactant complex that is capable of evolving a reactant in the reactant space via thermal decomposition when heated;heating the reactant complex when a pressure of the reactant in the reservoir space is below a predetermined set-point, and cooling the reactant complex when the pressure of the reactant in the reservoir space is above the predetermined set-point; andutilizing the reactant from the reservoir space in a process.2. The method of claim 1 , wherein the reactant complex comprises hydrazine cyanurate and the reactant comprises hydrazine.3. The method of claim 2 , wherein the process comprises deposition of a film.4. The method of claim 2 , wherein the process comprises atomic layer deposition of a film.5. The method of claim 4 , wherein the film comprises one or more nitrides.6. The method of claim 4 , wherein the film comprises at least one of aluminum nitride claim 4 , silicon nitride claim 4 , gallium nitride claim 4 , titanium nitride claim 4 , tantalum nitride claim 4 , niobium nitride claim 4 , tungsten nitride claim 4 , and boron nitride.7. The method of claim 4 , wherein the film comprises titanium nitride claim 4 , and the atomic layer deposition further utilizes titanium tetrachloride.8. The method of claim 4 , wherein the film comprises silicon ...

Подробнее
07-01-2016 дата публикации

ENHANCED DEPOSITION OF LAYER ON SUBSTRATE USING RADICALS

Номер: US20160002783A1
Автор: LEE Sang In
Принадлежит:

Embodiments relate to using radicals to at different stages of deposition processes. The radicals may be generated by applying voltage across electrodes in a reactor remote from a substrate. The radicals are injected onto the substrate at different stages of molecular layer deposition (MLD), atomic layer deposition (ALD), and chemical vapor deposition (CVD) to improve characteristics of the deposited layer, enable depositing of material otherwise not feasible and/or increase the rate of deposition. Gas used for generating the radicals may include inert gas and other gases. The radicals may disassociate precursors, activate the surface of a deposited layer or cause cross-linking between deposited molecules. 1. A method of performing atomic layer deposition , comprising:generating radicals of a gas or a mixture of gases;injecting the generated radicals onto a surface of a substrate to increase a number of nucleation sites on the substrate by placing the surface of the substrate in a reactive state;injecting a first source precursor onto the surface of the substrate placed in the reactive state, adsorption of the first source precursor on the substrate facilitated by increase in the number of nucleation sites; andinjecting a first reactant precursor onto the substrate injected with the first source precursor to deposit a layer on the surface of the substrate.2. The method of claim 1 , wherein the gas or mixture of gases comprise inert gas.3. The method of claim 2 , wherein the inert gas is Argon.4. The method of claim 1 , further comprising:injecting the generated radicals onto the surface of the substrate deposited with the layer;injecting a second source precursor onto the surface of the substrate deposited with the layer; andinjecting a second reactant precursor onto the surface of the substrate deposited with the layer to deposit another layer.5. The method of claim 4 , wherein the second source precursor is a same material as the first source precursor claim 4 , ...

Подробнее
07-01-2016 дата публикации

BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS

Номер: US20160002786A1
Принадлежит:

Bis(alkylimido)-bis(alkylamido)molybdenum compounds, their synthesis, and their use for the deposition of molybdenum-containing films are disclosed. 1. An atomic layer deposition method for forming a molybdenum-containing film on a substrate , the method comprising:{'sub': 2', '2, 'introducing a molybdenum-containing precursor into a vapor deposition chamber containing a substrate, the molybdenum-containing precursor having the formula Mo(NR)(NHR′), wherein R and R′ are independently chosen from the group consisting of a C1-C4 alkyl group, a C1-04 perfluoroalkyl group, and an alkylsilyl group; and'}depositing at least part of the molybdenum-containing precursor on the substrate by atomic layer deposition to form the molybdenum-containing film.2. The atomic layer deposition method of claim 1 , wherein the molybdenum-containing precursor is selected from the group consisting of Mo(NMe)(NHMe) claim 1 , Mo(NMe)(NHEt) claim 1 , Mo(NMe)(NHPr) claim 1 , Mo(NMe)(NHiPr) claim 1 , Mo(NMe)(NHBu) claim 1 , Mo(NMe)(NHiBu) claim 1 , Mo(NMe)(NHsBu) claim 1 , Mo(NMe)(NHtBu) claim 1 , Mo(NMe)(NHtAmyl) claim 1 , Mo(NEt)(NHMe) claim 1 , Mo(NEt)(NHEt) claim 1 , Mo(NEt)(NHPr) claim 1 , Mo(NEt)(NHiPr) claim 1 , Mo(NEt)(NHBu) claim 1 , Mo(NEt)(NHiBu) claim 1 , Mo(NEt)(NHsBu) claim 1 , Mo(NEt)(NHtBu) claim 1 , Mo(NEt)(NHtAmyl) claim 1 , Mo(NPr)(NHMe) claim 1 , Mo(NPr)(NHEt) claim 1 , Mo(NPr)(NHPr) claim 1 , Mo(NPr)(NHiPr) claim 1 , Mo(NPr)(NHBu) claim 1 , Mo(NPr)(NHiBu) claim 1 , Mo(NPr)(NHsBu) claim 1 , Mo(NPr)(NHtBu) claim 1 , Mo(NPr)(NHtAmyl) claim 1 , Mo(NiPr)(NHMe) claim 1 , Mo(NiPr)(NHEt) claim 1 , Mo(NiPr)(NHPr) claim 1 , Mo(NiPr)(NHiPr) claim 1 , Mo(NiPr)(NHBu) claim 1 , Mo(NiPr)(NHiBu) claim 1 , Mo(NiPr)(NHsBu) claim 1 , Mo(NiPr)(NHtBu) claim 1 , Mo(NiPr)(NHtAmyl) claim 1 , Mo(NBu)(NHMe) claim 1 , Mo(NBu)(NHEt) claim 1 , Mo(NBu)(NHPr) claim 1 , Mo(NBu)(NHiPr) claim 1 , Mo(NBu)(NHBu) claim 1 , Mo(NBu)(NHiBu) claim 1 , Mo(NBu)(NHsBu) claim 1 , Mo(NBu)(NHtBu) claim 1 , Mo(NBu)( ...

Подробнее
02-01-2020 дата публикации

ISOLATED DEPOSITION ZONES FOR ATOMIC LAYER DEPOSITION

Номер: US20200002813A1
Принадлежит:

Systems and methods for depositing a material by atomic layer deposition. A first gas distribution unit is configured to provide a first precursor to a first zone inside a reaction chamber. A second gas distribution unit is configured to provide a second precursor to a second zone inside the reaction chamber. A substrate support is arranged to hold the substrates inside the reaction chamber. The substrate support is configured to linearly move the substrates relative to the reaction chamber from the first zone to the second zone as part of a cyclic deposition cycle of an atomic layer deposition process depositing the film on each of the substrates held by the substrate support. 1. A deposition system for depositing a film on a plurality of substrates , the deposition system comprising:a reaction chamber;a first gas distribution unit configured to provide a first precursor to a first zone inside the reaction chamber;a second gas distribution unit configured to provide a second precursor to a second zone inside the reaction chamber; anda substrate support configured to hold the substrates inside the reaction chamber, the substrate support arranged to linearly move the substrates relative to the reaction chamber from the first zone to the second zone as part of a cyclic deposition cycle of an atomic layer deposition process depositing the film on each of the substrates held by the substrate support.2. The deposition system of further comprising:one or more heating elements configured to heat the substrates held by the substrate support.3. The deposition system of further comprising:a gas curtain configured to provide an inert gas to a third zone inside the reaction chamber, the third zone arranged between the first zone and the second zone for isolating the first zone from the second zone.4. The deposition system of wherein the substrate support is arranged relative to the first zone claim 3 , the second zone claim 3 , and the third zone to move the substrates through ...

Подробнее
02-01-2020 дата публикации

Tin-Containing Precursors and Methods of Depositing Tin-Containing Films

Номер: US20200002814A1
Принадлежит: Applied Materials Inc

Tin containing precursors and methods of forming tin-containing thin films are described. The tin precursor has a tin-diazadiene bond and is homoleptic or heteroleptic. A suitable reactant is used to provide one of a metallic tin film or a film comprising one or more of an oxide, nitride, carbide, boride and/or silicide. Methods of forming ternary materials comprising tin with two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten are also described.

Подробнее
07-01-2021 дата публикации

DEPOSITION APPARATUS CAPABLE OF APPLYING POWDER PARTICLES, AND METHOD FOR APPLYING POWDER PARTICLES

Номер: US20210002764A1
Автор: KIM Do Heyoung
Принадлежит:

An ALD or digital CVD apparatus and method for microparticles are proposed. The apparatus and the method use an impact, which is caused by the pulsed introduction of a precursor or a purging gas to be introduced into a reactor, without additional vibration or rotation of the reactor, so as to inhibit the agglomeration of particles to be applied to a surface and enable dispersion to be maximized, thereby enabling each particle to be uniformly applied, and simultaneously preventing the loss, in the reactor during processing, of powder to be coated without an additional separate filter or filler. A deposition reactor has a structure in which at least two overlapping reactors are provided. A reactant or a purging gas directly flows into an inner reactor in which a chemical reaction occurs. A purging step is simultaneously carried out in inner and outer reactors. 1. A deposition apparatus comprising:{'b': 1', '10, 'an outer reactor () including an openable and closable door (); and'}{'b': 2', '1', '10, 'an inner reactor () fixedly loaded into the outer reactor () through the door (),'}{'b': 11', '1', '12', '2', '2, 'wherein a volume () of the outer reactor () and a volume () of the inner reactor () are spatially divided by the inner reactor (),'}{'b': '2', 'the inner reactor () has a spiral structure or a twisted configuration in which a middle area between opposite end portions thereof is twisted,'}{'b': 3', '4', '2', '7', '8', '1', '2, 'connectors (, ) are provided on the opposite end of the inner reactor () to be connected to feed lines (, ), along which a precursor serving as a reactant or a purge gas is loaded from outside the outer reactor () into the inner reactor () in a time division manner,'}{'b': 3', '4', '2', '7', '8, 'the connectors (, ) of the inner reactor () have a greater cross-sectional area than the feed lines (, ), and'}{'b': 2', '3', '4', '7', '8, 'a non-reacted portion of a reactant or the purge gas residing in the inner reactor () is discharged ...

Подробнее
07-01-2021 дата публикации

LIQUID VAPORIZER

Номер: US20210002767A1
Автор: Winkler Jereld Lee
Принадлежит:

A semiconductor processing device is disclosed. The semiconductor device includes a reactor and a vaporizer configured to provide a reactant vapor to the reactor. The device can include a process control chamber between the vaporizer and the reactor. The device can include a control system configured to modulate a pressure in the process control chamber based at least in part on feedback of measured pressure in the process control chamber. 1. A semiconductor processing device comprising:a reactor;a vaporizer configured to provide a reactant vapor to the reactor;a process control chamber between the vaporizer and the reactor;a control system configured to modulate a pressure in the process control chamber based at least in part on feedback of measured pressure in the process control chamber.2. The device of claim 1 , wherein the control system is configured to maintain a pressure in the vaporizer at or below a dew point pressure of the reactant vapor.3. The device of claim 2 , further comprising a first pressure transducer in fluid communication with the vaporizer claim 2 , wherein the control system comprises processing electronics configured to maintain the pressure in the vaporizer based at least in part on feedback from one or more pressure measurements obtained by the first pressure transducer.4. The device of claim 3 , further comprising a first valve upstream of the vaporizer and in electrical communication with the first pressure transducer claim 3 , the first valve configured to modulate the pressure in the vaporizer.5. (canceled)6. The device of claim 1 , further comprising a second pressure transducer in fluid communication with the process control chamber claim 1 , wherein the control system comprises processing electronics configured to modulate the pressure in the process control chamber and to maintain the pressure in the process control chamber at or below a dew point pressure of the reactant vapor.7. The device of claim 6 , wherein the control system ...

Подробнее
03-01-2019 дата публикации

SILICON-NITRIDE-CONTAINING THERMAL CHEMICAL VAPOR DEPOSITION COATING

Номер: US20190003044A1
Принадлежит:

Surfaces, articles, and processes having silicon-nitride-containing thermal chemical vapor deposition coating are disclosed. A process includes producing a silicon-nitride-containing thermal chemical vapor deposition coating on a surface within a chamber. Flow into and from the chamber is restricted or halted during the producing of the silicon-nitride-containing thermal chemical vapor deposition coating on the surface. A surface includes a silicon-nitride-containing thermal chemical vapor deposition coating. The surface has at least a concealed portion that is obstructed from view. An article includes a silicon-nitride-containing thermal chemical vapor deposition coating on a surface within a chamber. The surface has at least a concealed portion that is obstructed from view. 1. An article , comprising:a silicon-nitride-containing coating on a surface of the article,wherein the surface has at least a concealed portion that is obstructed from view.2. The article of claim 1 , wherein the silicon-nitride-containing coating includes pure silicon nitride.3. The article of claim 1 , wherein the silicon-nitride-containing coating includes substantially pure silicon nitride.4. The article of claim 1 , wherein the silicon-nitride-containing coating includes silicon nitride oxide.5. The article of claim 1 , wherein the silicon-nitride-containing coating includes a functionalized layer.6. The article of claim 1 , further comprising an additional silicon-nitride-containing coating claim 1 , the additional silicon nitride coating having a smaller thickness than the silicon-nitride-containing coating.7. The article of claim 1 , further comprising an additional silicon-nitride-containing coating claim 1 , the additional silicon nitride coating having a greater thickness than the silicon-nitride-containing coating.8. The article of claim 1 , further comprising an additional silicon-nitride-containing coating claim 1 , the additional silicon nitride coating having a thickness of ...

Подробнее
03-01-2019 дата публикации

Fluidized Bed Reactor Adapted For The Production Of Biphased Systems

Номер: US20190003045A1
Принадлежит:

A fluidized bed reactor designed for in situ gas phase impregnation. The reactor comprises a tube with an upstream zone and a downstream zone, the upstream zone and the downstream zone being separated by a separation filter. A method for a controlled-deposition of a sublimated precursor onto a fluidized solid support. The method is remarkable in that it is carried out in situ within the tube of the fluidized bed reactor in accordance with the fluidized bed reactor. 120-. (canceled)21. A fluidized bed reactor , said fluidized bed reactor comprising:a gas inlet and a gas outlet being located downstream from the gas inlet;a tube inserted between the gas inlet and the gas outlet; anda heating part connected to the tube,wherein the tube comprises an upstream zone and a downstream zone, the upstream zone and the downstream zone being separated by a separation filter.22. The fluidized bed reactor according to claim 21 , wherein the tube is made of material which is resistant to temperature of at least up to 1000° C. and is transparent.23. The fluidized bed reactor according to claim 21 , wherein the tube is made of quartz.24. The fluidized bed reactor according to claim 21 , wherein the upstream zone is delimited by the separation filter and by a first porous filter claim 21 , and the downstream zone is delimited by the separation filter and by a second porous filter.25. The fluidized bed reactor according to claim 24 , wherein the tube is inserted between the gas inlet and the gas outlet with respectively a first seal and a second seal.26. The fluidized bed reactor according to claim 25 , wherein the first porous filter is adjacent to the first seal and the second porous filter is adjacent to the second seal.27. The fluidized bed reactor according to claim 21 , wherein the heating part is at least one of a heating cable claim 21 , a heating jacket and a thermal activation source.28. The fluidized bed reactor according to claim 21 , wherein at least one vibrator is ...

Подробнее
03-01-2019 дата публикации

Deposition of Molybdenum Thin Films Using A Molybdenum Carbonyl Precursor

Номер: US20190003050A1
Принадлежит:

Transition metal precursors are disclosed herein along with methods of using these precursors to deposit metal thin films. Advantageous properties of these precursors and methods are also disclosed, as well as superior films that can be achieved with the precursors and methods. 1. A method for forming a molybdenum-containing film by a deposition process , the method comprising delivering at least one organometallic precursor of the formula [CH(Cl)P]Mo(CO)to a substrate.2. The method of claim 1 , wherein the deposition process is chemical vapor deposition or atomic layer deposition.3. The method of claim 2 , wherein the chemical vapor deposition is selected from the group consisting of pulsed chemical vapor deposition claim 2 , liquid injection chemical vapor deposition claim 2 , and continuous flow chemical vapor deposition and the atomic layer deposition is liquid injection atomic layer deposition or plasma-enhanced atomic layer deposition.4. (canceled)5. (canceled)6. (canceled)7. The method of claim 1 , wherein the substrate is at a temperature from 250° C. to 450° C.8. The method of claim 7 , wherein the temperature is a temperature from 300° C. to 350° C.9. The method of claim 8 , wherein the temperature is a temperature from 330° C. to 345° C.10. The method of claim 1 , wherein the deposition process is conducted in a chamber pressure from 0.2 Torr to 10 Torr.11. The method of claim 10 , wherein the pressure is a pressure from 0.7 Torr to 2 Torr.12. The method of claim 10 , wherein the pressure is a pressure from 0.7 Torr to 1.3 Torr.13. The method of claim 1 , wherein the substrate is exposed to hydrogen for at least 2 seconds for every 1 second of organometallic precursor exposure.14. The method of claim 13 , wherein the substrate is exposed to hydrogen for at least 14 seconds for every 1 second of organometallic precursor exposure.15. The method of claim 14 , wherein the substrate is exposed to hydrogen for at least 100 seconds for every 1 second of ...

Подробнее
07-01-2021 дата публикации

Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures

Номер: US20210005723A1
Принадлежит: ASM IP Holding BV

Methods for forming a metal silicate film on a substrate in a reaction chamber by a cyclical deposition process are provided. The methods may include: regulating the temperature of a hydrogen peroxide precursor below a temperature of 70° C. prior to introduction into the reaction chamber, and depositing the metal silicate film on the substrate by performing at least one unit deposition cycle of a cyclical deposition process. Semiconductor device structures including a metal silicate film formed by the methods of the disclosure are also provided.

Подробнее
02-01-2020 дата публикации

Deposition And Etch Processes Of Chromium-Containing Thin Films For Semiconductor Manufacturing

Номер: US20200006056A1
Принадлежит:

Chromium containing precursors and methods of forming chromium-containing thin films are described. The chromium precursor has a chromium-diazadiene bond or cyclopentadienyl ligand and is homoleptic or heteroleptic. A suitable reactant is used to provide one of a metallic chromium film or a film comprising one or more of an oxide, nitride, carbide, boride and/or silicide. Methods of forming ternary materials comprising chromium with two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten are also described. Methods of filling gaps in a substrate with a chromium-containing film are also described. 2. The method of claim 1 , wherein the chromium precursor and the reactant are exposed to the substrate separately.3. The method of claim 2 , wherein the chromium precursor and an oxygenating agent are separated temporally.4. The method of claim 2 , wherein the chromium precursor and an oxygenating agent are separated spatially.5. The method of claim 1 , wherein the chromium precursor comprises a homoleptic chromium-diazadiene complexes includes compounds with the general formula Cr(DAD) claim 1 , where DAD is a diazadiene.9. The method of claim 8 , wherein the chromium precursor comprises a heteroleptic chromium-diazadiene complex.10. The method of claim 8 , wherein the chromium precursor comprises a homoleptic chromium-diazadiene complex.11. The method of claim 10 , wherein the chromium precursor comprises bis(1 claim 10 ,4-ditertbutyldiazadienyl)chromium(II).12. The method of claim 1 , wherein the film comprises one or more of chromium metal claim 1 , an oxide claim 1 , nitride claim 1 , carbide claim 1 , boride or silicide.13. The method of claim 1 , wherein the reactant comprises one or more of an alcohol claim 1 , ammonia claim 1 , molecular hydrogen claim 1 , hydrazine claim 1 , substituted hydrazine claim 1 , substituted cyclohexadiene claim 1 , substituted dihydropyrazine claim 1 , aluminum-containing molecules or plasma ...

Подробнее
07-01-2021 дата публикации

Methods, catalysts, and supports for electrochemical devices

Номер: US20210005901A1
Принадлежит: UNIVERSITY OF WYOMING

Embodiments described herein relate to methods for preparing catalysts and catalyst supports. In one embodiment, transition metal carbide materials, having a nanotube like morphology, are utilized as a support for a precious metal catalyst, such as platinum. Embodiments described herein also relate to proton exchange membrane fuel cells that incorporate the catalysts described herein.

Подробнее
03-01-2019 дата публикации

CHALCOGENIDE FILMS FOR SELECTOR DEVICES

Номер: US20190006586A1
Принадлежит:

Methods are provided for depositing doped chalcogenide films. In some embodiments the films are deposited by vapor deposition, such as by atomic layer deposition (ALD). In some embodiments a doped GeSe film is formed. The chalcogenide film may be doped with carbon, nitrogen, sulfur, silicon, or a metal such as Ti, Sn, Ta, W, Mo, Al, Zn, In, Ga, Bi, Sb, As, V or B. In some embodiments the doped chalcogenide film may be used as the phase-change material in a selector device. 1. An atomic layer deposition (ALD) method for forming a selector device comprising depositing a doped chalcogenide film on a substrate by a process comprising multiple deposition cycles in which the substrate is alternately and sequentially contacted with two or more reactants for forming the chalcogenide film , and wherein the substrate is contacted with a third dopant precursor in one or more of the deposition cycles.2. The method of claim 1 , wherein the substrate is alternately and sequentially contacted with each of the reactants in the one or more of the deposition cycles.3. The method of claim 1 , wherein the ALD method comprises two or more deposition cycles in which the substrate is alternately and sequentially contacted with the first reactant claim 1 , the second reactant and the dopant precursor to form the doped chalcogenide film.4. The method of claim 1 , wherein the ALD method comprises a first primary deposition sub-cycle in which the substrate is alternately and sequentially contacted with the first reactant and a second reactant to form a chalcogenide material and a second dopant sub-cycle in which the substrate is contacted with the dopant precursor.5. The method of claim 4 , wherein the substrate is alternately and sequentially contacted with one or both of the first and second reactants and the dopant precursor in the dopant sub-cycle.6. The method of claim 4 , wherein the dopant sub-cycle is provided at one or more intervals in the ALD method to obtain the desired dopant ...

Подробнее
20-01-2022 дата публикации

METHOD FOR MANUFACTURING MOLYBDENUM-CONTAINING THIN FILM AND MOLYBDENUM-CONTAINING THIN FILM MANUFACTURED THEREBY

Номер: US20220018017A1
Принадлежит:

The present invention provides a method for manufacturing a molybdenum-containing thin film and a molybdenum-containing thin film manufactured thereby. By using a molybdenum (0)-based hydrocarbon compound and a predetermined reaction gas, the method for manufacturing a molybdenum-containing thin film according to the present invention enables easy manufacturing of a highly pure thin film in a simple process. 1. A method of manufacturing a molybdenum-containing thin film , the method comprising:using a molybdenum(0)-based hydrocarbon compound as a precursor for depositing a thin film, andusing iodine, (C1-C3)alkyl iodide, iodo silane, or a mixture thereof as a reaction gas to manufacture the molybdenum-containing thin film.2. The method of claim 1 , wherein the method is performed by atomic layer deposition (ALD) claim 1 , chemical vapor deposition (CVD) claim 1 , metalorganic chemical vapor deposition (MOCVD) claim 1 , low pressure chemical vapor deposition (LPCVD) claim 1 , plasma-enhanced chemical vapor deposition (PECVD) claim 1 , or plasma-enhanced atomic layer deposition (PEALD).3. The method of claim 1 , wherein the method includes:a) maintaining a temperature of a substrate mounted in a chamber at 80 to 500° C.;b) injecting a carrier gas and the molybdenum(0)-based hydrocarbon compound; andc) injecting a reaction gas which is iodine, (C1-C3)alkyl iodide, iodo silane, or a mixture thereof to manufacture the molybdenum-containing thin film on the substrate.4. The method of claim 1 , wherein the reaction gas is used at 0.1 to 200 mol claim 1 , based on 1 mol of the molybdenum(0)-based hydrocarbon compound.5. The method of claim 3 , further comprising claim 3 , after c) claim 3 , performing heat treatment.6. The method of claim 5 , wherein the heat treatment is performed at 200 to 700° C.8. The method of claim 1 , wherein the reaction gas is I claim 1 , CHI claim 1 , CHI claim 1 , CHI claim 1 , CHCHI claim 1 , CHCHI claim 1 , ICHCHI claim 1 , CHCHCHI claim 1 , ...

Подробнее
20-01-2022 дата публикации

Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films

Номер: US20220018026A1

Disclosed are Group 6 film forming compositions comprising Group 6 transition metal-containing precursors selected from the group consisting of:M(=O)2(OR)2  Formula I,M(=O)(NR2)4  Formula II,M(=O)2(NR2)2  Formula III,M(=NR)2(OR)2  Formula IV, andM(=O)(OR)4  Formula V,wherein M is Mo or W and each R is independently H, a C1 to C6 alkyl group, or SiR′3, wherein R′ is H or a C1 to C6 alkyl group. Also disclosed are methods of synthesizing and using the disclosed compositions to deposit Group 6 transition metal-containing films on substrates via vapor deposition processes.

Подробнее
20-01-2022 дата публикации

Structures and methods for use in photolithography

Номер: US20220019149A1
Принадлежит: ASM IP Holding BV

Methods of forming structures including a stress management layer for photolithography and structures including the stress management layer are disclosed. Further disclosed are systems for depositing a stress management layer. Exemplary methods include forming the stress management layer using one or more of plasma-enhanced cyclic (e.g., atomic layer) deposition and plasma-enhanced chemical vapor deposition.

Подробнее
20-01-2022 дата публикации

Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories

Номер: US20220020862A1
Принадлежит: Entegris Inc

Provided are carbon-free (i.e., less than about 0.1 atomic percentage of carbon) Zr doped HfO2 films, where Zr can be up to the same level of Hf in terms of atomic percentage (i.e., 1% to 60%). The Zr doping can be achieved also by nanometer m laminated ZrO2 and HfO2 films useful in ferroelectric memories (FeRAM). The laminated films are comprised of about 5 to 10 layers of HfO2 and ZrO2 (i.e., alternating) films, each of which for example can be a thickness of about 1 to about 2 nm, wherein the laminated films are a total of about 5 to 10 nm in thickness.

Подробнее
27-01-2022 дата публикации

RAW MATERIAL FOR FORMING THIN FILM BY ATOMIC LAYER DEPOSITION METHOD, METHOD OF PRODUCING THIN FILM, AND ALKOXIDE COMPOUND

Номер: US20220024953A1
Принадлежит: ADEKA CORPORATION

Provided is a thin-film forming raw material, which is used in an atomic layer deposition method, including an alkoxide compound represented by the following general formula (1): 2. A method of producing a thin-film containing a tin atom on a surface of a substrate by an atomic layer deposition method ,the method comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'vaporizing the thin-film forming raw material of , which is used in an atomic layer deposition method, followed by deposition of the raw material on the surface of the substrate to form a precursor thin-film; and'}causing the precursor thin-film to react with a reactive gas to form the thin-film containing a tin atom on the surface of the substrate. The present invention relates to a thin-film forming raw material, which is used in an atomic layer deposition method, containing an alkoxide compound having a specific structure, a method of producing a thin-film, and an alkoxide compound.A thin-film containing a tin atom shows specific electrical characteristics. Accordingly, the thin-film containing a tin atom has been applied to various applications including a transparent electrode, a resistance film, and a barrier film.As a method of producing a thin-film, there are given, for example, a sputtering method, an ion plating method, an MOD method, such as a coating thermal decomposition method and a sol-gel method, and a CVD method. Of those, an atomic layer deposition method (hereinafter sometimes referred to as ALD method) is an optimum production process because the atomic layer deposition method has a number of advantages, such as excellent composition controllability and step coverage, suitability for mass production, and capability of hybrid integration.Various materials that can be used in vapor phase thin-film formation methods, such as the CVD method and the ALD method, have been reported. A thin-film forming raw material applicable to the ALD method is required to have a ...

Подробнее
14-01-2016 дата публикации

Methods for Encapsulating Nanocrystals and Resulting Compositions

Номер: US20160009988A1
Автор: Dubrow Robert S.
Принадлежит: NANOSYS, INC.

The present invention provides methods for hermetically sealing luminescent nanocrystals, as well as compositions and containers comprising hermetically scaled luminescent nanocrystals. By hermetically sealing the luminescent nanocrystals, enhanced lifetime and luminescence can be achieved. 1. A composition comprising:luminescent core-shell nanocrystals;an inorganic layer covering each of the luminescent core-shell nanocrystals; anda barrier layer, disposed on the inorganic layer, configured to hermetically seal the composition.2. The composition of claim 1 , wherein the luminescent core-shell nanocrystals are separated from each other by the inorganic layer.3. The composition of claim 1 , wherein the luminescent nanocrystals are selected from the group consisting of CdSe/ZnS claim 1 , CdSe/CdS claim 1 , and InP/ZnS.4. The composition of claim 1 , wherein the luminescent nanocrystals are between about 1-10 nm in size.5. The composition of claim 1 , wherein the inorganic layer comprises silicon.6. The composition of claim 1 , wherein the inorganic layer comprises silica or titania.7. The composition of claim 1 , wherein the barrier layer comprises SiO claim 1 , TiO claim 1 , or AlO.8. A method comprising: luminescent core-shell nanocrystals coated with an inorganic layer, and', 'an inorganic layer covering each of the luminescent core-shell nanocrystals; and, 'forming a composition comprisingdisposing a barrier layer on the inorganic layer to hermetically seal the composition.9. The method of claim 8 , wherein the luminescent core-shell nanocrystals are separated from each other by the inorganic layer.10. The method of claim 8 , wherein the luminescent nanocrystals are selected from the group consisting of CdSe/ZnS claim 8 , CdSe/CdS claim 8 , and InP/ZnS.11. The method of claim 8 , wherein the luminescent nanocrystals are between about 1-10 nm in size.12. The method of claim 8 , wherein the inorganic layer comprises silicon.13. The method of claim 8 , wherein the ...

Подробнее
14-01-2016 дата публикации

Plasma-Enhanced Atomic-Layer Deposition System and Method

Номер: US20160010207A1
Автор: Wang Dongjun
Принадлежит:

In one example, we describe a type of plasma-enhanced atomic layer deposition equipment including: fluidly connected plasma generation chamber, diffusion chamber, reaction chamber, and pumping chamber, wherein the plasma generation chamber includes a plasma generation gas inlet and a plasma generation device that enables the generation of plasma from the plasma generation gas coming from the plasma generation gas inlet. A precursor inlet is disposed between the plasma generation chamber and the diffusion chamber. Precursors coming from the precursor inlet and generated plasma diffuse uniformly inside the diffusion chamber. A sample stage, for the placement of sample to be deposited on, is disposed inside the reaction chamber. The pumping chamber is sequentially connected to an exhaust trap and pumping system. The equipment has enabled high quality atomic layer thin film deposition. 1. A plasma-enhanced atomic layer deposition apparatus , said apparatus comprising:a plasma generation chamber;a diffusion chamber;a reaction chamber;a pumping chamber;wherein said plasma generation chamber, said diffusion chamber, said reaction chamber, and said pumping chamber are fluidly connected;wherein said plasma generation chamber comprises a plasma generation gas inlet and a plasma generation device, which enables gas, coming from said plasma generation gas inlet, to generate plasma;wherein a precursor inlet is located between said plasma generation chamber and said diffusion chamber;wherein precursors coming from said precursor inlet and said generated plasma diffuse uniformly inside said diffusion chamber;a sample stage;wherein a substrate is disposed on said sample stage, which is located inside said reaction chamber;an exhaust trap;a pumping system;wherein said pumping chamber is sequentially connected to said exhaust trap and said pumping system.2. The plasma-enhanced atomic layer deposition apparatus as recited in claim 1 , wherein said plasma generation device is an ...

Подробнее
14-01-2016 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20160010210A1
Автор: Yanai Hidehiro
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

A substrate processing apparatus includes a process chamber including a process space configured to accommodate a substrate; a substrate support part including a substrate mounting stand; a first gas supply part; a second gas supply part; a gas introduction port configured to introduce a gas from the first gas supply part or the second gas supply part; a gas rectifying part including an opening through which the gas introduced from the gas introduction port passes; a gas flow passage communicated with the opening and formed between the gas rectifying part and an outer periphery of the substrate mounting stand in a circumferential direction; a gas pressure equalizing part including at least two gas pressure equalizing spaces; a purge gas supply part configured to supply different amount of a purge gas to each of the at least two gas pressure equalizing spaces; and a conductance adjustment part. 1. A substrate processing apparatus , comprising:a process chamber including a process space configured to accommodate a substrate;a substrate support part installed in the process chamber, the substrate support part including a substrate mounting stand;a first gas supply part configured to supply a first gas to the substrate;a second gas supply part configured to supply a second gas to the substrate;a gas introduction port installed in the process chamber, the gas introduction port being configured to introduce a gas from the first gas supply part or the second gas supply part;a gas rectifying part installed between the gas introduction port and the process space, the gas rectifying part including an opening through which the gas introduced from the gas introduction port passes;a gas flow passage communicated with the opening and formed between the gas rectifying part and an outer periphery of the substrate mounting stand in a circumferential direction;a gas pressure equalizing part including at least two gas pressure equalizing spaces;a purge gas supply part configured to ...

Подробнее
14-01-2021 дата публикации

METHOD OF PRODUCING HIGH BULK DENSITY MOLYBDENUM OXYCHLORIDE

Номер: US20210009436A1
Автор: Takahashi Hideyuki
Принадлежит:

Provided is a method of producing a high purity molybdenum oxychloride by including means of sublimating and reaggregating a raw material molybdenum oxychloride in a reduced-pressure atmosphere, or means of retaining a gaseous raw material molybdenum oxychloride, which was synthesized in a vapor phase, in a certain temperature range, and thereby growing crystals to obtain a higher purity molybdenum oxychloride having a high bulk density and high hygroscopicity resistance. 1. A method of producing a molybdenum oxychloride comprising the steps of heating a raw material molybdenum oxychloride , which is a crystal powder , at a temperature range of 70° C. or higher and 150° C. or less in a reduced-pressure atmosphere , sublimating a molybdenum oxychloride from the raw material , and cooling/reaggregating a product thereof to obtain molybdenum oxychloride having a bulk density that is higher than that of the raw material.2. The method of producing a molybdenum oxychloride according to claim 1 , wherein the reduced-pressure atmosphere is an atmosphere of a pressure of 1 kPa or more and 20 kPa or less.3. A method of producing a molybdenum oxychloride comprising the steps of retaining a gaseous raw material molybdenum oxychloride claim 1 , which was synthesized based on a reaction of a molybdenum oxide powder and chlorine gas at 700° C. or higher in a vapor phase claim 1 , at a temperature range of 40° C. or higher and 120° C. or less in an atmospheric pressure claim 1 , growing crystals of molybdenum oxychloride from the raw material claim 1 , and obtaining a molybdenum oxychloride having a bulk density that is higher than that of the raw material.4. The method of producing a molybdenum oxychloride according to claim 3 , wherein the molybdenum oxychloride is one among molybdenum dichloride dioxide (MoOCl) claim 3 , molybdenum trichloride oxide (MoOCl) or molybdenum tetrachloride oxide (MoOCl).5. The method of producing a molybdenum oxychloride according to claim 4 , ...

Подробнее
27-01-2022 дата публикации

DEPOSITION OF SEMICONDUCTOR INTEGRATION FILMS

Номер: US20220026807A1
Принадлежит:

Embodiments disclosed herein include methods of depositing a metal oxo photoresist using dry deposition processes. In an embodiment, the method comprises forming a first metal oxo film on the substrate with a first vapor phase process including a first metal precursor vapor and a first oxidant vapor, and forming a second metal oxo film over the first metal oxo film with a second vapor phase process including a second metal precursor vapor and a second oxidant vapor. 1. A method of forming a photoresist layer over a substrate , comprising:forming a first metal oxo film on the substrate with a first vapor phase process including a first metal precursor vapor and a first oxidant vapor, wherein a flowrate of the first metal precursor vapor and the first oxidant vapor is non-uniform across a surface of the substrate; andforming a second metal oxo film over the first metal oxo film with a second vapor phase process including a second metal precursor vapor and a second oxidant vapor, wherein a flowrate of the second metal precursor vapor and the second oxidant vapor is non-uniform across the surface of the substrate.2. The method of claim 1 , wherein a material composition of the first metal oxo film is different than a material composition of the second metal oxo film.3. The method of claim 1 , wherein a thickness of the first metal oxo film is approximately 5 nm or less.4. The method of claim 3 , wherein the first metal precursor vapor is different than the second metal precursor vapor claim 3 , and/or the first oxidant vapor is different than the second oxidant vapor.5. The method of claim 1 , wherein the first vapor phase process and the second vapor phase process are chemical vapor deposition (CVD) processes claim 1 , plasma enhanced CVD (PE-CVD) processes claim 1 , atomic layer deposition (ALD) processes claim 1 , or plasma enhanced ALD (PE-ALD) processes.6. The method of claim 1 , wherein a temperature of the substrate is between approximately 0° C. and ...

Подробнее
11-01-2018 дата публикации

Process for producing flexible organic-inorganic laminates

Номер: US20180010249A1
Принадлежит: BASF COATINGS GMBH, BASF SE

Processes for producing flexible organic-inorganic laminates by atomic layer deposition are described, as well as barrier films comprising flexible organic-inorganic laminates. In particular, a process for producing a laminate including (a) depositing an inorganic layer by an atomic layer deposition process, and (b) depositing an organic layer comprising selenium by a molecular layer deposition process is provided.

Подробнее
27-01-2022 дата публикации

METHODS FOR FORMING A RHENIUM-CONTAINING FILM ON A SUBSTRATE BY A CYCLICAL DEPOSITION PROCESS AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер: US20220028694A1
Автор: Sharma Varun
Принадлежит:

Methods for forming a rhenium-containing film on a substrate by a cyclical deposition are disclosed. The method may include: contacting the substrate with a first vapor phase reactant comprising a rhenium precursor; and contacting the substrate with a second vapor phase reactant. Semiconductor device structures including a rhenium-containing film formed by the methods of the disclosure are also disclosed. 1. A method for forming a rhenium-containing film on a substrate by a cyclical deposition process , the method comprising:contacting the substrate with a first vapor phase reactant comprising a rhenium oxyhalide precursor, an alkyl rhenium oxide precursor, a cyclopentadienyl based rhenium precursor, or a rhenium carbonyl halide precursor; andcontacting the substrate with a second vapor phase reactant,wherein the rhenium-containing film comprises boron, sulfur, carbon, nitrogen, phosphorus, or a combination thereof.2. The method of claim 1 , wherein the rhenium-containing film comprises at least one of a rhenium boride film claim 1 , a rhenium sulfide film claim 1 , a rhenium carbide film claim 1 , a rhenium nitride film claim 1 , and a rhenium phosphide film.3. The method of claim 1 , wherein the second vapor phase reactant comprises at least one of an oxygen containing precursor claim 1 , a boron containing precursor claim 1 , a sulfur containing precursor claim 1 , and a hydrogen containing precursor.4. The method of claim 1 , further comprising forming a conductive capping layer over a surface of the rhenium-containing film.5. The method of claim 4 , wherein the conductive capping layer comprises at least one of a titanium nitride claim 4 , a rhenium boride claim 4 , a rhenium carbide claim 4 , a rhenium phosphide claim 4 , a rhenium nitride claim 4 , a tantalum nitride claim 4 , tantalum claim 4 , a tungsten carbide claim 4 , molybdenum claim 4 , or a niobium boride.6. The method of claim 1 , wherein the rhenium-containing film comprises a rhenium boron carbide ...

Подробнее
14-01-2021 дата публикации

PULSE-MANAGED PLASMA METHOD FOR COATING ON INTERNAL SURFACES OF WORKPIECES

Номер: US20210010378A1
Принадлежит:

An article has a cavity defined by an inner surface, the cavity having a size such that a largest sphere placeable in the cavity has a diameter of less than 7 cm and a smallest sphere placeable in the cavity has a diameter of 0.5 mm; and a hard coating on the inner surface, the hard coating having a hardness between 18 to 100 GPa, the hard coating distributed on the inner surface such that a ratio of a coating thickness at a first region of the hard coating to that at a second region of the hard coating ranges from 0.75 to 1.33. 1. An article comprising:a cavity defined by an inner surface, the cavity having a size such that a largest sphere placeable in the cavity has a diameter of less than 7 cm and a smallest sphere placeable in the cavity has a diameter of 0.5 mm; anda hard coating on the inner surface, the hard coating having a hardness between 18 to 100 GPa, the hard coating distributed on the inner surface such that a ratio of a coating thickness at a first region of the hard coating to that at a second region of the hard coating ranges from 0.75 to 1.33.2. The article according to claim 1 , wherein the ratio of the coating thickness ranges from 0.8 to 1.25.3. The article according to claim 1 , wherein the hard coating is a polycrystalline claim 1 , nanocomposite claim 1 , nanolaminate or X-ray amorphous coating.4. The article according to claim 1 , wherein the inner surface of the article is metallic.5. The article according to claim 1 , wherein the hard coating has a thickness of from 2 to 30 μm.6. The article according to claim 1 , wherein the hard coating is polycrystalline. The article according to claim 1 , wherein the hard coating is one of TiN claim 1 , TiCN claim 1 , TiSiN claim 1 , or TiSiCN.8. The article according to claim 1 , wherein the article is a diffuser pipe claim 1 , a diffuser case claim 1 , a turbine blade claim 1 , or a turbine disk of a gas turbine engine.9. A method of coating an article with a hard coating claim 1 , the method ...

Подробнее
09-01-2020 дата публикации

Methods For Atomic Layer Deposition Of SiCO(N) Using Halogenated Silylamides

Номер: US20200010954A1
Принадлежит:

Methods for the formation of films comprising Si, C, O and N are provided. Certain methods involve sequential exposures of a hydroxide terminated substrate surface to a silicon precursor and an alcohol-amine to form a film with hydroxide terminations. Certain methods involved sequential exposures of hydroxide terminated substrate surface to a silicon precursor and a diamine to form a film with an amine terminated surface, followed by sequential exposures to a silicon precursor and a diol to form a film with a hydroxide terminated surface. 1. A method of depositing a film comprising Si , C , O and N , the method comprising:exposing a substrate surface to a silicon precursor to form a film with silicon-halogen terminations, wherein the silicon precursor comprises a halogenated silyl amide; andexposing the film with silicon-halogen terminations to an alcohol-amine to form a film comprising —OH terminations.2. The method of claim 1 , wherein the silicon precursor comprises substantially no Si—C bonds.3. The method of claim 1 , wherein the silicon precursor comprises a compound having the general formula XSi(NRR′) claim 1 , where n is 1-3 claim 1 , each X is independently F claim 1 , Cl claim 1 , Br or I claim 1 , each of R and R′ is an alkyl or aryl having in the range of 1 to 8 carbon atoms.4. The method of claim 3 , wherein each X is selected from Br or I.5. The method of claim 1 , wherein the alcohol-amine has a general formula HN—R″—OH claim 1 , where R″ is an alkyl claim 1 , alkyenyl or alkynyl group having in the range of 1 to 16 carbons atoms.6. The method of claim 5 , wherein R″ has in the range of 2 to 8 carbon atoms.7. The method of claim 6 , wherein R″ has in the range of 2 to 4 carbon atoms.8. The method of claim 1 , further comprising repeating exposures to the silicon precursor and alcohol-amine to form a film having a predetermined thickness.9. A method of depositing a film comprising Si claim 1 , C claim 1 , O and N claim 1 , the method comprising: ...

Подробнее
11-01-2018 дата публикации

Tin oxide thin film spacers in semiconductor device manufacturing

Номер: US20180012759A1
Принадлежит: Lam Research Corp

Thin tin oxide films are used as spacers in semiconductor device manufacturing. In one implementation, thin tin oxide film is conformally deposited onto a semiconductor substrate having an exposed layer of a first material (e.g., silicon oxide or silicon nitride) and a plurality of protruding features comprising a second material (e.g., silicon or carbon). For example, 10-100 nm thick tin oxide layer can be deposited using atomic layer deposition. Next, tin oxide film is removed from horizontal surfaces, without being completely removed from the sidewalls of the protruding features. Next, the material of protruding features is etched away, leaving tin oxide spacers on the substrate. This is followed by etching the unprotected portions of the first material, without removal of the spacers. Next, underlying layer is etched, and spacers are removed. Tin-containing particles can be removed from processing chambers by converting them to volatile tin hydride.

Подробнее
14-01-2021 дата публикации

METHODS FOR FORMING A METALLIC FILM ON A SUBSTRATE BY CYCLICAL DEPOSITION AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер: US20210013042A1
Принадлежит:

Methods for forming a metallic film on a substrate by cyclical deposition are provided. In some embodiments methods may include contacting the substrate with a first reactant comprising a non-halogen containing metal precursor comprising at least one of copper, nickel or cobalt and contacting the substrate with a second reactant comprising a hydrocarbon substituted hydrazine. In some embodiments related semiconductor device structures may include at least a portion of a metallic interconnect formed by cyclical deposition processes. 121-. (canceled)22. A system for forming a metallic film on a substrate , comprising:a reaction chamber constructed and arranged to hold the substrate therein;a first precursor reactant source fluidly coupled to the reaction chamber, wherein the first precursor reactant source is constructed and arranged to hold a non-halogen containing metal precursor comprising at least one of copper, nickel, or cobalt, wherein the non-halogen containing metal precursor comprises at least one ligand bonded to a metal atom through at least one oxygen atom and at least one nitrogen atom;a second precursor reactant source fluidly coupled to the reaction chamber, wherein the second precursor reactant source is constructed and arranged to hold a hydrocarbon substituted hydrazine precursor; anda system operation and control in electronic communication with the first precursor reactant source and the second precursor reactant source, wherein the system operation and control is configured to selectively allow the non-halogen containing metal precursor to flow from the first precursor reactant source to the reaction chamber and the hydrocarbon substituted hydrazine precursor to flow from the second precursor reactant source to the reaction chamber.23. The system of claim 22 , further comprising a purge gas source fluidly coupled to the reaction chamber constructed and arranged to hold a purge gas.24. The system of claim 22 , wherein the purge gas comprises at ...

Подробнее
09-01-2020 дата публикации

Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES

Номер: US20200013611A1
Принадлежит:

Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%). 1. A plasma enhanced atomic layer deposition method of depositing a silicon nitride thin film on a three-dimensional structure on a substrate in a reaction space , the method comprising a plurality of deposition cycles , each deposition cycle comprising:(a) introducing a vapor-phase silicon reactant into the reaction space so that a silicon precursor is adsorbed on a surface of the substrate;(b) moving the substrate;(c) exposing the substrate surface to reactive species generated by a plasma from a nitrogen precursor; and(d) moving the substrate;wherein the deposition cycle is repeated to form the silicon nitride thin film; andwherein the silicon nitride film has a uniform etch rate on the vertical and horizontal portions of the three-dimensional structure.2. The method of claim 1 , wherein the silicon reactant comprises a precursor having a formula:{'br': None, 'sub': 2n+2−y−z−w', 'n', 'y', 'z', 'w, 'HSiIAR'} {'br': None, 'sub': 2n−y−z−w', 'n', 'y', 'z', 'w, 'HSiIAR'}, 'wherein, n=1-10, y=from 1 up to 2n+2−z−w, z=from 0 up to 2n+2−y−w, w=from 0 up to 2n+2−y−z, A is a halogen other than I, and R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines and unsaturated hydrocarbon;'} {'br': None, 'sub': 2n+2−y−z−w', 'n', 'y', 'z', 'w, 'sup': 'II', 'HSiIAR'}, 'wherein, the silicon-containing precursor is a cyclic compound, n=3-10, y ...

Подробнее
03-02-2022 дата публикации

Coating apparatus

Номер: US20220033969A1
Принадлежит: LG Energy Solution Ltd

A coating apparatus, and particularly, an atomic layer deposition apparatus. The atomic layer deposition apparatus consecutively coats the surfaces of powder particles with different kinds of materials.

Подробнее
15-01-2015 дата публикации

THIN FILM FORMATION

Номер: US20150017344A1
Принадлежит:

A method of forming a graphene film () on one or more surfaces () of a copper-containing substrate () comprising the steps of: (i) heating a copper-containing substrate () defining one or more surfaces () to an exposure temperature; (ii) exposing the substrate () to a carbon-containing precursor gas at the exposure temperature for a predetermined period of time to dissolve carbon atoms into the substrate () and saturate the substrate () with carbon atoms; and (iii) cooling the substrate () so as to segregate the dissolved carbon atoms () from the substrate () to form a graphene film () on the or each surface () of the substrate (); wherein the method further includes the step of selecting the copper-containing substrate () on the basis of its thickness to control the depth of the graphene film () formed on the or each surface () of the substrate () on cooling the substrate () so as to segregate the dissolved carbon atoms from the substrate (). 2. A method of forming a graphene film according to wherein the copper-containing substrate is formed from copper or a copper-containing alloy.3. A method of forming a graphene film according to wherein the exposure temperature is in the range of 850-1083° C.4. A method of forming a graphene film according to wherein the exposure temperature is 950° C.5. A method of forming a graphene film according to wherein the step of cooling the substrate to segregate the dissolved carbon atoms involves cooling the substrate in an inert atmosphere.6. A method of forming a graphene film according to wherein the inert atmosphere is created by exposing the substrate to an inert gas or an ultra high vacuum.7. A method of forming a graphene film according to wherein the step of cooling the substrate to segregate the dissolved carbon atoms involves cooling the substrate to a first reduced temperature at a first rate of change of temperature before cooling the substrate to a second reduced temperature at a second rate of change of temperature ...

Подробнее
15-01-2015 дата публикации

ALD OF METAL-CONTAINING FILMS USING CYCLOPENTADIENYL COMPOUNDS

Номер: US20150017348A1
Принадлежит:

Atomic layer deposition (ALD) type processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl precursors as a metal source material. In preferred embodiments the metal containing cyclopentadienyl reactant comprises a metal atom that is not directly bonded to an oxygen or halide atom. In other embodiments the metal atom is bonded to a cyclopentadienyl compound and separately bonded to at least one ligand via a nitrogen atom. In still other embodiments the metal containing cyclopentadienyl compound comprises a nitrogen-bridged ligand. 131-. (canceled)32. A plasma enhanced atomic layer deposition process for producing a metal containing thin film on a substrate comprising alternately and sequentially contacting the substrate with vapor phase pulses of at least one volatile metal containing cyclopentadienyl compound , and a second reactant at a temperature that is low enough to prevent decomposition of the metal containing cyclopentadienyl compound and the second reactant , wherein the metal comprises zirconium , and wherein the metal containing cyclopentadienyl compound does not contain a metal directly bonded to a halide or oxygen atom , and wherein the metal containing cyclopentadienyl compound comprises at least one cyclopentadienyl bonded to the metal and at least one ligand that is separately bonded to the metal via nitrogen.33. The process of claim 32 , wherein the metal containing cyclopentadienyl compound has the formula: (RRRRRCp)-MR—(NRR)wherein M is zirconium;{'sup': 1', '2', '3', '4', '5', '0, 'wherein each R, R, R, R, R, and Ris independently selected from(i) hydrogen;{'sub': 1', '20, '(ii) linear and branched C-Calkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;'}(iii) carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and(iv) heterocyclic groups; andwherein both x and y are ≧ ...

Подробнее
19-01-2017 дата публикации

Deposition of Metal Films Using Beta-Hydrogen Free Precursors

Номер: US20170016113A1
Принадлежит:

Methods of depositing a metal-containing film by exposing a substrate surface to a first precursor and a reactant, where one or more of the first precursor and the react comprises a compound having the general formula of one or more of M(XR), M(XR), M(XR), M(XR)and M(XR)where M is selected from the group consisting of Al, Ti, Ta, Zr, La, Hf, Ce, Zn, Cr, Sn, V and combinations thereof, each X is one or more of C, Si and Ge and each R is independently a methyl or ethyl group and comprises substantially no β-H. 2. The method of claim 1 , wherein M is Al.3. The method of claim 1 , wherein each X is independently C claim 1 , Si or Ge.4. The method of claim 1 , wherein each R is independently an alkyl.5. The method of claim 1 , wherein exposing the substrate surface to the first precursor and the reactant occurs sequentially.6. The method of claim 1 , wherein exposing the substrate surface to the first precursor and the reactant occurs simultaneously.7. The method of claim 1 , wherein the reactant comprises a metal halide.8. The method of claim 1 , wherein the metal-containing film comprises a substantially pure metal.9. The method of claim 1 , wherein the metal-containing film comprises a substantially pure metal alloy.10. The method of claim 1 , wherein the metal-containing film comprises a metal nitride.11. The method of claim 1 , wherein the metal-containing film comprises a metal oxide.13. The method of claim 12 , wherein the film comprises substantially pure aluminum.14. The method of claim 12 , wherein the film comprises substantially pure aluminum alloy.15. The method of claim 12 , wherein the reactant comprises the compound with the general structure and the film comprises substantially no aluminum.16. The method of claim 12 , wherein the first precursor and the reactant are sequentially exposed to the substrate surface.17. The method of claim 12 , wherein the first precursor and the reactant are exposed to the substrate surface at the same time.18. The method of ...

Подробнее
21-01-2016 дата публикации

OXYGEN-FREE ATOMIC LAYER DEPOSITION OF INDIUM SULFIDE

Номер: US20160017485A1
Принадлежит:

A method for synthesizing an In(III) N,N′-diisopropylacetamidinate precursor including cooling a mixture comprised of diisopropylcarbodiimide and diethyl ether to approximately −30° C., adding methyllithium drop-wise into the mixture, allowing the mixture to warm to room temperature, adding indium(III) chloride as a solid to the mixture to produce a white solid, dissolving the white solid in pentane to form a clear and colorless solution, filtering the mixture over a celite plug, and evaporating the solution under reduced pressure to obtain a solid In(III) N,N′-diisopropylacetamidinate precursor. This precursor has been further used to develop a novel atomic layer deposition technique for indium sulfide by dosing a reactor with the precursor, purging with nitrogen, dosing with dilute hydrogen sulfide, purging again with nitrogen, and repeating these steps to increase growth. 1. A method for synthesizing an In(III) N ,N′-diisopropylacetamidinate precursor , the method comprising:cooling a mixture comprised of diisopropylcarbodiimide and a first solvent to approximately −30° C.;adding methyllithium drop-wise into the mixture;allowing the mixture to warm to room temperature;adding indium(III) chloride as a solid to the mixture to produce a white solid;dissolving the white solid in a second solvent to form a clear and colorless solution; andevaporating the solution under reduced pressure to obtain a solid In(III) N,N′-diisopropylacetamidinate precursor.2. The method of claim 1 , wherein the first solvent is diethyl ether and the second solvent is pentane.3. The method of claim 1 , further comprising allowing a reaction of the mixture comprised of diisopropylcarbodiimide claim 1 , diethyl ether claim 1 , methyllithium and indium(III) chloride to take place overnight at room temperature prior to the evaporating step.4. The method of claim 1 , further comprising removing volatiles under a reduced pressure prior to the evaporating step.5. The method of claim 1 , further ...

Подробнее
18-01-2018 дата публикации

Multi-layer coating with diffusion barrier layer and erosion resistant layer

Номер: US20180016678A1
Принадлежит: Applied Materials Inc

A multi-layer coating for a surface of an article comprising a diffusion barrier layer and an erosion resistant layer. The diffusion barrier layer may be a nitride film including but not limited to TiN x , TaN x , Zr 3 N 4 , and TiZr x N y . The erosion resistant layer may be a rare oxide film including but not limited to YF 3 , Y 2 O 3 , Er 2 O 3 , Al 2 O 3 , ZrO 2 , ErAl x O y , YO x F y , YAl x O y , YZr x O y and YZr x Al y O z . The diffusion barrier layer and the erosion resistant layer may be deposited on the article's surface using a thin film deposition technique including but not limited to, ALD, PVD, and CVD.

Подробнее
17-01-2019 дата публикации

Methods and Apparatus for Depositing Yttrium-Containing Films

Номер: US20190017171A1
Принадлежит:

Methods for depositing a yttrium-containing film through an atomic layer deposition process are described. Some embodiments of the disclosure utilize a plasma-enhanced atomic layer deposition process. Also described is an apparatus for performing the atomic layer deposition of the yttrium containing films. 1. A method of depositing a film , the method comprising:{'sub': 1', '2', '3', '1', '2', '3, 'exposing a substrate to a yttrium precursor to form a yttrium species on the substrate, the yttrium precursor comprises a complex with a general formula YRRR, where R, Rand Rare independently selected from halides, carbonyl, cyclopentadienes, amines, acac, hfac, amidinates or diazadienes; and'}exposing the substrate to one or more of a nitrogen reactant or an oxygen reactant to react with the yttrium species on the substrate to form one or more of a yttrium nitride or yttrium oxide film.2. The method of claim 1 , wherein the nitrogen reactant comprises one or more of nitrogen claim 1 , ammonia or hydrazine.3. The method of claim 1 , wherein the nitrogen reactant comprises a reactant plasma.4. The method of claim 1 , further comprising exposing the yttrium nitride film on the substrate to a treatment plasma to change a property of the film.5. The method of claim 4 , wherein the treatment plasma comprises one or more of nitrogen claim 4 , argon claim 4 , hydrogen claim 4 , or helium.6. The method of claim 1 , further comprising exposing the substrate to a silicon precursor so that the yttrium species on the substrate is a silicon-yttrium species and after exposure to the nitrogen reactant the film formed is a silicon-yttrium nitride film claim 1 , the silicon precursor comprising a species with a general formula SiXR claim 1 , Si(NRR′)R″ claim 1 , or a siloxane claim 1 , where n is 1 to 4 claim 1 , a is 0 to 2n+2 claim 1 , X is a halide and R claim 1 , R′ and R″ are independently selected from H claim 1 , alkyl or aryl.7. The method of claim 6 , wherein the silicon ...

Подробнее
21-01-2021 дата публикации

Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films

Номер: US20210017198A1
Принадлежит: Versum Materials US, LLC

Amino-functionalized cyclic oligosiloxanes, which have at least three silicon and three oxygen atoms as well as at least one organoamino group and methods for making the oligosiloxanes are disclosed. Methods for depositing silicon and oxygen containing films using the organoamino-functionalized cyclic oligosiloxanes are also disclosed. 2. The composition of further comprising at least one selected from the group consisting of a solvent and a purge gas.3. The composition of wherein each of Ris independently selected from the group consisting of hydrogen and a Cto Calkyl group.4. The composition of claim 1 , wherein Ris selected from the group consisting of a Cto Ccyclic alkyl group and a Cto Caryl group.6. The composition of claim 1 , wherein the composition is substantially free of one or more impurities selected from the group consisting of a halide claim 1 , metal ions claim 1 , metal claim 1 , and combinations thereof.7. The composition of claim 1 , wherein the organoamino-functionalized cyclic oligosiloxane compound is selected from the group consisting of: 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6-trimethylcyclotrisiloxane claim 1 , 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,6-tetramethylcyclotrisiloxane claim 1 , 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,8-tetramethylcyclotetrasiloxane claim 1 , 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,6 claim 1 ,8 claim 1 ,8-hexamethylcyclotetrasiloxane claim 1 , 2 claim 1 ,6-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,8-tetramethylcyclotetrasiloxane claim 1 , 2 claim 1 ,6-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,8 claim 1 ,8-hexamethylcyclotetrasiloxane claim 1 , 2-dimethylamino-2 claim 1 ,4 claim 1 ,6 claim 1 ,8 claim 1 ,10-pentamethylcyclopentasiloxane claim 1 , 2-dimethylamino-2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6 claim 1 ,8 claim 1 ,8 claim 1 ,10 claim 1 ,10-nonamethylcyclopentasiloxane claim 1 , 2 ...

Подробнее
21-01-2021 дата публикации

SURFACE TREATMENT AGENT, SURFACE TREATMENT METHOD, AND AREA SELECTIVE DEPOSITION METHOD

Номер: US20210017204A1
Принадлежит:

A surface treatment agent including a compound represented by the following general formula (P-1) and an acid. In the formula, Rrepresents a linear or branched alkyl group having 8 or more carbon atoms, a linear or branched fluorinated alkyl group having 8 or more carbon atoms, or an aromatic hydrocarbon group; Rand Reach independently represents a hydrogen atom, a linear or branched alkyl group having 8 or more carbon atoms, a linear or branched fluorinated alkyl group having 8 or more carbon atoms, or an aromatic hydrocarbon group 2. The surface treatment agent according to claim 1 , wherein the acid is a carboxylic acid.3. The surface treatment agent according to claim 1 , wherein the acid is an inorganic acid.4. The surface treatment agent according to claim 1 , wherein said surface treatment agent is used for treating a surface including two or more regions claim 1 , which is made of different materials from each other with respect to adjacent regions of the two or more regions.5. The surface treatment agent according to claim 4 , wherein at least one region of the two or more regions contains a metal surface.7. The surface treatment agent according to claim 6 , wherein said surface treatment agent is used for treating a surface including two or more regions claim 6 , which is made of different materials from each other with respect to adjacent regions of the two or more regions.8. The surface treatment agent according to claim 7 , wherein at least one region of the two or more regions contains a metal surface.9. The surface treatment agent according to claim 8 , wherein the metal is copper.10. A surface treatment method claim 8 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'exposing a surface of a substrate to the surface treatment agent according to ,'}wherein the surface includes two or more regions, which is made of different materials from each other with respect to adjacent regions of the two or more regions, andthe contact angle between ...

Подробнее
21-01-2021 дата публикации

Organoamino-Functionalized Linear And Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films

Номер: US20210017339A1
Принадлежит: Versum Materials US, LLC

Amino-functionalized linear and cyclic oligosiloxanes, which have at least two silicon and two oxygen atoms as well as an organoamino group and methods for making the oligosiloxanes are disclosed. Methods for depositing silicon and oxygen containing films using the organoamino-functionalized linear and cyclic oligosiloxanes are also disclosed. 2. The method of wherein each of Ris independently selected from hydrogen and a Cto Calkyl group.4. The method of wherein each of Ris independently selected from hydrogen and a Cto Calkyl group.7. The method of wherein each of Ris independently selected from hydrogen and a Cto Calkyl group.8. The method of claim 6 , wherein the at least one silicon precursor compound is selected from the group consisting of 2-dimethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-diethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-ethylmethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-iso-propylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-dimethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-diethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-ethylmethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-iso-propylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-dimethylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-diethylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-ethylmethylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-iso-propylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-dimethylamino-2 claim 6 ,4 ...

Подробнее
21-01-2021 дата публикации

CHAMFER-LESS VIA INTEGRATION SCHEME

Номер: US20210017643A1
Принадлежит:

Methods and apparatuses for processing semiconductor substrates in an integration scheme to form chamferless vias are provided herein. Methods include bifurcating etching of dielectric by depositing a conformal removable sealant layer having properties for selective removal relative to dielectric material without damaging dielectric material. Some methods include forming an ashable conformal sealant layer. Methods also include forming hard masks including a Group IV metal and removing conformal removable sealant layers and hard masks in one operation using same etching chemistries. 1. A method comprising:providing a substrate having a trench formed in a dielectric material; anddepositing a selectively removable sealant layer conformally in the trench,wherein the selectively removable sealant layer comprises a Group IV metal.2. The method of claim 1 , wherein the Group IV metal is selected from the group consisting of tin and lead.3. The method of claim 1 , wherein the selectively removable sealant layer is selected from the group consisting of tin oxide claim 1 , tin nitride claim 1 , tin sulfide claim 1 , lead oxide claim 1 , lead nitride claim 1 , lead sulfide claim 1 , and combinations thereof; and wherein the selectively removable sealant layer comprises one or more than one layer.4. The method of claim 1 , further comprising:selectively removing the selectively removable sealant layer relative to the dielectric material using hydrogen gas or an organic acid.5. The method of claim 1 , wherein the trench comprises an opening and a bottom claim 1 , and a distance from the opening to the bottom is at least about 25% of a total thickness of the dielectric material.6. (canceled)7. The method of claim 1 , wherein the substrate further comprises a metal hard mask over the dielectric material.8. The method of claim 1 , further comprising:forming a second patterned hard mask on the selectively removable sealant layer, wherein the second patterned hard mask is removable ...

Подробнее
21-01-2021 дата публикации

LOCALIZED SURFACE COATING DEFECT PATCHING PROCESS

Номер: US20210017647A1
Принадлежит:

A method of producing a coating. The method includes determining a surface defect region of a coating on a substrate and a location of the surface defect. The method further includes selectively and locally correcting the surface defect by applying a corrective coating region to the surface defect region based on the location of the surface defect via spatial atomic layer deposition (SALD) using an SALD reactor. 1. A method of producing a coating , the method comprising:determining a surface defect region of a coating on a substrate and a location of the surface defect; andselectively and locally correcting the surface defect by applying a corrective coating region to the surface defect region based on the location of the surface defect via spatial atomic layer deposition (SALD) using an SALD reactor.2. The method of claim 1 , wherein the determining and correcting steps are carried out inline with each other.3. The method of claim 1 , wherein the corrective coating region covers the surface defect region and an overspray region adjacent to the surface defect region.4. The method of claim 1 , wherein the correcting step includes translating the SALD reactor relative to the substrate and the coating so that the SALD reactor is located above the defect region.5. The method of claim 4 , wherein the correcting step further includes activating the SALD reactor when the SALD reactor is located above the defect region.6. The method of claim 1 , wherein the determining step is carried out using a coating thickness measurement system.7. The method of claim 6 , wherein the coating thickness measurement system implements infrared thermography claim 6 , visible-light optical inspection claim 6 , X-ray fluorescence claim 6 , or X-ray diffraction.8. A method of producing a coating claim 6 , the method comprising:determining a surface defect region of a coating on a substrate moving in a longitudinal direction and a location of the surface defect; andselectively and locally ...

Подробнее
21-01-2021 дата публикации

METHOD OF FORMING STRUCTURES USING A NEUTRAL BEAM, STRUCTURES FORMED USING THE METHOD AND REACTOR SYSTEM FOR PERFORMING THE METHOD

Номер: US20210017648A1
Принадлежит:

Methods of forming structures using a neutral beam, structures formed using a neutral beam, and reactor systems for forming the structures are disclosed. The neutral beam can be used to provide activated species during deposition of a layer and/or to provide activated species to treat a deposited layer. 1. A method of forming a structure , the method comprising the steps of:forming a layer;{'sub': 2', '2', '2, 'forming a neutral beam generated from one or more gases selected from the group consisting of hydrogen-containing gases, helium, ammonia, oxygen, NO, CO, Ar, Xe, and N; and'}exposing the layer to species generated from the neutral beam.2. The method of claim 1 , wherein the layer comprises one or more of an oxide claim 1 , a nitride claim 1 , and a carbide.3. The method of claim 1 , wherein the layer comprises one or more of SiO claim 1 , SiN claim 1 , SiOC claim 1 , SiCN claim 1 , SiC claim 1 , SiON claim 1 , SiOCN claim 1 , SiBN claim 1 , SiBO claim 1 , GeO claim 1 , GeN claim 1 , AlO claim 1 , TiO claim 1 , and TaO.4. The method of claim 1 , wherein the step of forming a layer comprises depositing material using one or more of PEALD claim 1 , PECVD claim 1 , NBEALD claim 1 , and NBECVD.5. The method of claim 1 , wherein the step of forming a layer comprises depositing material using one or more of NBEALD and NBECVD.6. The method of claim 1 , wherein the step of forming a layer comprises a cyclic deposition process claim 1 , the method further comprising:repeating a number of cycles of the cyclic deposition prior to the step of exposing.7. The method of claim 6 , wherein a thickness of a layer formed during the step of repeating is less than 10 nm.8. The method of claim 1 , further comprising repeating the steps of forming a layer and exposing the layer.9. The method of claim 1 , wherein a reaction chamber temperature during the step of forming a layer is less than 400° C. claim 1 , less than 300° C. claim 1 , less than 200° C. claim 1 , less than 100° C. ...

Подробнее
21-01-2021 дата публикации

SEQUENTIAL INFILTRATION SYNTHESIS OF GROUP 13 OXIDE ELECTRONIC MATERIALS

Номер: US20210017649A1
Принадлежит: UCHICAGO ARGONNE, LLC

The sequential infiltration synthesis (SIS) of group 13 indium and gallium oxides (InOand GaO) into polymethyl methacrylate (PMMA) thin films is demonstrated. Examples highlight the an SIS process using trimethylindium (TMIn) and trimethylgallium (TMGa), respectively, with water. In situ Fourier transform infrared (FTIR) spectroscopy reveals that these metal alkyl precursors reversibly associate with the carbonyl groups of PMMA in analogy to trimethylaluminum (TMAl), however with significantly lower affinity. SIS with TMIn and water enables the growth of InOat 80° C., well below the onset temperature of atomic layer deposition (ALD) using these precursors. 1. A method depositing a group 13 oxide comprising:providing a base material in a reactor; and pulsing a first metal precursor comprising indium or gallium into the reactor for a first metal precursor pulse time;', 'exposing the base material to the first metal precursor for a first metal precursor exposure time and at a first partial pressure, the first metal precursor infiltrating at least a portion of the base material and binding therein with the base material;', 'purging the reactor of the first metal precursor;', 'pulsing a co-reactant precursor into the reactor for a first co-reactant pulse time;', 'exposing the base material to the co-reactant precursor for a co-reactant precursor exposure time and at a second partial pressure, the co-reactant precursor infiltrating at least a portion of the base material and binding therein to form the oxide; and', 'purging the reactor of the co-reactant precursor., 'depositing an oxide of indium or gallium using sequential infiltration synthesis (SIS) process including at least one cycle of2. The method of claim 1 , wherein the first metal precursor pulse time is greater than 0 seconds to 30 seconds.3. The method of claim 1 , wherein the first metal precursor exposure time is greater than 0 seconds to 500 seconds.4. The method of claim 1 , wherein purging the reactor ...

Подробнее
16-01-2020 дата публикации

Semiconductor Device, Method, and Tool of Manufacture

Номер: US20200017969A1
Принадлежит:

In an embodiment, an apparatus includes: a susceptor including substrate pockets; a gas injector disposed over the susceptor, the gas injector having first process regions, the gas injector including a first gas mixing hub and first distribution valves connecting the first gas mixing hub to the first process regions; and a controller connected to the gas injector and the susceptor, the controller being configured to: connect a first precursor material and a carrier gas to the first gas mixing hub; mix the first precursor material and the carrier gas in the first gas mixing hub to produce a first precursor gas; rotate the susceptor to rotate a first substrate disposed in one of the substrate pockets; and while rotating the susceptor, control the first distribution valves to sequentially introduce the first precursor gas at each of the first process regions as the first substrate enters each first process region. 1. An apparatus comprising:a susceptor comprising substrate pockets;a gas injector disposed over the susceptor, the gas injector having first process regions, the gas injector comprising a first gas mixing hub and first distribution valves connecting the first gas mixing hub to the first process regions; and connect a first precursor material and a carrier gas to the first gas mixing hub;', 'mix the first precursor material and the carrier gas in the first gas mixing hub to produce a first precursor gas;', 'rotate the susceptor to rotate a first substrate disposed in one of the substrate pockets; and', 'while rotating the susceptor, control the first distribution valves to sequentially introduce the first precursor gas at each first process region of the first process regions as the first substrate enters each first process region., 'a controller connected to the gas injector and the susceptor, the controller being configured to2. The apparatus of claim 1 , wherein the controller is located separately from the gas injector.3. The apparatus of claim 1 , ...

Подробнее
16-01-2020 дата публикации

Water-insensitive methods of forming metal oxide films and products related thereto

Номер: US20200017970A1
Автор: Eric R. Dickey
Принадлежит: Lotus Applied Technology LLC

Water-insensitive methods for forming metal oxide films disclosed herein can be used to form coated substrates. The methods can be used with moisture-laden substrates. Moisture-sensitive films can be deposited on the metal oxide films.

Подробнее
03-02-2022 дата публикации

COMPOSITION AND METHODS USING SAME FOR CARBON DOPED SILICON CONTAINING FILMS

Номер: US20220037151A1
Принадлежит: Versum Materials US, LLC

A composition and method for using the composition in the fabrication of an electronic device are disclosed. Compounds, compositions and methods for depositing a low dielectric constant (<4.0) and high oxygen ash resistance silicon-containing film such as, without limitation, a carbon doped silicon oxide, are disclosed. 1. A method for forming a carbon doped silicon oxide film having carbon content ranging from 15 at. % to 30 at. % via a thermal ALD process , the method comprising:a) placing one or more substrates comprising a surface feature into a reactor;b) heating to reactor to one or more temperatures ranging from ambient temperature to about 550° C. and optionally maintaining the reactor at a pressure of 100 torr or less;c) introducing into the reactor at least one silicon precursor having two Si—C—Si linkages selected from the group consisting of 1-chloro-1,3-disilacyclobutane, 1-bromo-1,3-disilacyclobutane, 1,3-dichloro-1,3-1,3-disilacyclobutane, 1,3-dibromo-1,3-disilacyclobutane, 1,1,3-trichloro-1,3-disilacyclobutane, 1,1,3-tribromo-1,3-disilacyclobutane, 1,1,3,3-tetrachloro-1,3-disilacyclobutane, 1,1,3,3-tetrabromo-1,3-disilacyclobutane, 1,3-dichloro-1,3-dimethyl-1,3-disilacyclobutane, 1,3-bromo-1,3-dimethyl-1,3-disilacyclobutane, 1,1,1,3,3,5,5,5-octachloro-1,3,5-trisilapentane, 1,1,3,3,5,5-hexachloro-1,5-dimethyl-1,3,5-trisilapentane, 1,1,1,5,5,5-hexachloro-3,3-dimethyl-1,3,5-trisilapentane, 1,1,3,5,5-pentachloro-1,3,5-trimethyl-1,3,5-trisilapentane, 1,1,1,5,5,5-hexachloro-1,3,5-trisilapentane, 1,1,5,5-tetraachloro-1,3,5-trisilapentane;d) purging with an inert gas;e) providing a nitrogen source into the reactor to react with the surface to form a carbon doped silicon nitride film;f) purging with inert gas to remove reaction by-products;g) repeating steps c to f to provide a desired thickness of a resulting carbon doped silicon nitride;h) treating the resulting carbon doped silicon nitride film with an oxygen source at one or more temperatures ranging from ...

Подробнее
03-02-2022 дата публикации

Systems and Methods for Improving Planarity using Selective Atomic Layer Etching (ALE)

Номер: US20220037162A1
Принадлежит:

Methods are provided for planarizing a patterned substrate in a spatial atomic layer processing system comprising a rotating platen. The patterned substrate may generally include features having higher regions and lower regions. To planarize the patterned substrate, or reduce a height differential between the higher and lower regions, a selective atomic layer etching (ALE) process is disclosed to preferentially form a modified layer on the higher regions of the features by exposing a surface of the patterned substrate to a precursor gas while the rotating platen spins at a high rotational speed. By preferentially forming the modified layer on the higher regions of the features, and subsequently removing the modified layer, the selective ALE process described herein preferentially etches the higher regions of the features to lessen the height differential between the higher and lower regions until a desired planarization of the features is achieved. 1. A method for planarizing a patterned substrate in a spatial atomic layer processing system , the method comprising:providing at least a first layer as part of the patterned substrate, wherein the first layer comprises at least a portion of one or more features formed on the patterned substrate, wherein the one or more features have higher regions and lower regions, and wherein a height differential exists between the higher regions and the lower regions;providing the patterned substrate on a rotating platen of the spatial atomic layer processing system;forming a modified layer on the first layer, at least one step of the forming the modified layer on the first layer being exposing a surface of the first layer to a first precursor gas, which adsorbs on and reacts with the surface of the first layer to produce the modified layer, wherein spinning the rotating platen at higher rotational speeds aids in the modified layer being preferentially formed onto the higher regions of the one or more features as compared to the ...

Подробнее
03-02-2022 дата публикации

Semiconductor Device and Method of Manufacture

Номер: US20220037321A1

A semiconductor device and method of manufacture are provided. In embodiments a dielectric fin is formed in order to help isolate adjacent semiconductor fins. The dielectric fin is formed using a deposition process in which deposition times and temperatures are utilized to increase the resistance of the dielectric fin to subsequent etching processes.

Подробнее
03-02-2022 дата публикации

MANUFACTURING METHOD FOR GATE ELECTRODE AND THIN FILM TRANSISTOR AND DISPLAY PANEL

Номер: US20220037476A1
Принадлежит:

The present application discloses a manufacturing method for a gate electrode and a thin film transistor, and a display panel, including: depositing an aluminum film on a substratum by physical vapor deposition; depositing a molybdenum film over the aluminum film by atomic layer deposition; and etching the aluminum film and the molybdenum film to form the gate electrode of a predetermined pattern. 1. A manufacturing method for a gate electrode , comprising:depositing an aluminum film on a substratum by physical vapor deposition;depositing a molybdenum film over the aluminum film by atomic layer deposition; andetching the aluminum film and the molybdenum film to form the gate electrode of a predetermined pattern.2. The manufacturing method for the gate electrode according to claim 1 , wherein the thickness of the deposited aluminum film is 3500 Å-4500 Å.3. The manufacturing method for the gate electrode according to claim 1 , wherein depositing the molybdenum film over the aluminum film by atomic layer deposition comprising:placing the substratum with the aluminum film in a reaction chamber of an atomic layer deposition apparatus;continuously introducing a molybdenum precursor for a preset time period into the atomic layer deposition apparatus, remaining the molybdenum precursor for a preset time period after the introduction, and introducing an inert gas for purging;continuously introducing a reducing gas for a preset time into the atomic layer deposition apparatus, remaining the reducing gas for a preset time period after the introduction, and introducing the inert gas for purging; andrepeating the steps B and C for a preset number of times to form the molybdenum film.4. The manufacturing method for the gate electrode according to claim 3 , wherein the molybdenum precursor is at least one of molybdenum hexacarbonyl claim 3 , molybdenum chloride claim 3 , molybdenum fluoride; the reducing gas comprises a plasma H;the inert gas comprises at least one of argon and ...

Подробнее
22-01-2015 дата публикации

FILM DEPOSITION APPARATUS, FILM DEPOSITION METHOD, AND COMPUTER READABLE STORAGE MEDIUM

Номер: US20150024143A1
Принадлежит:

In a disclosed film deposition method, after a film deposition—alteration step is carried out that includes a film deposition process where a Si containing gas is adsorbed on a wafer W and the adsorbed Si containing gas on the wafer is oxidized by supplying an Ogas to the upper surface of the wafer, thereby producing a silicon oxide layer(s) by rotating a turntable on which the wafer is placed, and an alteration process where the silicon oxide layer(s) is altered by plasma, an alteration step where the silicon oxide layer(s) is altered by plasma while the Si containing gas is not supplied. 1. A film deposition method , comprising:placing a substrate in a substrate receiving portion of a table provided in a vacuum chamber; andperforming, at least once, a film deposition—alteration step and an alteration step, an adsorption step of allowing a first reaction gas to be adsorbed on an upper surface of the substrate,', 'a reaction product production step of allowing a second reaction gas and the first reaction gas adsorbed on the upper surface of the substrate to react each other, thereby producing a reaction product, and', 'an alteration process of allowing the upper surface of the substrate to be exposed to plasma into which an alteration gas is activated, are performed in this order by rotating a table with a rotation mechanism relative to', 'a first reaction gas supplying portion,', 'a second reaction gas supplying portion, and', 'a plasma generation portion,', 'wherein the first reaction gas is supplied from the first reaction gas supplying portion, the second reaction gas is supplied from the second reaction gas supplying portion, and the alteration is supplied from the plasma, and, 'wherein within the film deposition—alteration step,'}wherein within the alteration step, the alteration gas activated by the plasma is supplied to the substrate in the substrate receiving area while the table is rotated, and the first reaction gas is not supplied.2. The film deposition ...

Подробнее
22-01-2015 дата публикации

Metal components with inert vapor phase coating on internal surfaces

Номер: US20150024152A1
Принадлежит: AGILENT TECHNOLOGIES INC

The invention provides metal liquid chromatography components with uniformly coated internal surfaces and methods for achieving the same. The invention addresses the problem of corrosion or interference of metal components in the flow path for LC analyses in which the sample interacts with metal ions or surfaces. The invention also alleviates the difficulties in coating very long metal tubes and very small metal channels with an inert, continuous coating that adheres well to metal surfaces. The metal flow path is rendered inert by the coating, and thus compatible with bioanalytical separations, for example, by using a vapor phase deposition process to coat the inner surfaces with a coating that continuously covers all metal surfaces in the flow path.

Подробнее
28-01-2016 дата публикации

Film Forming Apparatus

Номер: US20160024654A1
Принадлежит:

A film forming apparatus includes a first and second source gas suppliers configured to limitedly supply a source gas only to a first and second substrate areas, respectively, a reaction gas supplier configured to supply a reaction gas to the first substrate area and the second substrate area, a purge gas supplier configured to supply a purge gas for preventing the source gas supplied to one of the first and second substrate areas from being supplied to the other substrate area, a division-purpose substrate held between the first and second substrate areas in a substrate holding part, and a control part configured to output a control signal such that a first cycle including supplying the source gas and the reaction gas to the first substrate area and a second cycle including supplying the source gas and the reaction gas to the second substrate area are each performed plural times. 1. A film forming apparatus in which a film is formed by alternately supplying a source gas and a reaction gas reacting with the source gas to generate a reaction product into a vertical reaction vessel having a substrate holding part disposed therein , the substrate holding part holding a plurality of substrates in a form of a shelf , the film forming apparatus comprising:a first source gas supply part and a second source gas supply part configured to limitedly supply the source gas only to a first substrate holding area and a second substrate holding area, respectively, among the first substrate holding area and the second substrate holding area disposed along an arrangement direction in which the substrates are arranged in the substrate holding part;a reaction gas supply part configured to supply the reaction gas to the first substrate holding area and the second substrate holding area;a purge gas supply part configured to supply a purge gas for preventing the source gas supplied to one of the first substrate holding area and the second substrate holding area from being supplied to the ...

Подробнее
28-01-2016 дата публикации

Atmospheric Lid With Rigid Plate For Carousel Processing Chambers

Номер: US20160024655A1
Принадлежит:

Processing chambers including lid assemblies which form a volume above an injector assembly to decrease the deflection of the injector assembly as a result of the pressure differential between the processing side of the injector assembly and the atmospheric side of the injector assembly. 1. A processing chamber comprising:a chamber body including a bottom wall and a sidewall;a susceptor assembly in the chamber body to support and rotate a plurality of substrates around a central axis, the susceptor assembly having a top surface;an injector assembly positioned above the susceptor assembly and having a back surface and a front surface facing the top surface of the susceptor assembly and defining a process volume, an outer peripheral edge of the injector assembly supporting the injector assembly on the sidewall of the chamber body; anda chamber lid comprising a top wall and sidewall, the sidewall connectable to the chamber body sidewall, the top surface of the injector assembly and the chamber lid top wall and sidewall defining a lid volume.2. A processing chamber comprising:a chamber body including a bottom wall and a sidewall including a ledge;a susceptor assembly in the chamber body to support and rotate a plurality of substrates around a central axis, the susceptor assembly having a top surface including a plurality of recesses to support a plurality of substrates;an injector assembly positioned above the susceptor assembly and having a back surface and a front surface facing the top surface of the susceptor assembly and defining a process volume, an outer peripheral edge of the injector assembly supporting the injector assembly on the ledge of the sidewall of the chamber body, the injector assembly including a plurality of radially disposed gas ports having an opening at the front surface, each of the gas ports extending from an inner peripheral region toward the outer peripheral edge and being wider at the outer edge than the inner region; anda chamber lid ...

Подробнее
25-01-2018 дата публикации

Si-CONTAINING FILM FORMING PRECURSORS AND METHODS OF USING THE SAME

Номер: US20180022761A1
Принадлежит:

Mono-substituted TSA precursor Si-containing film forming compositions are disclosed. The precursors have the formula: (SiH)N—SiH—X, wherein X is selected from a halogen atom; an isocyanato group; an amino group; an N-containing C-Csaturated or unsaturated heterocycle; or an alkoxy group. Methods for forming the Si-containing film using the disclosed mono-substituted TSA precursor are also disclosed. 1. An ALD silicon and oxygen containing film formation process , the process comprising the steps of:{'sub': 3', '2', '2', '2', '1', '6', '3', '1', '6, 'depositing a silicon and oxygen containing film on a substrate by sequentially introducing a vapor of a mono-substituted TSA precursor and an oxygen-containing reactant into a reactor containing the substrate, the mono-substituted TSA precursor having a formula (SiH)N—SiH—X, wherein X is a halogen atom or an amino group [—NR] and each R is independently selected from the group consisting of H; a C-Chydrocarbyl group; or a silyl group [SiR′] with each R′ being independently selected from H or a C-Chydrocarbyl group.'}2. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is Cl.3. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is NiPr.4. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is NEt.5. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is N(SiH).6. The ALD silicon and oxygen containing film formation process of claim 1 , wherein the oxygen-containing reactant is selected from the group consisting of O claim 1 , O claim 1 , HO claim 1 , HO claim 1 , NO claim 1 , NO claim 1 , NO claim 1 , alcohols claim 1 , diols claim 1 , carboxylic acids claim 1 , ketones claim 1 , ethers claim 1 , O atoms claim 1 , O radicals claim 1 , O ions claim 1 , and combinations thereof.7. The ALD silicon and oxygen containing film formation process of claim 6 , wherein the oxygen-containing reactant is ...

Подробнее
25-01-2018 дата публикации

Methods for Encapsulating Nanocrystals and Resulting Compositions

Номер: US20180022992A1
Автор: Dubrow Robert S.
Принадлежит: NANOSYS, INC.

The present invention provides methods for hermetically sealing luminescent nanocrystals, as well as compositions and containers comprising hermetically sealed luminescent nanocrystals. By hermetically sealing the luminescent nanocrystals, enhanced lifetime and luminescence can be achieved. 1. A microsphere comprising: luminescent core-shell nanocrystals; and', 'an inorganic layer covering each of the luminescent core-shell nanocrystals; and, 'a composition comprising{'sub': 2', '2', '2', '3, 'a barrier layer, disposed on the inorganic layer, configured to hermetically seal the composition, wherein the barrier layer consists essentially of SiO, TiO, or AlO.'}2. The microsphere of claim 1 , wherein the luminescent core-shell nanocrystals are separated from each other by the inorganic layer.3. The microsphere of claim 1 , wherein the luminescent core-shell nanocrystals are selected from the group consisting of CdSe/ZnS claim 1 , CdSe/CdS claim 1 , and InP/ZnS.4. The microsphere of claim 1 , wherein the luminescent core-shell nanocrystals are between about 1-10 nm in size.5. The microsphere of claim 1 , wherein the inorganic layer comprises silicon.6. The microsphere of claim 1 , wherein the inorganic layer comprises silica or titania.7. A method comprising: luminescent core-shell nanocrystals coated with an inorganic layer, and', 'an inorganic layer covering each of the luminescent core-shell nanocrystals; and, 'forming a composition comprising{'sub': 2', '2', '2', '3, 'disposing a barrier layer on the inorganic layer to hermetically seal the composition, wherein the barrier layer consists essentially of SiO, TiO, or AlO.'}8. The method of claim 7 , wherein the luminescent core-shell nanocrystals are separated from each other by the inorganic layer.9. The method of claim 7 , wherein the luminescent core-shell nanocrystals are selected from the group consisting of CdSe/ZnS claim 7 , CdSe/CdS claim 7 , and InP/ZnS.10. The method of claim 7 , wherein the luminescent core ...

Подробнее
25-01-2018 дата публикации

Method to improve precursor utilization in pulsed atomic layer processes

Номер: US20180023195A1
Принадлежит: Individual

A method and system is provided to improve precursor utilization in pulsed atomic layer processes. The system integrates a chiller with a precursor ampoule to lower the temperature of the precursor ampoule, and thereby reduce the precursor vapor pressure. By lowering the ampoule temperature, the loss of excess unreacted precursor molecules is reduced, in order to improve precursor utilization efficiency in atomic layer processes.

Подробнее
10-02-2022 дата публикации

COMPOSITIONS AND PROCESSES FOR DEPOSITING CARBON-DOPED SILICON-CONTAINING FILMS

Номер: US20220041870A1
Принадлежит: Versum Materials US, LLC

Described herein are compositions for depositing a carbon-doped silicon containing film comprising: a precursor comprising at least one compound selected from the group consisting of: an organoaminosilane having a formula of RN(SiRLH), wherein R, R, and L are defined herein. Also described herein are methods for depositing a carbon-doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD). 13-. (canceled)4. A method of forming a carbon-doped silicon nitride film via an atomic layer deposition process , the method comprising the steps of:a. providing a substrate in a reactor;{'sup': 9', '9, 'sub': '2', 'b. introducing into the reactor a precursor comprising at least one organoaminosilane having a formula of RN(SiRLH), wherein'}{'sup': '8', 'Ris selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group;'}{'sup': '9', 'Rselected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and'}L is selected from the group consisting of Cl, Br, and I;c. purging the reactor with a purge gas;d. introducing a nitrogen source into the reactor wherein the nitrogen source is selected from the group consisting of ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and mixture thereof; ande. purging the reactor with a purge gas, wherein steps b through ...

Подробнее
10-02-2022 дата публикации

Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same

Номер: US20220042171A1
Принадлежит: SanDisk Technologies LLC

A method of depositing tungsten over a substrate includes disposing the substrate into a vacuum enclosure of a tungsten deposition apparatus, performing a first tungsten deposition process that deposits a first tungsten layer over a physically exposed surface of the substrate by flowing a fluorine-containing tungsten precursor gas into the vacuum enclosure, performing an in-situ oxidation process by exposing the first tungsten layer to an oxidation agent gas while the substrate remains within the vacuum enclosure without breaking vacuum and forming a tungsten oxyfluoride gas which is pumped out of the vacuum enclosure, and performing a second tungsten deposition process that deposits a second tungsten layer on the first tungsten layer by flowing the fluorine-containing tungsten precursor gas into the vacuum enclosure in a second tungsten deposition process after the in-situ oxidation process.

Подробнее
10-02-2022 дата публикации

SYSTEM AND METHOD FOR ATOMIC LAYER DEPOSITION OF RARE-EARTH OXIDES ON OPTICAL GRADE MATERIALS FOR LASER GAIN MEDIA

Номер: US20220042172A1
Принадлежит:

A method is disclosed for doping a quantity of powder particles. A container having a central chamber is initially charged with a quantity of powder particles. A quantity of precursor is sublimed to form a heated precursor. A quantity of carrier gas is mixed with the precursor to form a mixture of heated precursor/carrier gas. The central chamber is charged with the heated precursor/carrier gas and then moved to cause interaction of the powder particles with the heated precursor/carrier gas to form a first monolayer coating on the powder particles. The heated precursor/carrier gas is then removed from the central chamber and the central chamber is charged with a O2/O3 gas under a plasma. The central chamber is then further moved to produce interaction of the O2/O3 gas with the first monolayer coating on the powder particles to modify the first monolayer coating to create a different, single monolayer coating forming an oxide coating on the powder particles. 1. A method of doping a quantity of powder particles , comprising:filling a container having a central chamber with a quantity of powder particles;heating a quantity of precursor to sublime the precursor;mixing a quantity of carrier gas with the heated precursor to form a mixture of heated precursor/carrier gas;charging the central chamber with the heated precursor/carrier gas and causing movement of the chamber to cause interaction of the powder particles with the heated precursor/carrier gas to form a first monolayer coating on each of the powder particles;removing the heated precursor/carrier gas from the central chamber and charging the central chamber with a O2/O3 gas under a plasma; andcausing further movement of the central chamber to produce interaction of the O2/O3 gas with the first monolayer coating on each powder particle to modify the first monolayer coating to create a different, single monolayer coating forming an oxide coating on each of the powder particles.2. The method of claim 1 , wherein the ...

Подробнее