Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 2514. Отображено 198.
10-12-2004 дата публикации

ПЕЧАТНАЯ ФОРМА И СПОСОБ ИЗМЕНЕНИЯ ЕЕ СВОЙСТВ СМАЧИВАЕМОСТИ

Номер: RU2241600C2

Печатная форма может быть использована в процессе офсетной печати и представляет собой печатную пластину или печатный цилиндр с полупроводниковой поверхностью, которая несет узор, состоящий из гидрофильных и гидрофобных зон. Гидрофильные зоны имеют химические концевые группы в первом химическом состоянии, а гидрофобные зоны -химические концевые группы во втором химическом состоянии. При этом первое химическое состояние отличается от второго химического состояния. Для изменения свойств смачиваемости печатной формы поверхность полупроводника приводят в первое химическое состояние с первым свойством смачиваемости, после чего переводят частичное количество всех зон полупроводниковой поверхности во второе химическое состояние со вторым свойством смачиваемости путем изменения химических концевых групп полупроводниковой поверхности. Причем второе свойство смачиваемости отличается от первого свойства смачиваемости. Предложенный способ может быть применен как внутри, так и вне печатной машины, что ...

Подробнее
27-03-2004 дата публикации

РАДИАЦИОННО-ЧУВСТИВИТЕЛЬНАЯ КОМПОЗИЦИЯ, ИЗМЕНЯЮЩАЯ ПОКАЗАТЕЛЬ ПРЕЛОМЛЕНИЯ, И ЕЕ ПРИМЕНЕНИЕ

Номер: RU2002130276A
Принадлежит:

... 1. Композиция, содержащая (А) разлагаемое соединение, (В) гидролизат, по меньшей мере, одного соединения, выбранного из группы, состоящей из алкоголята, представленного следующей формулой (1’): M(OR)mYn (1’), где М означает положительный атом с валентностью от двух до пяти, R означает алкильную группу или арильную группу, Y означает атом водорода, алкильную группу, арильную группу, гидроксильную группу, алкоксильную группу или арилоксигруппу, а m и n означают независимые друг от друга целые числа, равные или большие 0, при условии, что (m+n) равно валентности М, и галогеносодержащего соединения, представленного следующей формулой (2’): MXmYn (2’) где М, Y, m и n такие же, как определены для формулы (1’), а Х означает атом галогена, и (С) радиационно-чувствительный разлагатель. 2. Композиция по п.1, которая представляет собой радиационно-чувствительную композицию, изменяющую показатель преломления. 3. Композиция по п.1, которая дополнительно содержит стабилизатор (D). 4. Композиция по любому ...

Подробнее
20-11-1997 дата публикации

Lithographisches Verfahren zur Erzeugung von Nanostrukturen auf Oberflächen

Номер: DE0019618447A1
Принадлежит:

The invention relates to a lithographical process for production of nanostructures on surfaces. Said process is characterised in that stabilised nanometer-scale transition-metal clusters or colloids of metals of the groups 4, 5, 6, 7, 8, 9, 10, 11 or 12 of the periodic system, their stabilised metal oxide or metal sulphide analogues, polynuclear metal carbonyl clusters with stochiometrically defined compositions, or polynuclear metal clusters with stochiometrically defined compositions or with bridges consisting of main group elements each in the form of a solution are applied to a surface in the form of a film which is illuminated selectively by an electron beam. After the non-illuminated parts of the film have been washed off, and then optionally annealed, defined structures are produced on the surface in the nanometer range.

Подробнее
13-05-1981 дата публикации

PROCESS FOR PRODUCING PRECISELY DIMENSIONED APERTURES

Номер: GB0001589538A
Автор:
Принадлежит:

Подробнее
24-03-1981 дата публикации

DOUBLE ETCHING PROCESS FOR PRODUCING PRECISION PARTS WITH REDUCED TAPER FROM PHOTOSENSITIVE MATERIAL

Номер: CA1097974A

PROCESS FOR PRODUCINGION PARTS FROM PHOTOSENSITIVE MATERIAL ...

Подробнее
17-07-2003 дата публикации

MULTIPHOTON PHOTOSENSITIZATION SYSTEM

Номер: CA0002469095A1
Принадлежит:

A multiphoton-activatable, photoreactive composition comprises: (a) at least one reactive species that is capable of undergoing an acid- or radical- initiated chemical reaction; (b) a photochemically-effective amount of a multiphoton photosensitizer comprising at least one multiphoton up-converting inorganic phosphor; and (c) a photochemically-effective amount of a one-photon photoinitiator system that is capable of being photosensitized by the multiphoton photosensitizer.

Подробнее
10-04-1999 дата публикации

SOLVENT-ASSISTED LITHOGRAPHIC PROCESS USING PHOTOSENSITIVE SOL-GEL DERIVED GLASS FOR DEPOSITING RIDGE WAVEGUIDES ON SILICON

Номер: CA0002218273A1
Принадлежит:

The process for fabricating a ridge waveguide on a substrate uses a photosensitive sol-gel glass material prepared, according to a first embodiment, by mixing methacryloxypropyltrimethoxysilane (H2C=C(CH3)CO2(CH2)3Si(OCH3)3) and methacrylic acid (H2C=C(CH3)COOH) or, according to a second embodiment, by mixing methacryloxypropyltrimethoxysilane (H2C=C(CH3)CO2)CH2)3Si(OCH3)3) with bis(s-butoxy)aluminoxytriethoxysilane. A thick film of photosensitive sol-gel glass material is first dip coated on at least a portion of the substrate. A photomask is applied to the film of photosensitive sol-gel glass material, and this sol-gel material is exposed to ultraviolet radiation through the opening(s) of the photomask to render a portion of the film insoluble to a given solvent and thereby imprint the ridge waveguide in that film. The thick film is then soaked in this solvent, for example n-propanol to dissolve the unexposed portion of the sol-gel film and leave on the substrate the exposed film portion ...

Подробнее
31-03-1955 дата публикации

Procédé de fabrication d'objets gravés en verre.

Номер: CH0000306004A
Принадлежит: CORNING GLASS WORKS

Подробнее
15-06-1976 дата публикации

Номер: CH0000576652A5
Автор:
Принадлежит: FROMSON H A, FROMSON, HOWARD A.

Подробнее
31-08-1971 дата публикации

Metériel sensible aux radiations

Номер: CH0000512085A
Принадлежит: EASTMAN KODAK CO, EASTMAN KODAK COMPANY

Подробнее
31-12-1974 дата публикации

VERFAHREN ZUR HERSTELLUNG VON GEGEBENEFALLS SUBSTITUIERTEN 1-AMINOALKAN-1,1-DIPHOSPHONSAEUREN.

Номер: CH0000557387A
Автор:
Принадлежит: HENKEL & CIE GMBH, HENKEL & CIE. GMBH

Подробнее
16-11-2016 дата публикации

PHOTOSENSITIVE RESIN COMPOSITION, SOLDER RESIST COMPOSITION, AND COATED PRINTED WIRING BOARD

Номер: CN0106133600A
Автор: SAKAI YOSHIO
Принадлежит:

Подробнее
18-01-2008 дата публикации

METHOD OF MANUFACTURING REFLECTION LITHOGRAPHIC MASK AND MASK OBTAINED BY SAID METHOD

Номер: FR0002894691B1
Автор: CHARPIN NICOLLE
Принадлежит: COMMISSARIAT A L'ENERGIE ATOMIQUE

Подробнее
21-07-1978 дата публикации

PROCEDE DE FABRICATION DE PIECES DE PRECISION A PARTIR DE MATERIAU PHOTOSENSIBLE

Номер: FR0002375628A
Принадлежит:

Procédé de fabrication de pièces de précision à partir d'un substrat comportant un matériau photosensible. Pour entailler de manière précise le substrat 20 en utilisant les méthodes de masquage, exposition et attaque chimique, l'invention propose d'utiliser tout d'abord un masque 28 de dimensions réduites par rapport à celles de l'entaille réelle à réaliser, puis un second masque de dimensions plus exactes. Electrodes de charges pour imprimante à jet d'encre.

Подробнее
09-11-2007 дата публикации

METHOD FOR REALIZATION Of a GRID OF TRANSISTOR INCLUDING/UNDERSTANDING UNEDECOMPOSITION Of a PRECURSORY MATERIAL IN AT LEAST a METALLIC MATERIAL, WITH the AIDED' AT LEAST an Electron beam

Номер: FR0002900765A1
Принадлежит:

L'invention concerne un procédé microélectronique, de réalisation d'une grille (160, 260, 360, 460) de transistor à l'aide d'un matériau précurseur (150, 250, 350, 450) susceptible d'être décomposé en au moins un matériau métallique après avoir été exposé à un faisceau d'électrons. L'invention s'applique en particulier à la réalisation de transistors multi-canaux, Finfet, à canal suspendu ou de type SON ou GAA.

Подробнее
24-12-2020 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: KR0102195329B1
Автор:
Принадлежит:

Подробнее
22-06-2016 дата публикации

ALCOHOL COMPOUND AND METHOD FOR PRODUCING SAME, METHOD FOR PRODUCING LACTONE COMPOUND, (METH)ACRYLATE ESTER AND METHOD FOR PRODUCING SAME, POLYMER AND METHOD FOR PRODUCING SAME, AND RESIST COMPOSITION AND METHOD FOR PRODUCING SUBSTRATE USING SAME

Номер: KR0101632860B1

... 용매 중에서, 식 (C)로 표현되는 화합물과, 디보란 및 보란 착체로 이루어지는 군에서 선택되는 붕소화제를 반응시켜 반응액을 얻는 히드로붕소화 반응 공정과, 상기 반응액을 과산화수소 처리한 후, 산을 첨가하여 pH0.5 내지 4로 하는 산 처리 공정을 갖는 방법에 의해, 불순물이 적은 알코올 화합물을 고수율로 제조할 수 있다. 식 중, A1 내지 A6은, 각각 독립적으로, 수소 원자, 메틸기 또는 에틸기를 나타내고, X는 산소 원자, 황 원자, 메틸렌기 또는 에틸렌기를 나타낸다.

Подробнее
01-10-2017 дата публикации

Active ray-sensitive or radiation-sensitive composition, method for purifying active ray-sensitive or radiation-sensitive composition, pattern-forming method, and method for producing electronic device

Номер: TW0201734639A
Принадлежит:

Provided are: an active ray-sensitive or radiation-sensitive composition capable of forming a pattern exhibiting excellent resolution and few residual defects; a method for purifying an active ray-sensitive or radiation-sensitive composition; a pattern-forming method that includes the method for purifying an active ray-sensitive or radiation-sensitive composition; and a method for producing an electronic device. Thus, an active ray-sensitive or radiation-sensitive composition that contains a metal cation and a ligand, wherein the number of in-liquid particles having a particle diameter of 0.15[mu]m or less in 1mL of the composition is 10 or fewer.

Подробнее
11-06-2014 дата публикации

Method of creating photolithographic structures with developer-trimmed hard mask

Номер: TWI440972B
Автор: SUN SAM X, SUN, SAM X.
Принадлежит: BREWER SCIENCE INC, BREWER SCIENCE, INC.

Подробнее
11-12-2002 дата публикации

Method of fabricating a hard mask

Номер: TW0000513745B
Автор:
Принадлежит:

The present invention involves fabrication of a hard mask. An embodiment involves the conversion of a precursor into a top-surface imaging layer during a direct patterning step. Another embodiment of the present invention is a method of forming an etched pattern in a substrate. A further embodiment of the present invention is a method of forming an implanted region in a substrate. Preferred precursors are formed from a metal complex selected from those comprising acac, carboxylato, alkoxy, azide, carbonyl, nitrato, amine, and nitro complexes of Ba, Sr, Ti, Zr, Nb, Ta, Cr, Mo, W, Ru, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Pb, and mixtures thereof.

Подробнее
01-02-2003 дата публикации

Method for patterning electro-conductive tin oxide film

Номер: TW0000519664B
Автор:
Принадлежит:

There is provided a method for patterning a transparent conductive tin oxide film, allowing simple and efficient formation without using a resist film. The method for patterning an electro-conductive tin oxide film is characterized in providing a solution in an organic solvent of a tin compound soluble in the organic solution and a dopant compound, drying the solution to a degree such that the dried film retains the solubility in a developing solution, exposing the dried film to a light containing an ultraviolet region to thereby render it partially insoluble, and etching the unexposed portion with the developing solution.

Подробнее
01-09-2005 дата публикации

LIGHT-SENSITIVE SUBSTRATE AND METHOD FOR PATTERNING

Номер: WO2005081065A1
Принадлежит:

A light-sensitive substrate, characterized in that it has a substrate, a first thin film formed from a composition for forming the first thin film formed on said substrate by adding water to at least one selected from the group consisting of a metal compound having two or more in total of a hydrolyzable group and/or a hydroxyl group, a metal chelate compound having two or more in total of a hydrolyzable group and/or a hydroxyl group, a metal salt of an organic acid and a partially hydrolyzed product thereof, and a second thin film formed from an organometallic compound on said first thin film; and a method for patterning, characterized in that it comprises irradiating a predetermined part of the above light-sensitive substrate with a light, utilizing the change in optical characteristics of the organometallic compound in the part irradiated with the light, and decomposing and/or removing. The above light-sensitive substrate is highly sensitive to an ultraviolet light, and the method for ...

Подробнее
02-03-1971 дата публикации

LIGHT SENSITIVE COMPOSITIONS FOR PHOTORESISTS AND LITHOGRAPHY

Номер: US0003567453A1
Автор:
Принадлежит: EASTMAN KODAK COMPANY

Подробнее
19-05-2016 дата публикации

FULLERENES

Номер: US20160139506A1
Принадлежит:

The present disclosure relates to novel fullerene derivatives, positive and negative photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for high speed, fine pattern processing using, for example, ultraviolet radiation, extreme ultraviolet radiation, beyond extreme ultraviolet radiation, X-rays, electron beam and other charged particle rays. 2. The composition of claim 1 , further comprising at least one photo acid generator claim 1 , and optionally at least one of a component chosen from a crosslinker claim 1 , an alkali soluble polymer and a protected by an acid-labile group claim 1 , wherein the at least one photoacid generator comprises an onium salt compound claim 1 , a triphenylsulfonium salt claim 1 , a sulfone imide compound claim 1 , a halogen-containing compound claim 1 , a sulfone compound claim 1 , a sulfonate ester compound claim 1 , a quinone-diazide compound claim 1 , a diazomethane compound claim 1 , an iodonium salt claim 1 , an oxime sulfonate claim 1 , a or dicarboxyimidyl sulfate.3. The composition of claim 2 , wherein the acid labile group comprises an alkoxycarbonyl group.4. The composition of claim 1 , wherein claim 1 , when y>2 claim 1 , at least one of the R claim 1 , R claim 1 , Rand/or Rsubstituents is a —COO-alkyl-aryl-(CH2)n-O—Rsubstituent claim 1 , wherein alkyl is a branched or unbranched claim 1 , substituted or unsubstituted divalent alkyl chain of 1-16 carbons with or without one or more heteroatoms substituted into the chain claim 1 , aryl is a substituted or unsubstituted divalent phenyl group claim 1 , heteroaromatic group claim 1 , or fused aromatic or fused heteroaromatic group claim 1 , and Ris H or an acid labile group.5. The composition of claim 1 , wherein the metal is chosen from a transition metal claim 1 , alkali metal claim 1 , alkaline earth metal claim 1 , a lanthanide metal claim 1 , an actinide metal claim 1 , metalloids ...

Подробнее
11-12-2018 дата публикации

Solder resist composition, and covered-printed wiring board

Номер: US0010151976B2
Принадлежит: GOO CHEMICAL CO., LTD., GOO CHEMICAL CO LTD

A solder resist composition includes: (A) a carboxyl group-containing resin; (B) an epoxy compound; (C) titanium dioxide; (D) a photopolymerization initiator; and (E) an antioxidant. The component (B) contains a hydroquinone epoxy compound represented by following formula (1). The component (D) contains (D1) a bisacylphosphine oxide-based photopolymerization initiator and (D2) an α-hydroxy alkylphenone-based photopolymerization initiator.

Подробнее
24-09-2020 дата публикации

SUBSTRATE STRUCTURE WITH HIGH REFLECTANCE AND METHOD FOR MANUFACTURING THE SAME

Номер: US20200305276A1
Принадлежит: UNIFLEX Technology Inc.

A substrate structure with high reflectance includes a base material, a patterned circuit layer, an insulating layer and a metal reflecting layer. The base material includes a first surface and a second surface opposite to the first surface. The patterned circuit layer is disposed on the first surface. The insulating layer covers the patterned circuit layer and a part of the first surface exposed by the patterned circuit layer. The metal reflecting layer covers the insulating layer, and a reflectance of the metal reflecting layer is substantially greater than or equal to 85%. A manufacturing method of a substrate structure with high reflectance is also provided.

Подробнее
04-05-2021 дата публикации

Antireflective film, method of producing antireflective film, and eyeglass type display

Номер: US0010996378B2

The present invention is an antireflective film, including: a support base, and a pattern composed of a photoresist material formed on the support base, the index at a point closer to the support base. The present invention provides an antireflective film that is able to give antireflection effect to decrease the reflection of light, a method of producing the same, and an eyeglass type display.

Подробнее
11-10-2022 дата публикации

Method of forming mask

Номер: US0011467497B2
Автор: Hidetami Yaegashi
Принадлежит: Tokyo Electron Limited

A method of forming a mask includes forming a base film containing a treatment agent on an object, forming a photosensitive organic film on the base film, forming an infiltrated portion by infiltrating the treatment agent into a lower portion of the photosensitive organic film, selectively exposing the photosensitive organic film to form a first region soluble in an alkaline solution and a second region insoluble in the alkaline solution, forming a third region insoluble in the alkaline solution in the infiltrated portion in the first region by causing a reaction between the first region and the treatment agent, developing the photosensitive organic film to remove a fourth region that is in the first region and other than the third region while leaving intact the second region and the third region, and etching the photosensitive organic film to remove one of the second region and the third region.

Подробнее
16-11-2023 дата публикации

PHOTORESIST FOR SEMICONDUCTOR FABRICATION

Номер: US20230367208A1
Принадлежит:

An organometallic precursor for extreme ultraviolet (EUV) lithography is provided. An organometallic precursor includes an aromatic di-dentate ligand, a transition metal coordinated to the aromatic di-dentate ligand, and an extreme ultraviolet (EUV) cleavable ligand coordinated to the transition metal. The aromatic di-dentate ligand includes a plurality of pyrazine molecules.

Подробнее
07-09-2016 дата публикации

DIRECT PHOTOPATTERNING OF ROBUST AND DIVERSE MATERIALS

Номер: EP3063592A1
Принадлежит:

Подробнее
09-07-2003 дата публикации

Process of forming a micro-pattern of a metal or a metal oxide

Номер: EP0001326136A1
Принадлежит:

Disclosed herein is a rapid and efficient process of forming a metal-containing pattern by causing a difference of solubility between exposed and unexposed areas of a thin film consisting of a metal complex through a photoreaction, and developing the film to produce a patterned film, followed by oxidation or reduction so as to provide a pure metal or metal oxide pattern. By virtue of the present invention, it has been realized to form electroconductive patterned films of a metal without using photosensitive resins.

Подробнее
27-09-2023 дата публикации

COMPOSITION FOR FORMING SILICON-CONTAINING METAL HARD MASK AND PATTERNING PROCESS

Номер: EP4250008A1
Принадлежит:

The present invention is a composition for forming a silicon-containing metal hard mask, including: (A) a metal oxide nanoparticle; (B) a thermally crosslinkable polysiloxane (Sx) having no aromatic-ring-containing organic group; and (C) a solvent. This provides a composition for forming a silicon-containing metal hard mask that has a high effect of inhibiting collapse of an ultrafine pattern in a multilayer resist method, that can form a resist pattern having excellent LWR (linewidth roughness) , that has more excellent dry etching resistance and wet removability than a conventional silicon-containing underlayer film material, and that has more excellent filling ability than a conventional metal hard mask material.

Подробнее
07-02-1979 дата публикации

Process for treating a lithographic printing plate

Номер: GB0002001584A
Принадлежит:

Light-sensitive materials are known which have a support carrying one or more layers of (a) an inorganic material (such as a chalcogenide or a halide) and (b) a metal or sulphide, halide or oxide of a metal; upon exposure to light a reaction occurs which alters the hydrophilic nature of the surface of the layer so as to make the material suitable after imagewise exposure for use directly as a lithographic printing plate. The invention provides a process of treating such a material after imagewise exposure (i.e. a printing plate) so as to increase the hydrophilic or oleophilic nature of an exposed or unexposed part of the plate, by wetting the exposed surface with an aqueous solution of (i) thiourea or a substituted thiourea preferably of a specified general formula (I) e.g. N-ethylthiourea, preferably in concentration of 0.1 to 30%, and (ii) an acid or an acidic inorganic salt, preferably 0.01 to 20% of the solution, possibly with an organic solvent. The light-sensitive layer(s) may also ...

Подробнее
09-05-1973 дата публикации

PRODUCTION OF 1-AMINOALKANE 1,1-DIPHOSPHONIC ACIDS

Номер: GB0001316025A
Автор:
Принадлежит:

... 1316025 Preparing 1 - amino - alkane -1,1- diphosphonic acids HENKEL & CIE GmbH 5 Oct 1971 [6 Oct 1970] 46207/71 Heading C2P 1 - Aminoalkane - 1,1 - diphosphonic acids of the general formula wherein R is a hydrocarbon or substituted hydrocarbon residue having 1 to 12 carbon atoms are obtained by reacting an organic nitrile (RCN) with phosphorous acid in the presence of a hydrogen halide, e.g. HCl, HBr or HI. The reaction may be carried out in the presence of an inert solvent, e.g. benzene, toluene, CCl 4 , CHCl 3 , nitromethane or tetrachloroethane. Examples are given for the production of compounds in which R is CH 3 , C 2 H 5 , C 9 H 19 , -CH 2 (COOH), C 6 H 5 , and C 6 H 5 CH 2 respectively. The products are useful as complex-forming compounds, e.g. in water softening or bottle washing, and as additives to dyebaths for textiles.

Подробнее
15-05-2001 дата публикации

PHOTOLITHOGRAPHIC PROCESSING METHOD AND DEVICE

Номер: AT0000200829T
Принадлежит:

Подробнее
15-05-1997 дата публикации

STEAM SEPARATION PROCEDURE

Номер: AT0000153147T
Принадлежит:

Подробнее
15-04-2009 дата публикации

MULTI-PHOTON PHOTOSENSITIZATION SYSTEM

Номер: AT0000425993T
Принадлежит:

Подробнее
26-03-1973 дата публикации

Procedure for the production of 1-Aminoalkan-1,1-diphosphonsäuren

Номер: AT0000306054B
Автор:
Принадлежит:

Подробнее
17-01-2012 дата публикации

DRY MULTILAYER INORGANIC ALLOY THERMAL RESIST FOR LITHOGRAPHIC PROCESSING AND IMAGE CREATION

Номер: CA0002416412C
Принадлежит: CHAPMAN TECHNOLOGIES INCORPORATED

A thermal inorganic resist useful for lithographic processes and image creation is created by depositing on a substrate at least two layers of materials which are typically metals. The materials form a mixed alloy with a eutectic. One embodiment has a 15 nm Bi layer overlying a 15 nm In layer. Upon exposure to a optical light pulse of sufficient intensity, optical absorption heats the layers above the eutectic melting point (110~ C for BiIn) and the resist forms an alloy in the exposed area. By selectively heating parts of the resist a desired pattern can be created in the resist. Optical characteristics of the alloyed layers are typically different from those of the unexposed layers. In BiIn resists the alloyed areas are visually transparent compared to the unexposed sections. The exposed pattern provides a viewable image useful for exposure control. In a negative resist the alloy material is resistant to development etches which remove the unexposed areas but only slowly etch the alloyed ...

Подробнее
01-08-2019 дата публикации

CARBIDE, NITRIDE AND SILICIDE ENHANCERS FOR LASER ABSORPTION

Номер: CA0003087700A1
Принадлежит: MOFFAT & CO.

Laser marking enhancers include nitrides, carbides, silicides, or combinations thereof, and are used in marking compositions and in compounded polymer materials. Upon disposing the marking compositions on a substrate and exposing the marking composition to laser radiation, the marking composition forms a mark on the substrate, which has a negative AL dark contrast value of at least -1 compared to a mark formed by the marking composition without the enhancer. Upon exposing the compounded polymer material to laser radiation, the irradiated portion of the compounded polymer material forms a mark in compounded polymer material. A lightness value difference (AL) between the mark and the non-irradiated portion of the compounded polymer material has an absolute value of at least 5, and the lightness value difference between the mark and the non-irradiated portion is greater than if the polymer material did not include the enhancer.

Подробнее
23-04-2009 дата публикации

LITHOGRAPHY OF NANOPARTICLE BASED INKS

Номер: CA0002701889A1
Принадлежит:

An ink composition comprising: a plurality of metallic nanoparticles suspended in a carrier, wherein the carrier comprises water and at least one organic solvent miscible with water, and wherein the composition is formulated for slow dry rate and proper viscosity for DPN. Also, a method comprising: depositing a composition onto a cantilever, wherein the composition comprises a plurality of metallic nanoparticles suspended in a carrier, wherein the carrier comprises water and at least one organic solvent miscible with water. The composition can be used in direct writing onto surfaces to form patterns and arrays using cantilevers, microcontact printing, ink jet printing, and other methods. The composition is particularly useful for preparing nanoscale features and forming high quality continuous conductive lines and dots, including silver based lines and dots. Applications include surface repair.

Подробнее
27-05-2014 дата публикации

CURABLE SOLID INK COMPOSITIONS

Номер: CA0002730811C
Принадлежит: XEROX CORPORATION, XEROX CORP

A curable solid ink composition including a curable component, a non-curable component including an ethoxylated octylphenol derivative, a photoinitiator, and a colorant. The curable solid ink composition has a viscosity in the range of less than 10 cPs at 90°C, a shrinkage value of less than 3%, and a superior curing rate compared to existing curable solid ink compositions. The ethoxylated octylphenol derivatives may be prepared by reacting an ethoxylated octylphenol, a linear alcohol, and diisocyanates or polyisocyanates.

Подробнее
03-10-2017 дата публикации

Metal Azo Pigments

Номер: CN0107227043A
Принадлежит:

Подробнее
12-10-1979 дата публикации

RADIATIONSENSITIVE STRUCTURES

Номер: FR0002148643B1
Автор:
Принадлежит:

Подробнее
22-11-1968 дата публикации

A method for improving the resistance of objects out of photosensitive glass and products obtained by this process

Номер: FR0001547295A
Автор:
Принадлежит:

Подробнее
24-09-1993 дата публикации

Novel onium borates or organometallic complex cationic polymerization initiators

Номер: FR0002688783A1
Принадлежит:

Nouveaux borates d'onium ou de complexe organométallique dont l'entité anionique borate a pour formule B- RxR'y où . x et y sont des nombres entiers de 0 à 4 avec x + y = 4 . R est un radical alkyle ou alkenyle éventuellement substitué par un élément électroattracteur (fluor par exemple), ou est un atome d'halogène (fluor par exemple) quand x = 1 à 3 . R' est un radical phényle substitué par un élément electroattracteur (fluor par exemple) ou un radical aryle contenant au moins deux noyaux aromatiques (biphényle...) éventuellement substitué par un élément électroattracteur (fluor par exemple. (CF DESSIN DANS BOPI) Ils peuvent être utilisés comme amorceurs cationiques de polymérisation.

Подробнее
05-09-2018 дата публикации

비화학 증폭형 레지스트 조성물, 비화학 증폭형 레지스트막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법

Номер: KR0101895241B1
Автор: 히라노 슈지
Принадлежит: 후지필름 가부시키가이샤

... 고립 라인 패턴 또는 고립 스페이스 패턴에 있어서의 해상력이 우수한 비화학 증폭형 레지스트 조성물과, 그것을 이용한 비화학 증폭형 레지스트막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법을 제공한다. 상기 비화학 증폭형 레지스트 조성물은, 금속염 구조를 갖는 수지 (Ab)를 함유한다.

Подробнее
08-06-2017 дата публикации

솔더 레지스트 조성물 및 피복 프린트 배선판

Номер: KR1020170063960A
Принадлежит:

... 솔더 레지스트 조성물은, (A) 카르복실기 함유 수지, (B) 에폭시 화합물, (C) 산화 티탄, (D) 광중합 개시제 및 (E) 산화 방지제를 함유한다. (B) 성분이, 하기 식(1)으로 표시되는 하이드로퀴논형 에폭시 화합물을 함유한다. (D) 성분이 (D1) 비스아실포스핀옥사이드계 광중합 개시제 및 (D2)α-하이드록시알킬페논계 광중합 개시제를 함유한다.

Подробнее
10-11-2020 дата публикации

PHOTORESIST COMPOSITION AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING SAME

Номер: KR1020200127118A
Автор:
Принадлежит:

Подробнее
20-04-2020 дата публикации

PHOTORESIST COMPOSITION AND METHOD OF FORMING PHOTORESIST PATTERN

Номер: KR1020200040671A
Принадлежит:

Подробнее
16-03-2015 дата публикации

Photo-sensitive resin composition for forming non-display part light-shielding pattern

Номер: TW0201510648A
Принадлежит:

The present invention relates to a photosensitive resin composition for forming a light-shielding pattern on a non-display part and, more specifically, to a photosensitive resin composition for forming a light-shielding pattern on a non-display part which has excellent patternability, visibility, processability and heat resistance by comprising (A) a coloring agent including a pearl pigment, (B) an alkali-soluble binder resin, (C) a photopolymerizable compound, (D) a photopolymerization initiator, and (E) a solvent, wherein the pearl pigment is included at a level of 0.2-2 wt% with respect to the total weight of the composition based on solid contents.

Подробнее
16-01-2018 дата публикации

Metal azo pigments

Номер: TW0201802193A
Принадлежит:

The novel yellow metal azo pigments based on at least three metal azo compounds which differ at least in the type of metal have improved colour strengths and are of excellent suitability for pigmentation of pigment preparations for a broad field of use.

Подробнее
01-04-2021 дата публикации

Compound, photoresist composition and method for forming patterned structure

Номер: TW202112791A
Принадлежит:

The present disclosure is directed to organotin cluster compounds having formula (I) and their use as photoresists in extreme ultraviolet lithography processes.

Подробнее
04-01-1971 дата публикации

Номер: SE7009192L
Автор:
Принадлежит:

Подробнее
06-08-2009 дата публикации

METHOD FOR SELECTIVE ADSORPTION OF NOBLE METAL CATALYST ONTO SURFACE OF POLYMER

Номер: WO000002009096691A2
Автор: LEE, Hong Kee
Принадлежит:

The present invention relates to a method for the selective adsorption of a noble metal catalyst onto a surface of a polymer. More particularly, the method of the present invention includes a first step of masking with a photomask, the surface of a polymer adsorbed with a photosensitive metal ion, and radiating light onto the surface of the polymer such that the photosensitive metal ion on the unmasked surface is oxidized, and a second step of permitting the photosensitive metal ion which is not oxidized in the first step to react to a noble metal catalyst such that the noble metal catalyst is adsorbed onto the surface of the polymer.

Подробнее
25-05-2001 дата публикации

PHOTOSENSITIVE COMPOSITION, AND OPTICAL WAVEGUIDE ELEMENT AND PROCESS FOR PRODUCING THE SAME

Номер: WO0000137049A1
Автор: NAKAMURA, Koichiro
Принадлежит:

L'invention concerne un matériel d'émission optique utilisable en communication, qui présente une grande transparence dans une zone de longueur d'onde. Ce matériel possède d'excellentes propriétés (telles que la résistance à la chaleur, à l'eau et aux substances chimiques), peut être confectionné facilement de façon à comporter un réseau de diffraction, et peut être incorporé facilement dans un module pendant l'utilisation. La composition photosensible utilisée pour produire le matériel d'émission optique comprend un composé à base de silane ou un produit de la condensation hydrolytique/déhydratante dudit composé, un photoamorceur et de l'eau. Le composé à base de silane est représenté par la formule (1) R1¿SiX1¿¿3, dans laquelle R1¿ est un groupe organique comportant une double liaison carbone-carbone polymérisable et X1¿ est un groupe ou un atome pouvant être hydrolysé, à condition qu'au moins 40 % en nombre des atomes d'hydrogène qui étaient contenus dans le groupe organique R1¿ soient ...

Подробнее
17-08-2021 дата публикации

Semiconductor resist composition, and method of forming patterns using the composition

Номер: US0011092889B2
Принадлежит: Samsung SDI Co., Ltd., SAMSUNG SDI CO LTD

A semiconductor resist composition includes-an organometallic compound represented by Chemical Formula 1 and a solvent:wherein, in Chemical Formula 1, R1 is an aliphatic hydrocarbon group, an aromatic hydrocarbon group, or an -alkyl-O-alkyl group, and R2 to R4 are each independently selected from —ORa and —OC(═O)Rb. The semiconductor resist composition may have excellent solubility and storage stability.

Подробнее
17-08-2021 дата публикации

Semiconductor resist composition, and method of forming patterns using the composition

Номер: US0011092890B2
Принадлежит: Samsung SDI Co., Ltd., SAMSUNG SDI CO LTD

A semiconductor resist composition includes an organometallic compound represented by Chemical Formula 1 and a solvent:wherein, in Chemical Formula I, R1 is an aliphatic hydrocarbon group, an aromatic hydrocarbon group, or an —alkylene-O-alkyl group, and R2 to R4 are each independently selected from —ORa and —OC(═O)Rb, where Ra is not hydrogen.

Подробнее
21-04-2020 дата публикации

Methods of reducing metal residue in edge bead region from metal-containing resists

Номер: US0010627719B2
Принадлежит: Inpria Corporation, INPRIA CORP

Methods are described for removing edge bead on a wafer associated with a resist coating comprising a metal containing resist compositions. The methods can comprise applying a first bead edge rinse solution along a wafer edge following spin coating of the wafer with the metal based resist composition, wherein the edge bead solution comprises an organic solvent and an additive comprising a carboxylic acid, an inorganic fluorinated acid, a tetraalkylammonium compound, or a mixture thereof. Alternatively or additionally, the methods can comprise applying a protective composition to the wafer prior to performing an edge bead rinse. The protective composition can be a sacrificial material or an anti-adhesion material and can be applied only to the wafer edge or across the entire wafer in the case of the protective composition. Corresponding apparatuses for processing the wafers using these methods are presented.

Подробнее
08-09-2015 дата публикации

Method for forming graphene pattern

Номер: US0009128377B2

There is provided a method for forming a graphene pattern, in which a graphene thin film layer is transferred onto a surface of a photosensitive film, and then patterned through exposure/development of the photosensitive film. The photosensitive film is cured after being finally developed, thereby securing stability and reliability.

Подробнее
21-04-2005 дата публикации

Process for production of pattern-forming body

Номер: US20050084776A1
Принадлежит:

A primary object of the present invention is to provide a process for the production of a pattern-forming body, the process enabling the formation of a highly precise pattern, requiring no post-exposure treatment and being free from a fear as to the deterioration of the pattern-forming body itself because no photocatalyst is contained in the produced pattern-forming body. In the present invention, the above problem can be solved by providing a process for the production of a pattern-forming body, the process comprising disposing a catalyst-containing layer-side substrate containing at least a photocatalyst-containing layer and a pattern-forming body substrate containing a characteristic-changeable layer which is changed in characteristics by the action of the photocatalyst in at least said photocatalyst-containing layer such that the photocatalyst-containing layer is in contact with the characteristic-changeable layer, followed by performing exposure to thereby change the characteristics ...

Подробнее
15-02-2018 дата публикации

METHODS OF REDUCING METAL RESIDUE IN EDGE BEAD REGION FROM METAL-CONTAINING RESISTS

Номер: US20180046086A1
Принадлежит:

Methods are described for removing edge bead on a wafer associated with a resist coating comprising a metal containing resist compositions. The methods can comprise applying a first bead edge rinse solution along a wafer edge following spin coating of the wafer with the metal based resist composition, wherein the edge bead solution comprises an organic solvent and an additive comprising a carboxylic acid, an inorganic fluorinated acid, a tetraalkylammonium compound, or a mixture thereof. Alternatively or additionally, the methods can comprise applying a protective composition to the wafer prior to performing an edge bead rinse. The protective composition can be a sacrificial material or an anti-adhesion material and can be applied only to the wafer edge or across the entire wafer in the case of the protective composition. Corresponding apparatuses for processing the wafers using these methods are presented. 1. A method for removing edge bead on a wafer associated with a resist coating comprising a metal based resist composition , the method comprising:applying a first bead edge rinse solution along a wafer edge following spin coating of the wafer with the metal based resist composition, wherein the edge bead solution comprises an organic solvent and an additive comprising a carboxylic acid, an inorganic fluorinated acid, a tetraalkylammonium compound, or a mixture thereof.2. The method of wherein the metal based resist composition comprises alkyl tin oxo/hydroxo moieties.3. The method of wherein the first edge bead rinse solution is applied in a quantity from about 0.5 mL to about 20 mL along the wafer edge and further comprising spinning the wafer after delivery of the first edge bead rinse solution at a rate of at least about 500 rpm to obtain a residual tin measurement along the treated edge using VPD-ICP-MS of no more than about 50×10atoms/cm.4. The method of wherein the applying and spinning steps are repeated from once to 20 times with the first edge bead ...

Подробнее
06-08-2009 дата публикации

ELECTROPHOTOGRAPHIC PHOTORECEPTOR CONTAINING MIXTURE OF BISPHTHALOCYANINE-BASED COMPOUND AND PHTHALOCYANINE-BASED COMPOUND AND ELECTROPHOTOGRAPHIC IMAGING APPARATUS EMPLOYING THE ELECTROPHOTOGRAPHIC PHOTORECEPTOR

Номер: US2009196654A1
Автор: LIM AN-KEE
Принадлежит:

An electrophotographic photoreceptor includes an electrically conductive substrate, and a photosensitive layer formed on the electrically conductive substrate, in which the photosensitive layer includes a mixture of a bisphthalocyanine compound represented by a formula and a phthalocyanine compound represented by another formula. The mixture of the bisphthalocyanine compound represented by the formula and the phthalocyanine compound represented by the other formula has higher stability with respect to a transition of crystal type, crystal growth, and/or agglomeration in a photosensitive layer forming composition than a phthalocyanine-based charge generating material. Therefore, the electrophotographic photoreceptor including the mixture as a charge generating material has excellent and stable electrical properties. In addition, the electrophotographic photoreceptor can be prepared with relatively low manufacturing costs.

Подробнее
12-04-2016 дата публикации

Method and apparatus for the formation of conductive films on a substrate

Номер: US0009310685B2
Принадлежит: Nokia Technologies Oy, NOKIA TECHNOLOGIES OY

Provided herein are a method and apparatus for the formation of conductive films on a substrate using precise sintering of a conductive film and thermal management of the substrate during sintering. In particular, a method may include depositing a conductive metal-based ink on a translucent or transparent substrate, positioning a mask between the deposited conductive metal-based ink and a light source, exposing the mask and the underlying deposited conductive metal-based ink to the light source, sintering the conductive metal-based ink exposed to the light source, and cleaning the non-sintered conductive metal-based ink from the translucent or transparent substrate. The mask may be configured to shield at least a portion of the conductive metal-based ink from the light source. The portion of the conductive metal-based ink shielded from the light source may remain non-sintered in response to the sintering of the conductive metal-based ink exposed to the light source.

Подробнее
05-01-2023 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: US20230004083A1
Принадлежит:

Organometallic solutions have been found to provide high resolution radiation based patterning using thin coatings. The patterning can involve irradiation of the coated surface with a selected pattern and developing the pattern with a developing agent to form the developed image. The patternable coatings may be susceptible to positive-tone patterning or negative-tone patterning based on the use of an organic developing agent or an aqueous acid or base developing agent. The radiation sensitive coatings can comprise a metal oxo/hydroxo network with organic ligands. A precursor solution can comprise an organic liquid and metal polynuclear oxo-hydroxo cations with organic ligands having metal carbon bonds and/or metal carboxylate bonds.

Подробнее
04-04-2023 дата публикации

Vapor phase thermal etch solutions for metal oxo photoresists

Номер: US0011621172B2
Принадлежит: Applied Materials, Inc.

Embodiments disclosed herein include methods of developing a metal oxo photoresist. In an embodiment, the method comprises providing a substrate with the metal oxo photoresist into a vacuum chamber, where the metal oxo photoresist comprises exposed regions and unexposed regions. In an embodiment, the unexposed regions comprise a higher carbon concentration than the exposed regions. The method may further comprise vaporizing a halogenating agent into the vacuum chamber, where the halogenating agent reacts with either the unexposed regions or the exposed regions to produce a volatile byproduct. In an embodiment, the method may further comprise purging the vacuum chamber.

Подробнее
07-06-2022 дата публикации

Method of simulating resist pattern, resist material and method of optimizing formulation thereof, apparatus and recording medium

Номер: US0011353793B2
Автор: Seiji Nagahara

A method of simulating a resist pattern according to an exemplary embodiment includes a step (A) of calculating a latent image of a concentration of an active species in a resist film that has been radiated by a radioactive ray along a target pattern with respect to a radiation position of the radioactive ray, a step (B) of calculating a change rate of the concentration with respect to the radiation position at an edge of the target pattern on the basis of the latent image, a step (C) of calculating a probabilistic variation at the edge of the target pattern, and a step (D) of calculating a variation in pattern edge roughness from the change rate of the concentration and the probabilistic variation.

Подробнее
04-07-2023 дата публикации

Radiation based patterning methods

Номер: US0011693312B2
Принадлежит: Inpria Corporation

Stabilized precursor solutions can be used to form radiation inorganic coating materials. The precursor solutions generally comprise metal suboxide cations, peroxide-based ligands and polyatomic anions. Design of the precursor solutions can be performed to achieve a high level of stability of the precursor solutions. The resulting coating materials can be designed for patterning with a selected radiation, such as ultraviolet light, x-ray radiation or electron beam radiation. The radiation patterned coating material can have a high contrast with respect to material properties, such that development of a latent image can be successful to form lines with very low line-width roughness and adjacent structures with a very small pitch.

Подробнее
04-03-1993 дата публикации

Номер: JP0005501164A
Автор:
Принадлежит:

Подробнее
06-02-1979 дата публикации

METHOD OF MAKING FLAT PRINTING PLATE

Номер: JP0054016206A
Принадлежит:

Подробнее
27-04-2006 дата публикации

РАДИАЦИОННО-ЧУВСТИВИТЕЛЬНАЯ КОМПОЗИЦИЯ, ИЗМЕНЯЮЩАЯ ПОКАЗАТЕЛЬ ПРЕЛОМЛЕНИЯ, И ЕЕ ПРИМЕНЕНИЕ

Номер: RU2275401C2

Описывается композиция, показатель преломления которой изменяется простым способом, который может иметь достаточно большую разность показателей преломления и который обеспечивает стойкую конфигурацию показателя преломления, и оптический материал, независимо от условий их использования и способа формирования конфигурации показателя преломления и оптического материала, которая содержит (А) разлагаемое соединение; (В) гидролизат алкоголята, как, например, тетрабутоксититан, тетраметоксицирконий, тетраметоксигерманий или тетраметоксисилан, или галогеносодержащее соединение, такое как, например, тетрахлорсилан, а также (С) радиационно-чувствительный разлагатель; состав является чувствительным к излучению. Техническим результатом является получение оптического материала, показатель преломления которого изменяется простым способом, и которые имеют достаточно большую разность показателя преломления и которые являются стабильными. 7 н. и 5 з.п. ф-лы, 2 табл.

Подробнее
18-02-1993 дата публикации

Номер: DE0004091209T
Автор:
Принадлежит:

Подробнее
25-03-2021 дата публикации

ORGANOMETALLISCHE CLUSTER-PHOTORESISTE FÜR DIE EUV-LITHOGRAPHIE

Номер: DE102019126910A1
Принадлежит:

Die vorliegende Offenbarung betrifft Organozinn-Clusterverbindungen der Formel (I) und deren Verwendung als Photoresiste in Extrem-Ultraviolett-Lithographieverfahren.

Подробнее
30-11-2006 дата публикации

Regenerierbare, strukturierte Platte mit Oxidationskatalysatoren

Номер: DE102005023871A1
Принадлежит:

Beschrieben wird ein Verfahren zur Herstellung einer regenerierbaren Platte mit strukturierter Oberfläche aus hydrophilen und hydrophoben Bereichen, bei dem man die Oberfläche der regenerierbaren Platte, die ein Substrat und eine darüber liegende Schicht aus einer Masse, die einen hydrophilen Oxidationskatalysator enthält, umfasst, mit einer hydrophoben Schicht beschichtet und bildmäßig belichtet, wobei die hydrophobe Schicht in den belichteten Bereichen durch die Belichtung erwärmt wird, so dass die hydrophobe Schicht in den belichteten Bereichen unter Freilegung des darunter liegenden hydrophilen Oxidationskatalysators thermokatalytisch zersetzt wird. DOLLAR A Die nach diesem Verfahren hergestellten Platten mit strukturierter Oberfläche können nach Verwendung in einfacher Weise regeneriert werden, so dass sie für einer erneute Strukturierung zur Verfügung stehen. Die so erhaltenen Substrate eignen sich hervorragend zum Drucken von Mikrostrukturen auf ein Empfangsmedium oder zur mustermäßigen ...

Подробнее
06-08-1969 дата публикации

Photochemical Production of Printing Plates

Номер: GB0001160249A
Автор:
Принадлежит:

... 1,160,249. Production of photo-chemical printing plates. CORNING GLASS WORKS. Sept. 12, 1966 [Sept. 20, 1965], No.40689/66. Headings G2C and G2M. Printing plates are produced by exposing a photo-sensitive gloss panel to a negative using ultraviolet light, X-rays or radio-active radiations, heating the glass panel to opacify the exposed areas, removing the opacified areas by immersion in hydrofluoric acid to produce a negative intagtis, placing a mouldable material such as a thermoplastic or thermosetting, polymer or molten metal in contact with the enteglin under pressure and/or heat to produce the printing plate. Preferably the polymer used is rubber which forms the intaglas plate in contact with the glass intaglis using both heat and pressure.

Подробнее
25-02-1974 дата публикации

Procedure for the production of a relief picture

Номер: AT0000313700B
Принадлежит:

Подробнее
15-02-2004 дата публикации

PRINTING FORM AND PROCEDURE FOR CHANGING YOUR WETTING CHARACTERISTICS

Номер: AT0000259298T
Автор: HESS PETER, HESS, PETER
Принадлежит:

Подробнее
24-01-2002 дата публикации

DRY MULTILAYER INORGANIC ALLOY THERMAL RESIST FILM FOR LITHOGRAPHIC PROCESSING AND IMAGE CREATION

Номер: CA0002416412A1
Принадлежит:

A thermal inorganic resist useful for lithographic processes and image creation is created by depositing on a substrate at least two layers of materials which are typically metals. The materials form a mixed alloy with a eutectic. One embodiment has a 15 nm Bi layer overlying a 15 nm In layer. Upon exposure to a optical light pulse of sufficient intensity, optical absorption heats the layers above the eutectic melting point (110~ C for BiIn) and the resist forms an alloy in the exposed area. By selectively heating parts of the resist a desired pattern can be created in the resist. Optical characteristics of the alloyed layers are typically different from those of the unexposed layers. In BiIn resists the alloyed areas are visually transparent compared to the unexposed sections. The exposed pattern provides a viewable image useful for exposure control. In a negative resist the alloy material is resistant to development etches which remove the unexposed areas but only slowly etch the alloyed ...

Подробнее
01-01-1974 дата публикации

PRINTING AND COPYING EMPLOYING MATERIALS WITH SURFACE VARIATIONS

Номер: CA939148A
Автор:
Принадлежит:

Подробнее
01-12-1967 дата публикации

Process of double exposure for the photoengraving

Номер: FR0001504352A
Автор:
Принадлежит:

Подробнее
23-11-1970 дата публикации

LIGHT-SENSITIVE COMPOSITIONS

Номер: FR0001602445A
Автор:
Принадлежит:

Подробнее
21-09-2018 дата публикации

감활성광선성 또는 감방사선성 조성물, 감활성광선성 또는 감방사선성 조성물의 제조 방법, 패턴 형성 방법, 및 전자 디바이스의 제조 방법

Номер: KR1020180104745A
Принадлежит:

... 초미세의 패턴의 형성에 있어서, 해상성이 우수하고, 또한 감도 편차가 적은 감활성광선성 또는 감방사선성 조성물, 상기 감활성광선성 또는 감방사선성 조성물의 제조 방법, 상기 감활성광선성 또는 감방사선성 조성물을 이용하는 패턴 형성 방법, 및 전자 디바이스의 제조 방법을 제공한다. 감활성광선성 또는 감방사선성 조성물, 및 감활성광선성 또는 감방사선성 조성물의 제조 방법에 의하여 얻어지는 감활성광선성 또는 감방사선성 조성물은, 금속 원자를 갖는 양이온 및 배위자를 함유하고, 식 (1)로 나타나는 σ의 값이 2.2 이하이다. 패턴 형성 방법 및 전자 디바이스의 제조 방법은, 상기 감활성광선성 또는 감방사선성 조성물을 이용한다.

Подробнее
09-07-2014 дата публикации

DENDRITIC COMPOUNDS, PHOTORESIST COMPOSITIONS AND METHODS OF MAKING ELECTRONIC DEVICES

Номер: KR1020140088037A
Автор:
Принадлежит:

Подробнее
30-04-2019 дата публикации

Номер: KR0101974322B1
Автор:
Принадлежит:

Подробнее
17-05-2012 дата публикации

Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture

Номер: US20120119202A1

Devices having a thin film or laminate structure comprising hafnium and/or zirconium oxy hydroxy compounds, and methods for making such devices, are disclosed. The hafnium and zirconium compounds can be doped, typically with other metals, such as lanthanum. Examples of electronic devices or components that can be made include, without limitation, insulators, transistors and capacitors. A method for patterning a device using the materials as positive or negative resists or as functional device components also is described. For example, a master plate for imprint lithography can be made. An embodiment of a method for making a device having a corrosion barrier also is described. Embodiments of an optical device comprising an optical substrate and coating also are described. Embodiments of a physical ruler also are disclosed, such as for accurately measuring dimensions using an electron microscope.

Подробнее
20-06-2013 дата публикации

Photoresist composition for forming a color filter and display substrate

Номер: US20130155536A1
Принадлежит: Samsung Display Co Ltd

A photoresist composition for manufacturing a color filter, the photoresist composition includes a first red colorant and a yellow colorant represented by Chemical Formula 1, wherein R 1 and R 2 each independently represent a C1 to C10 alkyl group, wherein A 1 , A 2 , A 3 , and A 4 each independently represent a C1 to C10 alkyl group, —CN, —PO 3 H 2 , —C(O)OH, or a hydrogen atom, m is an integer of 1 to 10, and optionally wherein at least one —CH 2 — of R 1 and R 2 if present is independently replaced with —O—, —C(O)—, —C(O)O—, or —OC(O)—.

Подробнее
07-11-2013 дата публикации

PROCESSES TO FORM AQUEOUS PRECURSORS, HAFNIUM AND ZIRCONIUM OXIDE FILMS, AND HAFNIUM AND ZIRCONIUM OXIDE PATTERNS

Номер: US20130295507A1
Принадлежит:

Embodiments of a method for synthesizing aqueous precursors comprising Hf or Zr cations, peroxide, and a monoprotic acid are disclosed. The aqueous precursors are suitable for making HfOand ZrOthin films, which subsequently can be patterned. The disclosed thin films are dense and continuous, with a surface roughness of ≦0.5 nm and a refractive index of 1.85-2.0 at λ=550 nm. Some embodiments of the disclosed thin films have a leakage-current density ≦20 nA/cmat 1 MV/cm, with a dielectric breakdown ≧3 MV/cm. The thin films can be patterned with radiation to form dense lines and space patterns. 1. A method for forming precursor solution for making a film , comprising:{'sup': 4+', '4+, 'dissolving a zirconium or hafnium salt in water, wherein the salt dissociates to form Zr or Hf cations and salt counterions,'}forming a precipitate by adding an aqueous base, which forms base counterions and hydroxide ions in aqueous solution,removing counterions from the precipitate,dissolving the precipitate in a monoprotic acid, andadding aqueous hydrogen peroxide following one or more of the preceding steps.2. The method of claim 1 , wherein the zirconium or hafnium salt is zirconium oxide halide claim 1 , zirconium oxide nitrate claim 1 , hafnium oxide halide claim 1 , or hafnium oxide nitrate.3. The method of claim 1 , wherein the aqueous base is NH(aq) or NaOH(aq).4. The method of claim 1 , wherein the aqueous base is added batchwise.5. The method of claim 1 , wherein the monoprotic acid has the formula HX(aq) where X is NO claim 1 , Cl claim 1 , Br claim 1 , I claim 1 , ClO claim 1 , BrO claim 1 , or IO.6. The method of claim 5 , wherein dissolution in HX(aq) produces a Zr/X or Hf/X ratio>0.5.7. The method of claim 1 , wherein a sufficient quantity of HOis added to provide a Zr/O ratio or a Hf/O ratio ranging from 0.02 to 2.89-. (canceled)10. The method of claim 1 , further comprising:{'sup': 4+', '4+, 'applying the precursor solution to at least one surface of a substrate to ...

Подробнее
10-04-2014 дата публикации

PHOTOINITIATED OLEFIN METHATHESIS POLYMERIZATION

Номер: US20140099573A1
Принадлежит: California Institute of Technology

The present invention provides photosensitive compositions and methods of patterning a polymeric image on a substrate, said methods comprising; (a) depositing a layer of photosensitive composition of any one of claims to on the substrate; and (b) irradiating a portion of the layer of photosensitive composition with a light comprising a wavelength in a range of from about 220 to about 440 nm. The invention also relates to methods of metathesizing an unsaturated organic precursor comprising irradiating Fischer-type carbene ruthenium catalysts with at least one wavelength of light in the presence of at least one unsaturated organic precursor so as to metathesize at least one alkene or one alkyne bond. 1. A method of metathesizing an unsaturated organic precursor comprising irradiating a Fischer-type carbene ruthenium catalyst with at least one wavelength of light in the presence of at least one unsaturated organic precursor , so as to metathesize at least one alkene or one alkyne bond.4. The method of claim 3 , wherein the Ru═C(R)(Y—R) moiety is a substituted vinyl ether carbene.54. The method of claim 3 , wherein Ris Calkyl claim 3 , preferably ethyl or butyl.6. The method of claim 2 , wherein Q is —CH—CH— and Rand Rare mesityl.7. The method of claim 1 , wherein the metathesis claim 1 , upon irradiation claim 1 , proceeds at a rate faster than the metathesis in the absence of light.8. The method of claim 1 , wherein the Fischer-type carbene ruthenium catalyst is irradiated with a light comprising a wavelength in a range of from about 220 to about 440 nm claim 1 , preferably in a range of from about 240 to about 260 nm or from about 340 to about 360 nm.9. The method of claim 1 , wherein the Fischer-type carbene ruthenium catalyst is irradiated with a light having an intensity in a range of about 2 watts to about 6000 watts at at least one wavelength in a range of about 220 to 440 nm.10. The method of claim 1 , wherein the Fischer-type carbene ruthenium catalyst and at ...

Подробнее
06-01-2022 дата публикации

SELECTIVE DEPOSITION OF CARBON ON PHOTORESIST LAYER FOR LITHOGRAPHY APPLICATIONS

Номер: US20220005688A1
Автор: Fung Nancy, GAO LARRY
Принадлежит:

Embodiments disclosed within include a method for etching a hardmask layer includes forming a photoresist layer comprising an organometallic material on a hardmask layer comprising a metal-containing material, exposing the photoresist layer to ultraviolet radiation through a mask having a selected pattern, removing un-irradiated areas of the photoresist layer to pattern the photoresist layer, forming a passivation layer comprising a carbon-containing material selectively on a top surface of the patterned photoresist layer, including selectively depositing passivation material over a top surface of a patterned photoresist layer trimming undesired portions of the passivation material, and etching the hardmask layer exposed by the patterned photoresist layer having the passivation layer formed thereon. 1. A method for etching a hardmask layer , comprising:forming a photoresist layer comprising an organometallic material on the hardmask layer;exposing the photoresist layer to ultraviolet radiation through a mask having a selected pattern;removing un-irradiated areas of the photoresist layer to form a patterned photoresist layer; selectively depositing passivation material over the top surface; and', 'trimming undesired portions of the passivation material; and, 'forming a passivation layer comprising a carbon-containing material selectively on a top surface of the patterned photoresist layer, wherein the forming the passivation layer comprisesetching the hardmask layer exposed by the patterned photoresist layer having the passivation layer formed thereon.2. The method of claim 1 , wherein the organometallic material comprises one or more metal elements and organic ligands.3. The method of claim 2 , wherein the one or more metal elements comprise tin (Sn).4. The method of claim 1 , wherein the trimming the undesired portions comprises exposing the passivation material to a radical etch.5. The method of claim 1 , wherein the forming of the passivation layer comprises: ...

Подробнее
07-01-2021 дата публикации

GRAPHENE-SEMICONDUCTOR BASED WAVELENGTH SELECTIVE PHOTODETECTOR FOR SUB-BANDGAP PHOTO DETECTION

Номер: US20210005398A1
Принадлежит:

Graphene photodetectors capable of operating in the sub-bandgap region relative to the bandgap of semiconductor nanoparticles, as well as methods of manufacturing the same, are provided. A photodetector can include a layer of graphene, a layer of semiconductor nanoparticles, a dielectric layer, a supporting medium, and a packaging layer. The semiconductor nanoparticles can be semiconductors with bandgaps larger than the energy of photons meant to be detected. 1. A method of manufacturing a graphene semiconductor photodetector , the method comprising:providing a monolayer chemical vapor deposition (“CVD”) of graphene on a metal;spin-coating a poly(methyl methacrylate) (PMMA) solution in anisole onto the graphene layer and air drying it;removing the metal on the reverse side of the graphene by etching;separating the released graphene on PMMA film and rinsing the film consecutively in a plurality of clean deionized (“DI”) water baths;placing the film onto a clean substrate and air drying it;dissolving the PMMA with a solvent;patterning the graphene into a ribbon with e-beam lithography and oxygen;depositing silver (Ag) in a thermal evaporator on the e-beam lithography in a defined central area of the graphene ribbon;{'sub': 2', '2', '2, 'transforming the Ag into one of AgCl, AgBr, and AgI by a reaction with Cl, Br, or I, respectively;'}{'sub': 2', '3, 'after the transformation of the Ag, coating AlOby atomic layer deposition (ALD) onto the structure;'}{'sub': 2', '3, 'removing the AlOon the contact area by dipping it in a buffered HF solution (BHF), and patterning a nickel (Ni) electrode on the graphene ribbon with e-beam lithography followed by metal sputtering; and'}cleaning the PMMA.2. The method of wherein the step of spin-coating is carried out at 4 claim 1 ,000 rpm for 1 minute with a 7 wt. % PMMA solution.3. The method of wherein the step of removing the metal on the reverse side of the graphene by etching is carried out with an oxygen reactive ion etching (RIE) ...

Подробнее
12-01-2017 дата публикации

COLORING COMPOSITION, FILM, COLOR FILTER, PATTERN FORMING METHOD, METHOD OF MANUFACTURING COLOR FILTER, SOLID IMAGE PICKUP ELEMENT, AND INFRARED SENSOR

Номер: US20170010528A1
Принадлежит: FUJIFILM Corporation

A coloring composition includes colorants and a resin, in which a ratio A/B of a minimum value A of an absorbance in a wavelength range of 400 to 830 nm to a maximum value B of an absorbance in a wavelength range of 1000 to 1300 nm is 4.5 or higher. 1. A coloring composition comprising:colorants; anda resin,wherein a ratio A/B of a minimum value A of an absorbance in a wavelength range of 400 to 830 nm to a maximum value B of an absorbance in a wavelength range of 1000 to 1300 nm is 4.5 or higher.2. The coloring composition according to claim 1 ,wherein the colorants include one or more first colorants having an absorption maximum in a wavelength range of 800 to 900 nm and two or more second colorants having an absorption maximum in a wavelength range of 400 to 700 nm.3. The coloring composition according to claim 2 ,wherein a content of the first colorants is 10 to 200 parts by mass with respect to 100 parts by mass of the second colorants.4. The coloring composition according to claim 2 ,wherein the first colorants include a pyrrolopyrrole colorant compound.5. The coloring composition according to claim 3 ,wherein the first colorants include a pyrrolopyrrole colorant compound.6. The coloring composition according to claim 4 ,wherein the pyrrolopyrrole colorant compound is a pigment.10. The coloring composition according to claim 2 ,wherein the colorants include, as the second colorants, two or more colorants selected from the group consisting of a red colorant, a yellow colorant, a blue colorant, and a violet colorant.11. The coloring composition according to claim 1 , further comprising:a polymerizable compound.12. The coloring composition according to claim 11 , further comprising:a photopolymerization initiator.13. The coloring composition according to which is used for forming a colored layer of a color filter.14. A film whereina light transmittance in a thickness direction of the film has a maximum value of 20% or lower in a wavelength range of 400 to 830 nm ...

Подробнее
14-01-2021 дата публикации

STABILIZED INTERFACES OF INORGANIC RADIATION PATTERNING COMPOSITIONS ON SUBSTRATES

Номер: US20210011383A1
Принадлежит:

A method is described for stabilizing organometallic coating interfaces through the use of multilayer structures that incorporate an underlayer coating. The underlayer is composed of an organic polymer that has crosslinking and adhesion-promoting functional groups. The underlayer composition may include photoacid generators. Multilayer structures for patterning are described based on organometallic radiation sensitive patterning compositions, such as alkyl tin oxo hydroxo compositions, which are placed over a polymer underlayer. 1. A multilayer structure comprising:a substrate with a surface, an underlayer coating over at least a portion of the substrate surface, and an organometallic resist coating that is radiation sensitive, over at least a portion of the underlayer coating, wherein the underlayer coating comprises a polymer composition with crosslinking moieties and/or adhesion-promoting moieties.2. The multilayer structure of wherein the adhesion between the underlayer coating and the organometallic resist coating is sensitive to radiation.3. The multilayer structure of wherein the polymer composition comprises repeat units with side-chain crosslinking moieties and/or polymers with end-chain crosslinking moieties claim 1 , wherein the repeat units include functionalized acrylates claim 1 , functionalized vinyl ketones claim 1 , functionalized acrylamides claim 1 , other functionalized vinyl or non-vinyl repeat units claim 1 , or mixtures thereof claim 1 , wherein the crosslinking moieties may be terminally functionalized with a hydroxide claim 1 , an ether claim 1 , a glycidyl claim 1 , an epoxide claim 1 , a methoxymethyl urea claim 1 , an acrylate claim 1 , or combinations thereof claim 1 , and wherein the polymer composition has suitable film forming properties from solution.4. The multilayer structure of wherein the repeat units have a structure of formula (1) wherein Ris a hydrogen atom claim 3 , a fluorine atom claim 3 , a methyl group claim 3 , or a ...

Подробнее
19-01-2017 дата публикации

PHOTOSENSITIVE RESIN COMPOSITION, SOLDER RESIST COMPOSITION, AND COVERED-PRINTED WIRING BOARD

Номер: US20170017152A1
Автор: SAKAI Yoshio
Принадлежит:

This photosensitive resin composition includes: (A) a photopolymerizable compound including at least one of a photopolymerizable monomer and a photopolymerizable oligomer; (B) titanium dioxide; and (C) a photopolymerization initiator. The component (C) includes (C1) an acylphosphine oxide-containing photopolymerization initiator and (C2) a phenylglyoxylic acid-containing photopolymerization initiator. 1. A photosensitive resin composition comprising:(A) a photopolymerizable compound including at least one of a photopolymerizable monomer and a photopolymerizable oligomer;(B) titanium dioxide; and(C) a photopolymerization initiator,the component (C) including (C1) an acylphosphine oxide-containing photopolymerization initiator and (C2) a phenylglyoxylic acid-containing photopolymerization initiator.2. The photosensitive resin composition according to claim 1 , whereinthe component (A) includes (F1) a compound which contains a carboxyl group.3. The photosensitive resin composition according to claim 2 , whereinthe component (F1) includes a (meth)acrylic copolymer.4. The photosensitive resin composition according to claim 1 , whereinthe component (A) includes a caprolactone-modified (meth)acrylate monomer.5. The photosensitive resin composition according to claim 1 , whereinthe component (B) includes rutile titanium dioxide.6. The photosensitive resin composition according to claim 1 , whereinthe component (C1) includes a bisacylphosphine oxide-containing photopolymerization initiator.7. The photosensitive resin composition according to comprising(D) an epoxy compound.8. The photosensitive resin composition according to claim 7 , whereinthe component (D) includes a crystalline epoxy compound that has a melting point within a range of 130 to 160° C.9. The photosensitive resin composition according to claim 7 , wherein:the component (D) includes at least one of triglycidyl isocyanurate and a hydroquinone epoxy compound represented by following formula (1); and{'sup': 1', ...

Подробнее
18-01-2018 дата публикации

PATTERN-FORMING METHOD

Номер: US20180017864A9
Принадлежит: JSR Corporation

A pattern-forming method includes applying a radiation-sensitive composition on a substrate to provide a film on the substrate. The film is exposed. The film exposed is developed. The radiation-sensitive composition includes a metal-containing component that is a metal compound having a hydrolyzable group, a hydrolysis product of the metal compound having a hydrolyzable group, a hydrolytic condensation product of the metal compound having a hydrolyzable group, or a combination thereof. A content of a transition metal atom in the metal-containing component with respect to total metal atoms in the metal-containing component is no less than 50 atomic %. 1. A pattern-forming method comprising:applying a radiation-sensitive composition on a substrate to provide a film on the substrate;exposing the film; anddeveloping the film exposed,wherein:the radiation-sensitive composition comprises a metal-containing component that is a metal compound having a hydrolyzable group, a hydrolysis product of the metal compound having a hydrolyzable group, a hydrolytic condensation product of the metal compound having a hydrolyzable group, or a combination thereof; anda content of a transition metal atom in the metal-containing component with respect to total metal atoms in the metal-containing component is no less than 50 atomic %.2. The pattern-forming method according to claim 1 , wherein the metal compound having a hydrolyzable group comprises a compound represented by formula (1):{'br': None, 'i': L', 'MX, 'sub': a', 'b, '(1)'}wherein in the formula (1),M represents a transition metal atom,L represents a ligand, and a is 1 or 2, wherein in a case where a is 2, a plurality of Ls are identical or different, andX represents a hydrolyzable group selected from a halogen atom, an alkoxy group and a carboxylate group, and b is an integer of 2 to 6, wherein in a case where b is no less than 2, a plurality of Xs are identical or different, whereinthe ligand represented by L does not fall ...

Подробнее
18-01-2018 дата публикации

PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE RESIN LAYER USING THE SAME AND DISPLAY DEVICE

Номер: US20180017866A1
Принадлежит:

A photosensitive resin composition includes a binder resin, a black colorant, a photopolymerizable monomer, a photopolymerization initiator, and a solvent. The binder resin includes a first binder resin having a glass transition temperature of about −50° C. to about 150° C. and a second binder resin having a glass transition temperature of greater than about 150° C. 1. A photosensitive resin composition , comprising:a binder resin;a black colorant;a photopolymerizable monomer;a photopolymerization initiator; anda solvent,wherein the binder resin includes a first binder resin having a glass transition temperature of about −50° C. to about 150° C. and a second binder resin having a glass transition temperature of greater than about 150° C.2. The photosensitive resin composition as claimed in claim 1 , wherein the first binder resin is included in an amount of about 1 part by weight to about 900 parts by weight based on 100 parts by weight of the second binder resin.3. The photosensitive resin composition as claimed in claim 2 , wherein the first binder resin is included in an amount of about 100 parts by weight to about 800 parts by weight based on 100 parts by weight of the second binder resin.4. The photosensitive resin composition as claimed in claim 1 , wherein the first binder resin includes a polyurethane-based resin claim 1 , a cardo-based resin claim 1 , an epoxy-based resin claim 1 , a styrene-based resin claim 1 , an acryl-based resin claim 1 , a bisphenol A-based resin claim 1 , a siloxane-based resin claim 1 , or a combination thereof.5. The photosensitive resin composition as claimed in claim 1 , wherein the second binder resin includes at least one selected from a polyamic acid polymer claim 1 , a polyamic acid ester polymer claim 1 , a polyhydroxyamide polymer claim 1 , a polyimide polymer claim 1 , a polybenzoxazole polymer claim 1 , and a copolymer thereof.6. The photosensitive resin composition as claimed in claim 5 , wherein the second binder resin ...

Подробнее
28-01-2021 дата публикации

ORGANOMETALLIC METAL CHALCOGENIDE CLUSTERS AND APPLICATION TO LITHOGRAPHY

Номер: US20210026241A1
Принадлежит:

Patterning with UV and EUV light is described with organo tin sulfide (and selenide) clusters. The clusters are solids at room temperature and are soluble in organic solvents that are not too polar. Irradiation can either fragment a carbon metal bond or crosslink unsaturated organic moieties to stabilize the irradiated material. The irradiated material then resists dissolving in organic solvents so that the un-irradiated material can be contacted with an organic solvent to develop the latent image formed with the radiation. Radiation patternable layers can be formed through coating a solution or through vapor deposition. Corresponding precursor solutions, structures and methods are described. 1. A structure with a radiation sensitive patterning layer comprising a substrate and a radiation sensitive layer comprising organotin clusters represented by the formula (RSn)Xwherein R is an organic ligand having 1 to 15 carbon atoms bound to Sn with a metal-carbon bond , and X is S or Se wherein the radiation sensitive layer has an average thickness from about 2 nm to about a micron.2. The structure of wherein R is an alkyl group claim 1 , an alkenyl group claim 1 , an aryl group or combinations thereof.3. The structure of wherein the organotin clusters comprise n-butyl tin sulfide claim 1 , n-butenyl tin sulfide claim 1 , or combinations thereof.4. The structure of wherein the radiation sensitive layer has an average thickness of 2 nm to 200 nm.5. The structure of wherein the thickness of the layer at any point across the structure varies by no more than 25% from the average thickness of the layer.6. The structure of wherein the radiation sensitive patterning layer comprises a material with a virtual image corresponding to a selected pattern of radiation claim 1 , wherein the virtual image has regions with different solubility to an organic solvent.7. The structure of wherein the radiation sensitive layer comprises a patterned layer comprising irradiated material having a ...

Подробнее
04-02-2021 дата публикации

Patterning Process of a Semiconductor Structure with Enhanced Adhesion

Номер: US20210035798A1
Автор: Chen Chien-Chih
Принадлежит:

A lithography method includes forming a bottom anti-reflective coating (BARC) layer on a substrate, wherein the BARC layer includes an organic polymer and a reactive chemical group having at least one of chelating ligands and capping monomers, wherein the reactive chemical group is bonded to the organic polymer; coating a metal-containing photoresist (MePR) layer on the BARC layer, wherein the MePR being sensitive to an extreme ultraviolet (EUV) radiation; performing a first baking process to the MePR layer and the BARC layer, thereby reacting a metal chemical structure of the MePR layer and the reactive chemical structure of the BARC layer and forming an interface layer between the MePR layer and the BARC layer; performing an exposure process using the EUV radiation to the MePR layer; and developing the MePR layer to form a patterned photoresist layer. 1. A method , comprising:forming a bottom anti-reflective coating (BARC) layer on a substrate, wherein the BARC layer includes an organic polymer and a reactive chemical group having at least one of chelating ligands and capping monomers, wherein the reactive chemical group is bonded to the organic polymer;coating a metal-containing photoresist (MePR) layer on the BARC layer, wherein the MePR being sensitive to an extreme ultraviolet (EUV) radiation;performing a first baking process to the MePR layer and the BARC layer, thereby reacting a metal chemical structure of the MePR layer and the reactive chemical structure of the BARC layer and forming an interface layer between the MePR layer and the BARC layer;performing an exposure process using the EUV radiation to the MePR layer; anddeveloping the MePR layer to form a patterned photoresist layer.2. The method of claim 1 , further comprising performing a first etching process to transfer a pattern of the patterned photoresist layer to an under layer on the substrate.3. The method of claim 1 , wherein the organic polymer includes at least one of Polystyrene (PS) claim 1 ...

Подробнее
08-02-2018 дата публикации

RADIATION BASED PATTERNING METHODS

Номер: US20180039172A1
Принадлежит:

Stabilized precursor solutions can be used to form radiation inorganic coating materials. The precursor solutions generally comprise metal suboxide cations, peroxide-based ligands and polyatomic anions. Design of the precursor solutions can be performed to achieve a high level of stability of the precursor solutions. The resulting coating materials can be designed for patterning with a selected radiation, such as ultraviolet light, x-ray radiation or electron beam radiation. The radiation patterned coating material can have a high contrast with respect to material properties, such that development of a latent image can be successful to form lines with very low line-width roughness and adjacent structures with a very small pitch. 1. A method for forming a patterned structure comprising a substrate and a patterned coating material on a surface of the substrate , the method comprising:{'sup': 2', '2, 'irradiating along a selected pattern, a layer of coating material on the surface of the substrate having an average thickness from about 5 nm to about 30 nm with UV radiation or EUV radiation at a dose of no more than about 100 mJ/cm, or with electron-beam radiation at a dose equivalent to no more than about 300 μC/cmat 30 kV; and'}contacting the irradiated layer with a developing composition to dissolve un-irradiated material to form a patterned coating material.2. The method of wherein the irradiation is performed with EUV at a dose of no more than about 90 mJ/cm.3. The method of wherein the irradiation is performed with e-beam radiation with a dose equivalent to no more than about 250 μcmat 30 kV.4. The method of wherein the developing composition comprises tetramethyl ammonium hydroxide.5. The method of wherein following exposure an exposed coating region is insoluble in an aqueous base.6. The method of wherein following exposure an exposed coating region is soluble in an organic solvent.7. The method of further comprising heating the coated substrate after ...

Подробнее
07-02-2019 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20190041754A1
Принадлежит: Screen Holdings Co Ltd

A resist film including a metallic component and a photosensitive material is formed on a surface of a substrate, and then a peripheral portion of the resist film on the substrate is irradiated with light by an edge exposer. Subsequently, development processing is performed with a development liquid from a nozzle on the exposed portion of the resist film. Thus, the part of the resist film formed on the peripheral portion of the substrate is removed. Thereafter, exposure processing is performed on the substrate in an exposure device, so that an exposure pattern is formed on the resist film. Then, a development liquid is supplied to the exposed substrate in a development processing unit, so that development processing is performed on the resist film.

Подробнее
24-02-2022 дата публикации

METHOD OF MANUFACTURING INTEGRATED CIRCUIT DEVICE USING A METAL-CONTAINING PHOTORESIST COMPOSITION

Номер: US20220059345A1
Принадлежит:

In a method of manufacturing an integrated circuit device, a photoresist layer is formed by coating a photoresist composition on a substrate having a main surface and an edge portion surrounding the main surface. A portion of the photoresist layer is removed from the edge portion of the substrate. After the portion of the photoresist layer is removed, the substrate is processed using a main treatment composition including an organic solvent, acid, and water. 1. A method of manufacturing an integrated circuit device , the method comprising:forming a photoresist layer by coating a photoresist composition on a substrate comprising a main surface and an edge portion around the main surface in a plan view;removing a portion of the photoresist layer from the edge portion of the substrate; andprocessing the substrate using a main treatment composition comprising an organic solvent, acid, and water after the removing the portion of the photoresist layer.2. The method of claim 1 , wherein the main treatment composition comprises the water in an amount greater than 0 wt % and less than or equal to 5 wt % by weight of the main treatment composition.3. The method of claim 1 , wherein the main treatment composition comprises the water in an amount greater than 10 ppm and less than or equal to 5 wt % by weight of the main treatment composition.4. The method of claim 1 , wherein the acid included in the main treatment composition comprises at least one carboxyl group.5. The method of claim 1 , wherein the main treatment composition further comprises an alcohol compound claim 1 , HO claim 1 , and/or HF.6. The method of claim 1 , wherein the main treatment composition further comprises a surfactant and/or a chelating agent.7. The method of claim 1 ,wherein the removing of the portion of the photoresist layer from the edge portion of the substrate comprises supplying a thinner composition comprising a first organic solvent to the edge portion, andwherein the thinner composition ...

Подробнее
06-02-2020 дата публикации

SEMICONDUCTOR RESIST COMPOSITION, AND METHOD OF FORMING PATTERNS USING THE COMPOSITION

Номер: US20200041896A1
Принадлежит:

This disclosure relates to a semiconductor resist composition including an organometallic compound represented by Formula 1 and a solvent, and to a method of forming patterns using the composition: 2. The semiconductor resist composition of claim 1 , wherein the main chain in L further comprises a substituted or unsubstituted C3 to C20 cycloalkylene group claim 1 , a substituted or unsubstituted C2 to C20 alkenylene group claim 1 , a substituted or unsubstituted C2 to C20 alkynylene group claim 1 , —O— claim 1 , —C(═O)— claim 1 , or a combination thereof.3. The semiconductor resist composition of claim 1 , wherein L is selected from a substituted or unsubstituted C1 to C10 alkylene group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkylene group claim 1 , a substituted or unsubstituted C3 to C20 alkenylene group claim 1 , a substituted or unsubstituted C3 to C20 alkynylene group claim 1 , and a substituted or unsubstituted C1 to C10 alkyleneoxy group.4. The semiconductor resist composition of claim 1 , wherein Rand Rare each independently selected from a substituted or unsubstituted C1 to C10 alkyl group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 1 , a substituted or unsubstituted C2 to C8 alkenyl group claim 1 , a substituted or unsubstituted C2 to C8 alkynyl group claim 1 , and a substituted or unsubstituted C6 to C30 arylalkyl group.5. The semiconductor resist composition of claim 1 , wherein both Mand Mare Sn.7. The semiconductor resist composition of claim 6 , wherein L is selected from a substituted or unsubstituted C1 to C10 alkylene group claim 6 , a substituted or unsubstituted C3 to C20 cycloalkylene group claim 6 , a substituted or unsubstituted C3 to C20 alkenylene group claim 6 , a substituted or unsubstituted C3 to C20 alkynylene group claim 6 , and a substituted or unsubstituted C1 to C10 alkyleneoxy group.9. The semiconductor resist composition of claim 1 , wherein the composition further comprises an ...

Подробнее
06-02-2020 дата публикации

SEMICONDUCTOR RESIST COMPOSITION, AND METHOD OF FORMING PATTERNS USING THE COMPOSITION

Номер: US20200041897A1
Принадлежит:

This disclosure relates to a semiconductor resist composition including an organometallic compound represented by Chemical Formula 1 and a solvent, and to a method of forming patterns using the composition: 2. The semiconductor resist composition of claim 1 , wherein Ris selected from a substituted or unsubstituted C1 to C10 alkyl group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 1 , a substituted or unsubstituted C3 to C20 alkenyl group claim 1 , a substituted or unsubstituted C3 to C20 alkynyl group claim 1 , and —R—O—R claim 1 , wherein Rand Rare each independently a substituted or unsubstituted C1 to C20 alkyl group.3. The semiconductor resist composition of claim 1 , wherein Rand Rare each independently selected from a substituted or unsubstituted C1 to C10 alkyl group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 1 , a substituted or unsubstituted C2 to C8 alkenyl group claim 1 , a substituted or unsubstituted C2 to C8 alkynyl group claim 1 , and a substituted or unsubstituted C6 to C30 arylalkyl group.4. The semiconductor resist composition of claim 1 , wherein at least one of Rto Ris —OC(═O)R.7. The semiconductor resist composition of claim 5 , wherein Ris selected from a substituted or unsubstituted C1 to C10 alkyl group claim 5 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 5 , a substituted or unsubstituted C3 to C20 alkenyl group claim 5 , a substituted or unsubstituted C3 to C20 alkynyl group claim 5 , and —R—O—R claim 5 , wherein Rand Rare each independently a substituted or unsubstituted C1 to C20 alkyl group.8. The semiconductor resist composition of claim 1 , wherein the composition further comprises an additive selected from a surfactant claim 1 , a cross-linking agent claim 1 , a leveling agent claim 1 , and combinations thereof.9. The semiconductor resist composition of claim 8 , wherein the surfactant is selected from an alkyl benzene sulfonate salt claim 8 , an alkyl ...

Подробнее
06-02-2020 дата публикации

SEMICONDUCTOR RESIST COMPOSITION, AND METHOD OF FORMING PATTERNS USING THE COMPOSITION

Номер: US20200041901A1
Принадлежит:

This disclosure relates to a semiconductor resist composition including an organometallic compound including a structural unit represented by Chemical Formula 1 and a solvent, and to a method of forming patterns using the composition: 2. The semiconductor resist composition of claim 1 , wherein M is selected from indium (In) claim 1 , tin (Sn) claim 1 , and antimony (Sb).3. The semiconductor resist composition of claim 1 , wherein the aromatic ring group and the heteroaromatic ring group in Ar each independently have 1 to 10 rings.8. The semiconductor resist composition of claim 1 , wherein the composition further comprises an additive of a photoacid generator claim 1 , a binder resin claim 1 , a photopolymerizable monomer claim 1 , a photopolymerization initiator claim 1 , a surfactant claim 1 , a cross-linking agent claim 1 , a leveling agent claim 1 , or a combination thereof.9. The semiconductor resist composition of claim 8 , wherein the photoacid generator comprises a diazosulfone-based compound or a triphenylsulfone-based compound claim 8 , andwherein the photoacid generator is included in an amount of about 0.1 parts by weight to about 20 parts by weight based on 100 parts by weight of the semiconductor composition.10. The semiconductor resist composition of claim 8 , wherein the binder resin is a copolymer of a first ethylenic unsaturated monomer and a second ethylenic unsaturated monomer copolymerizable with the first ethylenic unsaturated monomer claim 8 , the binder resin comprising at least one acryl-based repeating unit claim 8 , andwherein the binder resin is included in an amount of about 1 wt % to about 20 wt % based on a total amount of semiconductor resist composition.11. The semiconductor resist composition of claim 8 , wherein the photopolymerizable monomer is a mono-functional or multi-functional ester of (meth)acrylic acid comprising at least one ethylenic unsaturated double bond claim 8 , andwherein the photopolymerizable monomer is included ...

Подробнее
18-02-2021 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS AND CORRESPONDING METHODS

Номер: US20210048745A1
Принадлежит:

Organometallic radiation resist compositions are described based on tin ions with alkyl ligands. Some of the compositions have branched alkyl ligands to provide for improved patterning contrast while maintaining a high degree of solution stability. Blends of compounds with distinct alkyl ligands can provide further improvement in the patterning. High resolution patterning with a half-pitch of no more than 25 nm can be achieved with a line width roughness of no more than about 4.5 nm. Synthesis techniques have been developed that allow for the formation of alkyl tin oxide hydroxide compositions with very low metal contamination. 1. A compound represented by the formula (CH)CHSn(N(CH)).2. A composition comprising a mixture of the compound according to and one or more alkyl-tin compounds with a different alkyl ligand relative to the isopropyl compound claim 1 , wherein the mixture comprises at least about 8 mole percent of each component.3. The composition of wherein the different alkyl ligand is methyl claim 2 , ethyl claim 2 , tert-butyl claim 2 , tert-amyl claim 2 , or a combination thereof.4. A solution comprising an organic solvent and (CH)CHSn(N(CH)).5. The solution of having concentrations of individual contaminant metals of no more than about 500 ppb by weight claim 4 , wherein contaminant metals have a concentration of less than 100 ppm by weight.6. The solution of having a concentration of (CH)CHSn(N(CH))no more than about 0.7 M.7. The solution of consisting essentially of an organic solvent and (CH)CHSn(N(CH)).8. The solution of wherein the organic solvent comprises an alcohol claim 4 , an ester claim 4 , or a mixtures thereof.9. The solution of further comprising RSnO(OH)(0 Подробнее

13-02-2020 дата публикации

Priming Material for Organometallic Resist

Номер: US20200050109A1
Принадлежит:

Semiconductor systems and methods are provided. In an embodiment, a method of film formation includes receiving a substrate, dispensing a priming material on the substrate, and applying an organometallic resist solution over the priming material on the substrate, thereby forming an organometallic resist layer over the priming material. The priming material includes water. 1. A method of film formation , the method comprising:receiving a substrate;dispensing a priming material on the substrate, wherein the priming material comprises water; andapplying an organometallic resist solution over the priming material on the substrate, thereby forming an organometallic resist layer over the priming material.2. The method of claim 1 , further comprising:baking the priming material and the organometallic resist layer at a first temperature;irradiating the organometallic resist layer with a radiation source; andbaking the priming material and the organometallic resist layer at a second temperature different from the first temperature.3. The method of claim 2 , wherein the first temperature is between about 80° C. and about 120° C.4. The method of claim 2 , wherein the second temperature is greater than the first temperature.5. The method of claim 2 , wherein the radiation source is an extreme ultraviolet (EUV) source.6. The method of claim 1 , wherein the organometallic resist solution comprises an organometallic compound that includes tin (Sn) claim 1 , hafnium (Hf) claim 1 , zirconium (Zr) claim 1 , manganese (Mg) claim 1 , aluminum (Al) claim 1 , vanadium (V) claim 1 , titanium (Ti) claim 1 , chromium (Cr) claim 1 , cobalt (Co) claim 1 , nickel (Ni) claim 1 , copper (Cu) claim 1 , zinc (Zn) claim 1 , gallium (Ga) claim 1 , or iron (Fe).7. The method of claim 1 , wherein a water content of the organometallic resist layer is smaller than a water content of the priming material.8. The method of claim 1 , wherein the organometallic resist solution is substantially free of water. ...

Подробнее
01-03-2018 дата публикации

LIQUID SOLDER RESIST COMPOSITION AND COVERED-PRINTED WIRING BOARD

Номер: US20180059544A1
Принадлежит:

A liquid solder resist composition contains a carboxyl group-containing resin, a photopolymerizable compound containing at least one compound selected from a group consisting of a photopolymerizable monomer and a photopolymerizable prepolymer, a photopolymerization initiator, and a titanium dioxide. The photopolymerization initiator contains a bisacylphosphine oxide-based photopolymerization initiator, a first α-hydroxyalkyl phenone-based photopolymerization initiator that is a liquid at 25° C., and a second α-hydroxyalkyl phenone-based photopolymerization initiator that is a solid at 25° C. 1. A liquid solder resist composition containing a carboxyl group-containing resin , a photopolymerizable compound containing at least one compound selected from a group consisting of a photopolymerizable monomer and a photopolymerizable prepolymer , a photopolymerization initiator , and a titanium dioxide , wherein the photopolymerization initiator contains a bisacylphosphine oxide-based photopolymerization initiator , (1 ,2-dioxo-2-methoxyethyl) benzene , and an α-hydroxyalkyl phenone-based photopolymerization initiator that is a solid at 25° C.2. The liquid solder resist composition according to claim 1 , wherein the bisacylphosphine oxide-based photopolymerization initiator is bis-(2 claim 1 ,4 claim 1 ,6-trimethylbenzoyl)phenylphosphine oxide claim 1 , and the α-hydroxyalkyl phenone-based photopolymerization initiator is 1-hydroxycyclohexyl phenyl ketone.3. The liquid solder resist composition according to claim 1 , wherein a mass ratio of the bisacylphosphine oxide-based photopolymerization initiator and the (1 claim 1 ,2-dioxo-2-methoxyethyl) benzene is within a range of 1:0.5 to 1:5.4. The liquid solder resist composition according to claim 1 , wherein a mass ratio of the bisacylphosphine oxide-based photopolymerization initiator and the α-hydroxyalkyl phenone-based photopolymerization initiator is within a range of 1:0.5 to 1:5.5. The liquid solder resist composition ...

Подробнее
20-02-2020 дата публикации

METHOD OF SIMULATING RESIST PATTERN, RESIST MATERIAL AND METHOD OF OPTIMIZING FORMULATION THEREOF, APPARATUS AND RECORDING MEDIUM

Номер: US20200057371A1
Автор: NAGAHARA Seiji
Принадлежит: TOKYO ELECTRON LIMITED

A method of simulating a resist pattern according to an exemplary embodiment includes a step (A) of calculating a latent image of a concentration of an active species in a resist film that has been radiated by a radioactive ray along a target pattern with respect to a radiation position of the radioactive ray, a step (B) of calculating a change rate of the concentration with respect to the radiation position at an edge of the target pattern on the basis of the latent image, a step (C) of calculating a probabilistic variation at the edge of the target pattern, and a step (D) of calculating a variation in pattern edge roughness from the change rate of the concentration and the probabilistic variation. 1. A method of simulating a resist pattern that is formed through a step of radiating a radioactive ray having a wavelength of 300 nm or less to a resist film formed of a resist material along a target pattern , the method comprising:(A) a step of calculating a latent image of a concentration of an active species in the resist film that has been radiated by the radioactive ray along the target pattern with respect to a radiation position of the radioactive ray;(B) a step of calculating a change rate of the concentration with respect to the radiation position at an edge of the target pattern on the basis of the latent image;(C) a step of calculating a probabilistic variation in the concentration at the edge of the target pattern; and(D) a step of calculating a variation in pattern edge roughness from the change rate of the concentration and the probabilistic variation in the concentration.2. The method according to claim 1 ,wherein the resist material is a chemical amplification-type resist material including a photo-acid-generating agent and a quencher, andthe active species in the step (A) is an acid.3. The method according to claim 1 ,wherein the resist material is a photosensitization chemical amplification-type resist material including a photo-acid-generating agent, ...

Подробнее
04-03-2021 дата публикации

RESIST MATERIAL AND PATTERNING PROCESS

Номер: US20210063871A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

The present invention is a resist material containing: (i) a metal compound shown by the following general formula (M-1) and (ii) an organic solvent. An object of the present invention is to provide a metal-containing resist material having high sensitivity and high resolution particularly in EUV and electron beam lithography; and a patterning process using this material. 2. The resist material according to further comprising: {'br': None, 'sub': 2', '4, 'sup': '1A', 'M(OR)\u2003\u2003(A-1)'}, '(iii) a compound (iii-1), which is a (partial) condensate or a (partial) hydrolysis-condensate of a metal compound shown by the following general formula (A-1), or a compound (iii-2), which is a reaction product of the compound (iii-1) and a dihydric or trihydric alcohol shown by the following general formula (A-2),'}{'sub': '2', 'sup': '1A', 'claim-text': {'br': None, 'sup': '2A', 'sub': 'm', 'R(OH)\u2003\u2003(A-2)'}, 'wherein Mrepresents an element selected from titanium, zirconium, and hafnium; and Rrepresents a linear or branched alkyl group having 1 to 12 carbon atoms; and'}{'sup': 2A', '2A, 'wherein “m” represents 2 or 3; when “m” represents 2, Rrepresents a divalent group selected from a substituted or unsubstituted, linear, branched, or cyclic alkylene group, alkenylene group, alkynylene group, or aralkylene group having 2 to 20 carbon atoms optionally including an ester bond or ether bond; when “m” represents 3, Rrepresents a trivalent group, which is the divalent group having one hydrogen atom removed.'}3. The resist material according to further comprising (iv) a photo-acid generator.4. The resist material according to further comprising (iv) a photo-acid generator.7. The resist material according to further comprising (v) a basic compound.8. The resist material according to further comprising (v) a basic compound.9. The resist material according to further comprising (v) a basic compound.10. The resist material according to further comprising (v) a basic compound ...

Подробнее
04-03-2021 дата публикации

PATTERN-FORMING METHOD AND RADIATION-SENSITIVE COMPOSITION

Номер: US20210063872A1
Автор: Sakai Kazunori
Принадлежит: JSR Corporation

An object of the present invention is to provide a pattern-forming method and a radiation-sensitive composition being superior in each of sensitivity and a scum-inhibiting property. According to an aspect of the invention, a pattern-forming method includes applying directly or indirectly on a substrate a radiation-sensitive composition; exposing to an extreme ultraviolet ray or an electron beam a film formed after the applying; and developing the film exposed, wherein the radiation-sensitive composition contains: particles having a metal oxide as a principal component; a radical trapping agent; and an organic solvent. Furthermore, another aspect of the present invention is a radiation-sensitive composition containing: particles having a metal oxide as a principal component; a radical trapping agent; and an organic solvent. 1. A pattern-forming method comprising:applying directly or indirectly on a substrate a radiation-sensitive composition;exposing to an extreme ultraviolet ray or an electron beam a film formed by the applying; anddeveloping the film after the exposing,wherein particles comprising a metal oxide as a principal component;', 'a radical trapping agent; and', 'an organic solvent., 'the radiation-sensitive composition comprises2. The pattern-forming method according to claim 1 , wherein the radical trapping agent is a stable nitroxyl radical compound claim 1 , a sulfide compound claim 1 , a quinone compound claim 1 , a phenol compound claim 1 , an amine compound claim 1 , or a combination thereof.3. The pattern-forming method according to claim 1 , wherein a content of the particles with respect to total components in the radiation-sensitive composition other than the organic solvent is no less than 50% by mass.4. The pattern-forming method according to claim 3 , wherein the content of the particles with respect to total components in the radiation-sensitive composition other than the organic solvent is no less than 85% by mass.5. The pattern-forming ...

Подробнее
17-03-2022 дата публикации

IMPRINT METHOD, IMPRINT APPARATUS, AND FILM FORMATION APPARATUS

Номер: US20220082954A1
Принадлежит: Kioxia Corporation

An imprint method includes supplying a first photocurable resist to a first region of an object; irradiating the first resist with first light; forming a second resist over the object; bringing a template into contact with the second resist; and irradiating at least the second resist with second light through the template while the template is in contact with the second resist. 1. An imprint method comprising:supplying a first photocurable resist to a first region of an object, the first region being a recess;irradiating the first resist with first light;forming a second resist over the object;bringing a template into contact with the second resist; andirradiating at least the second resist with second light through the template while the template is in contact with the second resist.2. The imprint method according to : further comprising:determining the location information of the recess on the object before supplying the first photocurable resist to the first region.3. The imprint method according to claim 1 , further comprising supplying the first resist to the first region using an inkjet.4. The imprint method according to claim 1 , wherein forming the second resist includes spin coating the second resist over the object.5. The imprint method according to claim 1 , whereinthe first resist is photosensitive to a predetermined wavelength of the first light.6. The imprint method according to claim 1 , whereina light intensity of the first light is lower than a light intensity of the second light.7. The imprint method according to claim 1 , whereinthe first resist includes an organic substance and includes at least an acrylic group or a methacrylic group.8. The imprint method according to claim 1 , whereinthe second resist includes at least one of silicon (Si), aluminum (Al), boron (B), phosphorus (P), sulfur (S), arsenic (As), or iron (Fe).9. The imprint method according to claim 1 , further comprising etching at least the first resist using an oxygen-based gas ...

Подробнее
08-03-2018 дата публикации

PHOTOACTIVE CATALYST COMPOSITIONS

Номер: US20180067393A1
Автор: WEITEKAMP Raymond A.
Принадлежит:

The present disclosure is directed to photosensitive compositions ‘Fischer-type’ ruthenium carbene catalysts containing chelated 2,2′-bipyridine ligands and methods of using the same. These catalysts are surprisingly active even when using relatively low intensity diode light sources. The 2,2′-bipyridine-chelated ruthenium photocatalysts show reactivity at substantially lower exposure levels than other photoactive chelating dinitrogen ligands of similar structure. The present disclosure is further directed to novel photosensitive compositions, their use as photoresists, and methods related to patterning polymer layers on substrates. 2. The photosensitive composition of claim 1 , wherein Ris H claim 1 , Ris Calkyl claim 1 , and Y is O.3. The photosensitive composition of claim 1 , wherein Q is —CH—CH— and either Ror R claim 1 , or both Rand Rare phenyl groups claim 1 , optionally substituted in the 2 claim 1 , 6 positions with independent Calkyl groups.4. The photosensitive composition of claim 1 , wherein Q is —CH—CH— and Rand Rare independently mesityl or optionally substituted adamantyl.5. The photosensitive composition of claim 1 , wherein Rand Rare independently H claim 1 , methyl claim 1 , ethyl claim 1 , propyl claim 1 , butyl claim 1 , methoxy claim 1 , trifluoromethyl claim 1 , fluoro claim 1 , chloro claim 1 , bromo claim 1 , cyano claim 1 , or nitro.8. The photosensitive composition of claim 1 , wherein the unsaturated organic precursor comprises a mono-unsaturated cyclic olefin; a monocyclic diene; or a bicyclic or polycyclic olefin.9. The photosensitive composition of claim 1 , wherein the unsaturated organic precursor is a ROMP precursor.10. A method of patterning a polymeric image on a substrate claim 1 , said method comprising;{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(a) depositing a layer of a photosensitive composition of on a substrate;'}(b) irradiating a portion of the layer of photosensitive composition with a light comprising at least ...

Подробнее
27-02-2020 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS AND CORRESPONDING METHODS

Номер: US20200064733A1
Принадлежит:

Organometallic radiation resist compositions are described based on tin ions with alkyl ligands. Some of the compositions have branched alkyl ligands to provide for improved patterning contrast while maintaining a high degree of solution stability. Blends of compounds with distinct alkyl ligands can provide further improvement in the patterning. High resolution patterning with a half-pitch of no more than 25 nm can be achieved with a line width roughness of no more than about 4.5 nm. Synthesis techniques have been developed that allow for the formation of alkyl tin oxide hydroxide compositions with very low metal contamination. 1. A solution comprising a solvent and a compound represented by the formula RSnO(OH)where (0 Подробнее

27-02-2020 дата публикации

METHOD OF FORMING MASK

Номер: US20200066536A1
Автор: YAEGASHI Hidetami
Принадлежит:

A method of forming a mask includes forming a base film containing a treatment agent on an object, forming a photosensitive organic film on the base film, forming an infiltrated portion by infiltrating the treatment agent into a lower portion of the photosensitive organic film, selectively exposing the photosensitive organic film to form a first region soluble in an alkaline solution and a second region insoluble in the alkaline solution, forming a third region insoluble in the alkaline solution in the infiltrated portion in the first region by causing a reaction between the first region and the treatment agent, developing the photosensitive organic film to remove a fourth region that is in the first region and other than the third region while leaving intact the second region and the third region, and etching the photosensitive organic film to remove one of the second region and the third region. 1. A method of forming a mask , the method comprising:forming a base film containing a treatment agent on an object to be processed;forming a photosensitive organic film on the base film;forming an infiltrated portion by infiltrating the treatment agent into a lower portion of the photosensitive organic film;selectively exposing the photosensitive organic film to form a first region that is soluble in an alkaline solution and a second region that is insoluble in the alkaline solution;forming a third region insoluble in the alkaline solution in the infiltrated portion in the first region by causing a reaction between the first region and the treatment agent;developing the photosensitive organic film using the alkaline solution to remove a fourth region that is in the first region and other than the third region while leaving intact the second region and the third region; andetching the photosensitive organic film to remove one of the second region and the third region while leaving intact another one of the second region and the third region.2. The method as claimed in ...

Подробнее
19-03-2015 дата публикации

Molecular Organometallic Resists for EUV

Номер: US20150079393A1

Described herein are organometallic or inorganic complexes with high extreme ultraviolet (EUV) optical density (OD) and high mass density for use in thin films. These thin films are used as high resolution, low line edge roughness (LER) EUV photoresists. The complexes may also be included in nanoparticle form for use in photoresists.

Подробнее
05-03-2020 дата публикации

ADDITIVE MANUFACTURING OF ARCHITECTURED MATERIALS

Номер: US20200073236A1
Принадлежит:

This disclosure provides a scalable and reproducible process to create complex 3D metal materials with sub-micron features by applying lithographic methods to transparent metal- or inorganic-rich polymer resins. 2. The method of claim 1 , wherein the hybrid organic-inorganic polymer resin has the formula R—COR′-M+-R′OC—R claim 1 , wherein M is a divalent metal ion claim 1 , alloy claim 1 , or inorganic material claim 1 , R is a Cterminal alkene and R′ is N claim 1 , O claim 1 , F claim 1 , S or Cl.3. The method of claim 1 , wherein the metal ion is selected from the group consisting of Li claim 1 , Na claim 1 , K claim 1 , Rb claim 1 , Cs claim 1 , Be claim 1 , Mg claim 1 , Ca claim 1 , Sr claim 1 , Ba claim 1 , Sc claim 1 , Sc claim 1 , Sc claim 1 , Y claim 1 , Ti claim 1 , Ti claim 1 , Ti claim 1 , Ti claim 1 , Zr claim 1 , Zr claim 1 , Zr claim 1 , Hf claim 1 , Hf claim 1 , V claim 1 , V claim 1 , V claim 1 , V claim 1 , Nb claim 1 , Nb claim 1 , Nb claim 1 , Nb claim 1 , Ta claim 1 , Ta claim 1 , Ta claim 1 , Ta claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Fe claim 1 , Fe claim 1 , Fe claim 1 , Fe claim 1 , Fe claim 1 , Fe claim 1 , Ru claim 1 , Ru claim 1 , Ru claim 1 , Ru claim 1 , Ru claim 1 , Ru claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Os claim 1 , Co claim 1 , Co claim 1 , Co claim 1 , Co claim 1 , Co claim 1 , Rh claim 1 , Rh claim 1 , Rh claim 1 , Rh claim 1 , Rh claim 1 , Rh claim 1 , Ir claim 1 , Ir claim 1 , Ir claim 1 , Ir claim 1 , Ir claim 1 , Ir claim 1 , Ir ...

Подробнее
05-03-2020 дата публикации

PHOTORESIST, DEVELOPER, AND METHOD OF FORMING PHOTORESIST PATTERN

Номер: US20200073238A1
Принадлежит:

A photoresist composition comprises a polymer resin, a photoactive compound, an organometallic compound, an enhancement additive, and a first solvent. The enhancement additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point of greater than 150° C. 1. A photoresist composition , comprising:a polymer resin;a photoactive compound;an organometallic compound;an enhancement additive; anda first solvent,wherein the enhancement additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point of greater than 150° C.5. The photoresist composition of claim 1 , wherein a concentration of the second solvent having a boiling point of greater than 150° C. is 3 wt. % to 100 wt. % of the total weight of the second solvent and the first solvent.6. The photoresist composition of claim 1 , wherein a concentration of the ionic surfactant or non-ionic surfactant is 10 ppm to 1 claim 1 ,000 ppm based on the total weight of the ionic surfactant or non-ionic surfactant and the first solvent.7. The photoresist composition of claim 1 , wherein the organometallic compound comprises a metal oxide nanoparticle and one or more organic ligands.8. The photoresist composition of claim 1 , wherein the first solvent is one or more selected from propylene glycol methyl ether acetate (PGMEA) claim 1 , propylene glycol monomethyl ether (PGME) claim 1 , 1-ethoxy-2-propanol (PGEE) claim 1 , γ-butyrolactone (GBL) claim 1 , cyclohexanone (CHN) claim 1 , ethyl lactate (EL) claim 1 , methanol claim 1 , ethanol claim 1 , propanol claim 1 , n-butanol claim 1 , acetone claim 1 , dimethylformamide (DMF) claim 1 , isopropanol (IPA) claim 1 , tetrahydrofuran (THF) claim 1 , methyl isobutyl carbinol (MIBC) claim 1 , n-butyl acetate (nBA) claim 1 , and 2-heptanone (MAK).9. The photoresist composition of claim 1 , further comprising water at a concentration of 10 ppm to 250 ppm based on the total composition of the water claim 1 , enhancement ...

Подробнее
16-03-2017 дата публикации

LIGHT SCREENING COMPOSITION

Номер: US20170077159A1
Автор: Kubota Makoto
Принадлежит: FUJIFILM Corporation

The present invention is to provide a light screening composition that allow forming of a light screening film having excellent adhesiveness to a substrate and excellent residue removability at the time of development. The light screening composition according to the invention contains (A) any one of light screening particles and a light screening dye; (B) a dispersing resin; (C) a binder polymer having an acid value of 50 mg KOH/g or less and a weight-average molecular weight of 8,000 to 50,000; and (D) a polymerizable compound. 1. A light screening composition , comprising:any one of light screening particles and a light screening dye;a dispersing resin;a binder polymer having an acid value of 50 mg KOH/g or less and a weight-average molecular weight of 8,000 to 50,000; anda polymerizable compound.2. The light screening composition according to claim 1 ,{'sup': '1/2', 'wherein an acid value of the binder polymer is 20 to 50 mg KOH/g, and an SP value thereof is 15 to 30 (MPa).'}3. The light screening composition according to claim 1 ,{'sup': '1/2', 'wherein an SP value of the dispersing resin is 15 to 30 (MPa).'}4. The light screening composition according to claim 2 ,{'sup': '1/2', 'wherein an SP value of the dispersing resin is 15 to 30 (MPa).'}5. The light screening composition according to claim 1 ,{'sup': '1/2', 'wherein an absolute value of a difference between an SP value of the dispersing resin and an SP value of the binder polymer is 3 (MPa)or greater, and the difference represents an SP value of the dispersing resin—an SP value of the binder polymer.'}6. The light screening composition according to claim 2 ,{'sup': '1/2', 'wherein an absolute value of a difference between an SP value of the dispersing resin and an SP value of the binder polymer is 3 (MPa)or greater, and the difference represents an SP value of the dispersing resin—an SP value of the binder polymer.'}7. The light screening composition according to claim 3 ,{'sup': '1/2', 'wherein an ...

Подробнее
14-03-2019 дата публикации

RESIN FORMULATIONS FOR POLYMER-DERIVED CERAMIC MATERIALS

Номер: US20190077921A1
Автор: ECKEL Zak C.
Принадлежит:

This disclosure enables direct 3D printing of preceramic polymers, which can be converted to fully dense ceramics. Some variations provide a preceramic resin formulation comprising a molecule with two or more C═X double bonds or C≡X triple bonds, wherein X is selected from C, S, N, or O, and wherein the molecule further comprises at least one non-carbon atom selected from Si, B, Al, Ti, Zn, P, Ge, S, N, or O; a photoinitiator; a free-radical inhibitor; and a 3D-printing resolution agent. The disclosed preceramic resin formulations can be 3D-printed using stereolithography into objects with complex shape. The polymeric objects may be directly converted to fully dense ceramics with properties that approach the theoretical maximum strength of the base materials. Low-cost structures are obtained that are lightweight, strong, and stiff, but stable in the presence of a high-temperature oxidizing environment. 1. A preceramic resin formulation comprising:(a) first molecules comprising two or more C═X double bonds, two or more C≡X triple bonds, or at least one C═X double bond and at least one C≡X triple bond, wherein X is selected from the group consisting of C, S, N, O, and combinations thereof, and wherein said first molecules further comprise at least one non-carbon atom selected from the group consisting of Si, B, Al, Ti, Zn, P, Ge, S, N, O, and combinations thereof;(b) second molecules comprising R—Y—H,wherein R is an organic group or an inorganic group,wherein for at least one of said second molecules, R includes an inorganic group containing an element selected from the group consisting of B, Al, Ti, Zn, P, Ge, S, N, O, and combinations thereof, andwherein Y is selected from the group consisting of S, N, O, and combinations thereof;(c) a photoinitiator;(d) a free-radical inhibitor; and(e) a 3D-printing resolution agent.2. The preceramic resin formulation of claim 1 , wherein said first molecules are present from about 3 wt % to about 97 wt % of said formulation.3. The ...

Подробнее
31-03-2022 дата публикации

PHOTORESIST FOR SEMICONDUCTOR FABRICATION

Номер: US20220100086A1
Принадлежит:

An organometallic precursor for extreme ultraviolet (EUV) lithography is provided. An organometallic precursor includes an aromatic di-dentate ligand, a transition metal coordinated to the aromatic di-dentate ligand, and an extreme ultraviolet (EUV) cleavable ligand coordinated to the transition metal. The aromatic di-dentate ligand includes a plurality of pyrazine molecules. 1. An organometallic precursor , comprising:an aromatic di-dentate ligand;a transition metal coordinated to the aromatic di-dentate ligand; andan extreme ultraviolet (EUV) cleavable ligand coordinated to the transition metal,wherein the aromatic di-dentate ligand comprises a plurality of pyrazine molecules.2. The organometallic precursor of claim 1 , wherein the aromatic di-dentate ligand comprises 2 claim 1 ,2′-bipyrazine.3. The organometallic precursor of claim 1 , wherein the transition metal has a high atomic absorption cross section.4. The organometallic precursor of claim 1 , wherein the transition metal is selected from a group consisting of tin (Sn) claim 1 , bismuth (Bi) claim 1 , antimony (Sb) claim 1 , indium (In) claim 1 , and tellurium (Te).5. The organometallic precursor of claim 1 , further comprising:poly(2-hydroxyethyl methacrylate) (pHEMA), poly(4-hydroxystyrene) (PHS), polyglycidyl ether, or polyether polyol.6. The organometallic precursor of claim 1 , wherein the EUV cleavable ligand comprises an alkenyl group or a carboxylate group.7. The organometallic precursor of claim 1 , wherein the EUV cleavable ligand comprises a fluoro-substitute.8. An extreme ultraviolet (EUV) photoresist precursor claim 1 , comprising:an aromatic di-dentate ligand comprising a first pyrazine ring and a second pyrazine ring;a transition metal coordinated to a nitrogen atom on the first pyrazine ring and a nitrogen atom on the second pyrazine ring;a first EUV cleavable ligand coordinated to the transition metal; anda second EUV cleavable ligand coordinated to the transition metal.9. The EUV ...

Подробнее
31-03-2022 дата публикации

PHOTORESIST FOR SEMICONDUCTOR FABRICATION

Номер: US20220100087A1
Принадлежит:

An organometallic precursor for extreme ultraviolet (EUV) lithography is provided. An organometallic precursor includes a chemical formula of MXL, where M is a metal, X is a multidentate aromatic ligand that includes a pyrrole-like nitrogen and a pyridine-like nitrogen, L is an extreme ultraviolet (EUV) cleavable ligand, a is between 1 and 2, b is equal to or greater than 1, and c is equal to or greater than 1. 1. An organometallic precursor comprising a chemical formula of MXL ,wherein M is a metal,wherein X is a multidentate aromatic ligand that comprises a pyrrole-like nitrogen and a pyridine-like nitrogen,wherein L is an extreme ultraviolet (EUV) cleavable ligand,wherein a is between 1 and 2,wherein b is equal to or greater than 1, andwherein c is equal to or greater than 1.2. The organometallic precursor of claim 1 , wherein a sum of b and c is less than 5.3. The organometallic precursor of claim 1 ,wherein the multidentate aromatic ligand comprises at least one π conjugated system,wherein the pyrrole-like nitrogen comprises a lone electron pair that is a part of one of the at least one π conjugated system,wherein the pyridine-like nitrogen comprises a lone electron pair that is not a part of any of the at least one π conjugated system.4. The organometallic precursor of claim 1 , wherein the metal has a high atomic absorption cross section.5. The organometallic precursor of claim 1 , wherein the metal is selected from a group consisting of tin (Sn) claim 1 , bismuth (Bi) claim 1 , antimony (Sb) claim 1 , indium (In) claim 1 , and tellurium (Te).6. The organometallic precursor of claim 1 , wherein the multidentate aromatic ligand comprises a five-member aromatic ring.7. The organometallic precursor of claim 6 , wherein the multidentate aromatic ligand further comprises a six-member aromatic ring that is fused with or linked to the five-member aromatic ring.8. The organometallic precursor of claim 1 , wherein the multidentate aromatic ligand comprises pyrazole ...

Подробнее
25-03-2021 дата публикации

ORGANOMETALLIC CLUSTER PHOTORESISTS FOR EUV LITHOGRAPHY

Номер: US20210087210A1
Принадлежит:

The present disclosure is directed to organotin cluster compounds having formula (I) and their use as photoresists in extreme ultraviolet lithography processes. 2. The compound of claim 1 , wherein Ris alkyl claim 1 , Y is carbonyl claim 1 , and Ris halo-substituted aryl.3. The compound of claim 1 , wherein Ris alkyl claim 1 , Y is alkylene claim 1 , and Ris halo-substituted aryl.4. The compound of claim 1 , wherein Ris alkyl claim 1 , Y is alkylene claim 1 , and Ris nitro-substituted aryl.5. The compound of claim 1 , wherein Ris alkyl claim 1 , Y is alkylene claim 1 , and Ris heteroaryl optionally substituted with alkyl.9. The photoresist composition of claim 7 , wherein the solvent comprises an alcohol claim 7 , a hydrocarbon claim 7 , or combinations thereof.10. The photoresist composition of claim 7 , wherein the solvent comprises methanol claim 7 , 4-methyl-2-pentano claim 7 , or combinations thereof.11. The photoresist composition of claim 7 , wherein the solvent comprises toluene claim 7 , xylene claim 7 , or combinations thereof.12. The photoresist composition of claim 7 , wherein an amount of the compound in the photoresist composition is from 0.5 wt. % to about 10 wt. %.14. The method of claim 13 , wherein depositing the photoresist layer comprises applying a photoresist composition over the material layer claim 13 , wherein the photoresist composition comprises the compound of formula (I) and a solvent.16. The method of claim 13 , wherein exposing the photoresist layer to the predetermined pattern comprises exposing the photoresist layer to a radiation beam.17. The method of claim 13 , wherein exposing the photoresist layer to the predetermined pattern comprises exposing the photoresist layer to an extreme ultraviolet radiation beam.18. The method of claim 13 , wherein developing the photoresist layer comprises applying a developer comprising methanol claim 13 , xylene claim 13 , 4-methyl-2-pentanol claim 13 , or 2-heptanone.19. The method of claim 13 , ...

Подробнее
29-03-2018 дата публикации

ADDITIVE MANUFACTURING OF ARCHITECTURED MATERIALS

Номер: US20180088462A1
Принадлежит:

This disclosure provides a scalable and reproducible process to create complex 3D metal materials with sub-micron features by applying lithographic methods to transparent metal- or inorganic-rich polymer resins. 1. A composition comprising a hybrid organic-inorganic polymer resin comprising photopolymerizable functional groups and having the general structure:{'br': None, 'sup': 'n+', 'sub': 'n', 'M(—R′OC—R)'}{'sub': '2-10', 'where M is a metal, a metal ion, a metalloid, a metal alloy, a metal oxide, a metal nitride, an inorganic, an inorganic-organic hybrid and/or metal-inorganic composite material, wherein R is a Cterminal alkene and R′ is N, O, F, S or Cl and wherein n is 1, 2, 3, 4, 5 or 6.'}2. The composition of claim 1 , having the formula:{'br': None, 'sup': '2+', 'R—COR′-M-R′OC—R'}{'sub': '2-10', 'wherein M is a divalent metal ion, alloy, or inorganic material, R is a Cterminal alkene and R′ is N, O, F, S or Cl.'}3. The composition of claim 1 , wherein the metal ion is selected from the group consisting of Li claim 1 , Na claim 1 , K claim 1 , Rb claim 1 , Cs claim 1 , Be claim 1 , Mg claim 1 , Ca claim 1 , Sr claim 1 , Ba claim 1 , Sc claim 1 , Sc claim 1 , Sc claim 1 , Y claim 1 , Y claim 1 , Y claim 1 , Ti claim 1 , Ti claim 1 , Ti claim 1 , Zr claim 1 , Zr claim 1 , Zr claim 1 , Hf claim 1 , Hf claim 1 , V claim 1 , V claim 1 , V claim 1 , V claim 1 , Nb claim 1 , Nb claim 1 , Nb claim 1 , Nb claim 1 , Ta claim 1 , Ta claim 1 , Ta claim 1 , Ta claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Cr claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , Mo claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , W claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Mn claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Re claim 1 , Fe claim 1 , Fe claim 1 , Fe claim 1 , Fe claim 1 ...

Подробнее
21-03-2019 дата публикации

PATTERN FORMATION METHOD AND PATTERN FORMATION MATERIAL

Номер: US20190086803A1
Принадлежит: Toshiba Memory Corporation

According to one embodiment, a pattern formation method is disclosed. The method can include a film formation process, and a exposure process. The film formation process forms a pattern formation material film on a base body. The pattern formation material film includes a pattern formation material including a first portion and a second portion. The first portion includes at least one of acrylate or methacrylate. The second portion includes an alicyclic compound and a carbonyl group. The alicyclic compound has an ester bond to the at least one of the acrylate or the methacrylate. The carbonyl group is bonded to the alicyclic compound. The exposure process causes the pattern formation material film to expose to a metal compound including a metallic element. 1. A pattern formation method , comprising:a film formation process of forming a pattern formation material film on a base body, the pattern formation material film including a pattern formation material including a first portion and a second portion, the first portion including at least one of acrylate or methacrylate, the second portion including an alicyclic compound and a carbonyl group, the alicyclic compound having an ester bond to the at least one of the acrylate or the methacrylate, the carbonyl group being bonded to the alicyclic compound; anda exposure process of causing the pattern formation material film to expose to a metal compound including a metal element2. The method according to claim 1 , wherein forming a film on the base body, the film including the pattern formation material;', 'irradiating an electromagnetic wave on a first region of the film; and', 'removing the first region of the film,, 'the film formation process includesthe pattern formation material film is obtained from a remaining region of the film.3. The method according to claim 2 , wherein a peak wavelength of the electromagnetic wave is less than 194 nm.4. The method according to claim 2 , wherein the electromagnetic wave is ...

Подробнее
28-03-2019 дата публикации

RADIATION-SENSITIVE COMPOSITION AND PATTERN-FORMING METHOD

Номер: US20190094691A1
Автор: Kasahara Kazuki
Принадлежит: JSR Corporation

A radiation-sensitive composition includes: particles including a metal oxide as a principal component; a radiation-sensitive acid generator; and an acid trapper, wherein a percentage content of silicon atoms with respect to an entirety of metal atoms in the composition is less than 50 atom %. The mean particle diameter of the particles is preferably no greater than 20 nm. A pattern-forming method includes: applying the aforementioned radiation-sensitive composition on a substrate to form a film; exposing the film; and developing the film exposed. A developer solution used in the developing is preferably an alkaline aqueous solution. A developer solution used in the developing may be an organic solvent-containing liquid. A radioactive ray used in the exposing is preferably an extreme ultraviolet ray or an electron beam. 1. A radiation-sensitive composition comprising:particles comprising a metal oxide as a principal component;a radiation-sensitive acid generator; andan acid trapper,wherein a percentage content of silicon atoms with respect to an entirety of metal atoms in the composition is less than 50 atom %.2. The radiation-sensitive composition according to claim 1 , wherein a content of the radiation-sensitive acid generator with respect to a total solid content in the composition is no less than 1% by mass and no greater than 40% by mass.3. The radiation-sensitive composition according to claim 1 , wherein a content of the acid trapper with respect to a total solid content in the composition is no less than 1% by mass and no greater than 40% by mass.4. The radiation-sensitive composition according to claim 1 , wherein a mean particle diameter of the particles is no greater than 20 nm.5. A pattern-forming method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the radiation-sensitive composition according to on a substrate to form a film;'}exposing the film; anddeveloping the film exposed.6. The pattern-forming method according to claim 5 ...

Подробнее
26-03-2020 дата публикации

FILM, COLOR FILTER, SOLID IMAGE PICKUP ELEMENT, AND INFRARED SENSOR

Номер: US20200096864A1
Принадлежит: FUJIFILM Corporation

A film in which a light transmittance when light is transmitted from one surface of the film to the other surface has a maximum value of 10% or lower in a wavelength range of 400 to 830 nm and has a minimum value of 70% or higher in a wavelength range of 1000 to 1300 nm. 1. A film whereina light transmittance when light is transmitted from one surface of the film to the other surface has a maximum value of 10% or lower in a wavelength range of 400 to 830 nm and has a minimum value of 70% or higher in a wavelength range of 1000 to 1300 nm.2. The film according to claim 1 ,wherein a ratio A/B of a minimum value A of an absorbance in a wavelength range of 400 to 830 nm to a maximum value B of an absorbance in a wavelength range of 1000 to 1300 nm is 4.5 or higher.3. The film according to claim 1 , further comprisingcolorants,wherein the colorants include one or more first colorants having an absorption maximum in a wavelength range of 800 to 900 nm and two or more second colorants having an absorption maximum in a wavelength range of 400 to 700 nm.4. The film according to claim 1 , further comprisinga colorant,wherein the colorant includes one or more first colorants having an absorption maximum in a wavelength range of 800 to 900 nm, andthe first colorants include at least one compound selected from the group consisting of a pyrrolopyrrole colorant compound, a copper compound, a cyanine colorant compound, a phthalocyanine colorant compound, an iminium colorant compound, a thiol complex compound, a transition metal oxide compound, a squarylium colorant compound, a naphthalocyanine colorant compound, a quaterrylene colorant compound, a dithiol metal complex colorant compound, and a croconium compound.5. The film according to claim 3 , further comprisingwherein a content of the first colorants is 10 to 200 parts by mass with respect to 100 parts by mass of the second colorants.6. The film according to claim 1 , further comprisingcolorants,wherein the colorants include ...

Подробнее
26-03-2020 дата публикации

PERFORMANCE IMPROVEMENT OF EUV PHOTORESIST BY ION IMPLANTATION

Номер: US20200096870A1

A method of patterning a substrate may include providing a blanket photoresist layer on the substrate; performing an ion implantation procedure of an implant species into the blanket photoresist layer, the implant species comprising an enhanced absorption efficiency at a wavelength in the extreme ultraviolet (EUV) range; and subsequent to the performing the ion implantation procedure, performing a patterned exposure to expose the blanket photoresist layer to EUV radiation. 1. A method of improved patterning of a photoresist layer , comprising:providing an underlayer on a substrate;{'sup': 6', '2, 'performing an ion implantation procedure of an implant species into the underlayer, the implant species comprising an enhanced absorption efficiency at a wavelength in an extreme ultraviolet (EUV) range, the enhanced absorption efficiency being greater than 2×10cm/mol;'}applying the photoresist layer as a blanket photoresist layer on the underlayer; andpatterning the blanket photoresist layer by exposure to EUV radiation.2. The method of claim 1 , wherein the implant species is implanted into a top region near a top surface of the underlayer.3. The method of claim 1 , wherein the implant species is Xe.4. The method of claim 1 , wherein the implant species is Sn.5. The method of claim 1 , wherein performing the ion implantation procedure comprises generating a peak in a concentration of the implant species as a function of depth below an outer surface of the underlayer.6. The method of claim 1 , wherein the implant species comprises a gaseous species at room temperature.7. The method of claim 1 , wherein a concentration of the implant species as a function of depth below an outer surface of the underlayer increases to a depth of at least 5 nm.8. The method of claim 1 , wherein the ion implantation procedure comprises a plurality of ion implantation procedures claim 1 , wherein an implant depth varies between the plurality of implant procedures.9. The method of claim 8 , ...

Подробнее
13-04-2017 дата публикации

ORGANOTIN OXIDE HYDROXIDE PATTERNING COMPOSITIONS, PRECURSORS, AND PATTERNING

Номер: US20170102612A1
Принадлежит:

Organometallic precursors are described for the formation of high resolution lithography patterning coatings based on metal oxide hydroxide chemistry. The precursor compositions generally comprise ligands readily hydrolysable by water vapor or other OH source composition under modest conditions. The organometallic precursors generally comprise a radiation sensitive organo ligand to tin that can result in a coating that can be effective for high resolution patterning at relatively low radiation doses and is particularly useful for EUV patterning. The precursors compositions are readily processable under commercially suitable conditions. Solution phase processing with in situ hydrolysis or vapor based deposition can be used to form the coatings. 1. A coating solution comprising:an organic solvent;{'sub': z', '(2-(z/2)-(x/2))', 'x', 'n', '4-n, 'a first organometallic composition represented by the formula RSnO(OH)where 0 Подробнее

08-04-2021 дата публикации

Extreme Ultraviolet Photolithography Method With Developer Composition

Номер: US20210103213A1
Принадлежит:

The present disclosure provides a method for lithography patterning in accordance with some embodiments. The method includes forming a photoresist layer over a substrate, wherein the photoresist layer includes a metal-containing chemical; performing an exposing process to the photoresist layer; and performing a first developing process to the photoresist layer using a first developer, thereby forming a patterned resist layer, wherein the first developer includes a first solvent and a chemical additive to remove metal residuals generated from the metal-containing chemical. 1. A method comprising:forming a material layer on a substrate;forming a photoresist layer over the material layer disposed on the substrate, wherein the photoresist layer includes a metal-containing chemical;performing an exposing process to the photoresist layer;prior to performing any etching of the material layer, performing a first developing process to the photoresist layer using a first developer to pattern the photoresist layer, wherein the first developer removes metal residuals generated from the metal-containing chemical; andafter the performing of the first developing process, etching the material layer while using the patterned resist layer as a mask.2. The method of claim 1 , further comprising performing a second developing process to the photoresist layer using a second developer that is different than the first developer claim 1 , andwherein the performing of the second developing process occurs prior to performing any etching of the material layer and further occurs either before or after the performing of the first developing process.3. The method of claim 1 , further comprising performing a baking process after the performing of the exposing process such that the photoresist layer undergoes a chemical change.4. The method of claim 3 , wherein the performing of the baking process is occurs at a temperature ranging between about 120° C. and about 170° C.5. The method of claim 1 , ...

Подробнее
02-06-2022 дата публикации

NANOPARTICLE, NANOPARTICLE LAYER PATTERNING METHOD AND RELATED APPLICATION

Номер: US20220169922A1
Автор: Chen Zhuo, Wang Tieshi
Принадлежит:

The present disclosure discloses a nanoparticle, a nanoparticle layer patterning method and related application. When the nanoparticle disclosed by the present disclosure is adopted to form a patterned nanoparticle layer on a substrate, a photosensitive material is added in the nanoparticle, then a protective group in a first ligand is dissociated to form an amino under the irradiation of light with a preset wavelength, a second ligand including an amino is formed on a surface of a nanometer particle, and a polarity of the second ligand is different from a polarity of the first ligand; and the amino of the second ligand is cross-linked with an adjacent nanoparticle. 1. A nanoparticle , comprising:a nanometer particle; anda first ligand linked to a surface of the nanometer particle, wherein the first ligand comprises an amino protected by a protective group;wherein the first ligand is configured to dissociate the protective group under an action of a photosensitive material and irradiation of light with a preset wavelength so as to form a second ligand with an amino on the surface of the nanometer particle, and a polarity of the second ligand is different from a polarity of the first ligand; and the amino of the second ligand is cross-linked with an adjacent nanoparticle.3. The nanoparticle according to claim 1 , wherein the first ligand further comprises:a linking group linked with the amino protected by the protective group, anda coordinating group linked with the linking group; whereinthe coordinating group is configured to carry out coordinating bonding with the nanometer particle.4. The nanoparticle according to claim 3 , wherein the coordinating group comprises at least one of: —NH claim 3 , —SH claim 3 , —COOH claim 3 , —P and —PO.7. The nanoparticle according to claim 1 , comprising at least one of CdS claim 1 , CdSe claim 1 , ZnSe claim 1 , InP claim 1 , PbS claim 1 , CsPbCl claim 1 , CsPbBr claim 1 , CsPbI claim 1 , CdS/ZnS claim 1 , CdSe/ZnS claim 1 , CdSe ...

Подробнее
28-04-2016 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS AND CORRESPONDING METHODS

Номер: US20160116839A1
Принадлежит:

Organometallic radiation resist compositions are described based on tin ions with alkyl ligands. Some of the compositions have branched alkyl ligands to provide for improved patterning contrast while maintaining a high degree of solution stability. Blends of compounds with distinct alkyl ligands can provide further improvement in the patterning. High resolution patterning with a half-pitch of no more than 25 nm can be achieved with a line width roughness of no more than about 4.5 nm. Synthesis techniques have been developed that allow for the formation of alkyl tin oxide hydroxide compositions with very low metal contamination. 1. A coating solution comprising an organic solvent and a first organometallic compound represented by the formula RSnO(OH)where (0 Подробнее

09-06-2022 дата публикации

Films with narrow band emission phosphor materials

Номер: US20220177719A1
Принадлежит: General Electric Co

A color conversion film is provided. The film includes at least one narrow band emission phosphor dispersed within a binder matrix, wherein the narrow band emission phosphor has a D50 particle size from about 0.1 μm to about 15 μm and is selected from the group consisting of a green-emitting U 6+ -containing phosphor, a green-emitting Mn 2+ -containing phosphor, a red-emitting phosphor based on complex fluoride materials activated by Mn 4+ , and a mixture thereof. A device is also provided.

Подробнее
09-06-2022 дата публикации

Resist Composition

Номер: US20220179319A1
Принадлежит: THE UNIVERSITY OF MANCHESTER

The present invention relates to resist compostions, in particular to photoresists that can be used in photolithography, especially in the fabrication of integrated circuits and derivative products. The resist compositions of the invention include an anti-scattering component which has a significant amount of empty space, and thus fewer scattering centers, such that radiation-scattering events are more limited during exposure. Such anti-scattering effects can lead to improved resolutions by reducing the usual proximity effects associated with lithographic techniques, allowing the production of smaller, higher resolution microchips. Furthermore, certain embodiments involve anti-scattering components which are directly linked to the resist components, which can improve the overall lithographic chemistry to provide benefits both in terms of resolution and resist sensitivity. 127-. (canceled)28. A method of performing lithography , the method comprising:i) applying an antiscattering resist coating to a substrate;ii) exposing part(s) of the antiscattering resist coating to radiation to provide an exposed antiscattering resist coating;iii) developing the exposed antiscattering resist coating to generate an antiscattering resist pattern layer, the antiscattering resist pattern layer comprising: developer-insoluble coating portions of the antiscattering resist coating, and an array of grooves extending through the antiscattering resist pattern layer;iv) optionally modifying the substrate, substrate surface, or part(s) thereof, underlying the antiscattering resist pattern layer;v) optionally removing the antiscattering resist pattern layer to provide a modified substrate; and wherein step (i) of the method is optionally preceded by performing steps (i) to (vi), optionally repeated one or more times, using either the antiscattering resist coating or an alternative resist coating and optionally using alternative radiation during exposure;', 'wherein the antiscattering resist ...

Подробнее
09-04-2020 дата публикации

Photosensitive Material and Method of Lithography

Номер: US20200110338A1

Materials directed to a photosensitive material and a method of performing a lithography process using the photosensitive material are described. A semiconductor substrate is provided. A first layer including a floating additive is formed over the semiconductor substrate. A second layer including an additive component having a metal cation is formed over the first layer. One or more bonds are formed to bond the metal cation and one or more anions. Each of the one or more anions is one of a protecting group and a polymer chain bonding component. The polymer chain bonding component is bonded to a polymer chain of the layer. The second layer is exposed to a radiation beam.

Подробнее
03-05-2018 дата публикации

RINSING LIQUID, PATTERN FORMING METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20180120708A1
Принадлежит: FUJIFILM Corporation

Provided are a rinsing liquid which is used for rinsing a resist film obtained from an actinic ray-sensitive or radiation-sensitive composition and includes a hydrocarbon-based solvent having a branched alkyl group. The hydrocarbon-based solvent having a branched alkyl group contains at least one of isodecane or isododecane. 1. A rinsing liquid for use in rinsing a resist film obtained from an actinic ray-sensitive or radiation-sensitive composition , comprising:a hydrocarbon-based solvent having a branched alkyl group,wherein the hydrocarbon-based solvent having a branched alkyl group contains at least one of isodecane or isododecane.2. The rinsing liquid according to claim 1 , wherein the hydrocarbon-based solvent having a branched alkyl group has 10 or more carbon atoms.3. A pattern forming method comprising the successive steps of:a resist film forming step of forming a resist film using an actinic ray-sensitive or radiation-sensitive composition,an exposure step of exposing the resist film,a step of developing the exposed resist film using a developer containing an organic solvent, and{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'a step of rinsing the developed resist film using the rinsing liquid according to .'}4. The pattern forming method according to claim 3 , wherein the organic solvent contained in the developer is an ester-based solvent.5. The pattern forming method according to claim 3 , wherein the organic solvent contained in the developer contains one or more selected from the group consisting of butyl acetate claim 3 , pentyl acetate claim 3 , and isoamyl acetate.7. The pattern forming method according to claim 3 , wherein the exposure is carried out using electron beams or extreme ultraviolet rays.8. An electronic device manufacturing method claim 3 , comprising:{'claim-ref': {'@idref': 'CLM-00003', 'claim 3'}, 'the pattern forming method according to .'} This is a continuation of International Application No. PCT/JP2016/065353 filed on May ...

Подробнее
04-05-2017 дата публикации

Secondary electron generating composition

Номер: US20170123312A1
Принадлежит: University of Manchester

The present invention relates to a resist composition, especially for use in the production of electronic components via electron beam lithography. In addition to the usual base polymeric component (resist polymer), a secondary electron generator is included in resist compositions of the invention in order to promote secondary electron generation. This unique combination of components increases the exposure sensitivity of resists in a controlled fashion which facilitates the effective production of high-resolution patterned substrates (and consequential electronic components), but at much higher write speeds.

Подробнее
25-08-2022 дата публикации

ORGANOMETALLIC RADIATION PATTERNABLE COATINGS WITH LOW DEFECTIVITY AND CORRESPONDING METHODS

Номер: US20220269169A1
Принадлежит:

In the context of forming radiation patternable structures especially for EUV patterning, wafer structures are described comprising a substrate having a smooth top surface and a radiation sensitive organometallic coating having an average thickness of no more than 100 nm and no more than about 1 defect per square centimeter with a defect size of greater than 48 nm, evaluated with a 3 mm edge exclusion. Corresponding methods for forming a low defect coating comprise spin coating a purified radiation sensitive organometallic resist solution onto a wafer using a spin coater system comprising a delivery line and a delivery nozzle connected to the delivery line to form a coated wafer, and drying the coated wafer to form a radiation sensitive organometallic coating having no more than about 1 defect per square centimeter with a defect size of greater than 48 nm, evaluated with a 3 mm edge exclusion. Methods are provided for improved filtering for particle removal from radiation patternable organometallic resist compositions. 1. A wafer structure comprising a substrate having a smooth top surface and a radiation sensitive organometallic coating having an average thickness of no more than 100 nm and no more than about 1 defect per square centimeter with a defect size of greater than 48 nm , evaluated with a 3 mm edge exclusion.2. The wafer structure of comprising a silicon wafer claim 1 , a silica substrate claim 1 , a ceramic material claim 1 , a polymer substrate claim 1 , composites thereof and combinations thereof across a surface and/or in layers of the substrate.3. The wafer structure of comprising a silicon wafer.4. The wafer structure of wherein the radiation sensitive organometallic coating comprises a composition represented by the formula RSnO(OH)where 0 Подробнее

16-04-2020 дата публикации

SEMICONDUCTOR RESIST COMPOSITION, AND METHOD OF FORMING PATTERNS USING THE COMPOSITION

Номер: US20200117085A1
Принадлежит:

This disclosure relates to a semiconductor resist composition including an organometallic compound represented by Chemical Formula 1 and a solvent, and to a method of forming patterns using the composition: 2. The semiconductor resist composition of claim 1 , wherein Ris selected from a substituted or unsubstituted C1 to C10 alkyl group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 1 , a substituted or unsubstituted C3 to C20 alkenyl group claim 1 , a substituted or unsubstituted C3 to C20 alkynyl group claim 1 , and —R—O—R claim 1 , wherein Ris a substituted or unsubstituted C1 to C20 alkylene group and Ris a substituted or unsubstituted C1 to C20 alkyl group.3. The semiconductor resist composition of claim 1 , wherein Ris selected from a substituted or unsubstituted C1 to C10 alkyl group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 1 , a substituted or unsubstituted C2 to C8 alkenyl group claim 1 , a substituted or unsubstituted C2 to C8 alkynyl group claim 1 , and a substituted or unsubstituted C6 to C30 arylalkyl group claim 1 , and Ris selected from hydrogen claim 1 , a substituted or unsubstituted C1 to C10 alkyl group claim 1 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 1 , a substituted or unsubstituted C2 to C8 alkenyl group claim 1 , a substituted or unsubstituted C2 to C8 alkynyl group claim 1 , and a substituted or unsubstituted C6 to C30 arylalkyl group.4. The semiconductor resist composition of claim 1 , wherein at least one of Rto Ris —OC(═O)R.7. The semiconductor resist composition of claim 5 , wherein Ris selected from a substituted or unsubstituted C1 to C10 alkyl group claim 5 , a substituted or unsubstituted C3 to C20 cycloalkyl group claim 5 , a substituted or unsubstituted C3 to C20 alkenyl group claim 5 , a substituted or unsubstituted C3 to C20 alkynyl group claim 5 , and —R—O—R claim 5 , wherein Ris a substituted or unsubstituted C1 to C20 alkylene group and Ris a ...

Подробнее
14-05-2015 дата публикации

PHOTORESIST WITH RARE-EARTH SENSITIZERS

Номер: US20150132700A1
Автор: Pavel Eugen
Принадлежит:

A method of making a photoresist with rare-earth sensitizers is provided. The rare-earth sensitizer could be a salt or a rare-earth complex. According to the invention, photoresist composition is useful to pattern circuits by visible light. 122-. (canceled)23. An optical nanolithography system comprising:a photoresist having a quantum multiphoton confinement effect; andat least one laser beam directed at said photoresist and adapted to write patterns to said photoresist.24. A system defined in wherein said photoresist having a quantum multiphoton confinement effect is composed of an ultraviolet claim 23 , deep ultraviolet or extreme ultraviolet photoresist with a rare earth (RE) complex as a sensitizer.25. A system defined in wherein said photoresist having a quantum multiphoton confinement effect is composed of an ultraviolet claim 23 , deep ultraviolet or extreme ultraviolet photoresist with a rare earth (RE) salt as a sensitizer.26. A photoresist composition having a quantum multiphoton confinement effect and which is capable of forming an image when exposed to visible light claim 23 , which comprises:(a) about 0.1 to about 10% by weight of a rare earth (RE) complex as a sensitizer in which a rare earth ion is encapsulated by organic ligands; and(b) an ultraviolet, deep ultraviolet or extreme ultraviolet photoresist wherein a rare earth (RE) complex encapsulated by organic ligands is dissolved in the photoresist.27. The photoresist composition having a quantum multiphoton confinement effect claim 26 , defined in wherein the rare earth in the rare earth complex is selected from the group consisting of Ce claim 26 , Pr claim 26 , Nd claim 26 , Sm claim 26 , Eu claim 26 , Gd claim 26 , Tb claim 26 , Dy claim 26 , Ho claim 26 , Er claim 26 , Tm and Yb.28. The photoresist composition having a quantum multiphoton confinement effect claim 26 , defined in wherein the rare earth (RE) complex sensitizer encapsulated by organic ligands is selected from the group which ...

Подробнее
27-05-2021 дата публикации

Photoresist composition and method of forming photoresist pattern

Номер: US20210157233A1
Автор: An-Ren Zi, Ching-Yu Chang

A method of forming a photoresist pattern includes forming a photoresist layer including a photoresist composition over a substrate. The photoresist composition includes metal particles and a thermally stable ligand attached to the metal particles. The thermally stable ligand includes branched or unbranched, cyclic or non-cyclic, C1-C7 alkyl groups or C1-C7 fluoroalkyl groups. The C1-C7 alkyl or C1-C7 fluoroalkyl groups include one or more of —CF3, —SH, —OH, ═O, —S—, —P—, —PO2, —C(═O)SH, —C(═O)OH, —C(═O)O—, —O—, —N—, —C(═O)NH, —SO2OH, —SO2SH, —SOH, or —SO2—. The photoresist layer is selectively exposed to actinic radiation, and the photoresist layer is developed to form a pattern in the photoresist layer. In an embodiment, the method includes heating the photoresist layer before selectively exposing the photoresist layer to actinic radiation

Подробнее
31-07-2014 дата публикации

Method for forming graphene pattern

Номер: US20140212818A1
Принадлежит: Samsung Display Co Ltd

There is provided a method for forming a graphene pattern, in which a graphene thin film layer is transferred onto a surface of a photosensitive film, and then patterned through exposure/development of the photosensitive film. The photosensitive film is cured after being finally developed, thereby securing stability and reliability.

Подробнее
02-05-2019 дата публикации

Resist compositions

Номер: US20190129301A1
Принадлежит: ASML Netherlands BV

A resist composition having a) metal-containing nanoparticles and/or nanoclusters, and b) ligands and or organic linkers, wherein one or both of a) or b) are multivalent. A resist composition wherein: the resist composition is a negative resist and the nanoparticles and/or nanoclusters cluster upon crosslinking of the ligands and/or organic linkers following exposure to electromagnetic radiation or an electron beam; or the resist composition is a negative resist and the ligands and/or organic linkers are crosslinked and the crosslinking bonds are broken upon exposure to electromagnetic radiation or an electron beam allowing the nanoparticles and/or nanoclusters to cluster together; or the resist composition is a positive resist and the ligands and/or organic linkers are crosslinked and the crosslinking bonds are broken upon exposure to electromagnetic radiation or an electron beam.

Подробнее
23-04-2020 дата публикации

Extreme Ultraviolet Photoresist With High-Efficiency Electron Transfer

Номер: US20200124964A1
Принадлежит:

A method includes forming a photoresist layer over a substrate, wherein the photoresist layer includes a polymer, a sensitizer, and a photo-acid generator (PAG), wherein the sensitizer includes a resonance ring that includes nitrogen and at least one double bond. The method further includes performing an exposing process to the photoresist layer. The method further includes developing the photoresist layer, thereby forming a patterned photoresist layer. 1. A method comprising:forming a photoresist layer over a substrate, wherein the photoresist layer includes a polymer, a sensitizer, and a photo-acid generator (PAG), wherein the sensitizer includes a resonance ring that includes nitrogen and at least one double bond;performing an exposing process to the photoresist layer;performing a thermal treatment to the photoresist layer; anddeveloping the photoresist layer, thereby forming a patterned photoresist layer.2. The method of claim 1 , wherein the sensitizer is chemically bonded to the PAG.3. The method of claim 1 , wherein the resonance ring includes at least two nitrogen atoms.4. The method of claim 1 , wherein the sensitizer includes a second resonance ring containing nitrogen and at least one double bond.5. The method of claim 1 , wherein the sensitizer has an electron recombination energy within a range of about 165-170 kilocalories/mol.6. The method of claim 1 , wherein the sensitizer is an aromatic structure.7. The method of claim 1 , wherein the sensitizer includes a heterocyclic structure.8. The method of claim 1 , wherein the sensitizer includes a polar group of at least one of —OH claim 1 , —NH2 claim 1 , COOH claim 1 , and CONH2.9. The method of claim 1 , wherein the PAG comprises an absorb group that includes a structure with a plurality of rings claim 1 , wherein at least one of the rings is heterocyclic and includes at least one double bond.10. The method of claim 9 , wherein the structure includes one of: sulfur claim 9 , iodine claim 9 , or carbon.11 ...

Подробнее
23-04-2020 дата публикации

PATTERNED ORGANOMETALLIC PHOTORESISTS AND METHODS OF PATTERNING

Номер: US20200124970A1
Принадлежит:

A rinse process is described for processing an initially patterned structure formed with an organometallic radiation sensitive material, in which the rinse process can remove portions of the composition remaining after pattern development to make the patterned structure more uniform such that a greater fraction of patterned structures can meet specifications. The radiation sensitive material can comprise alkyl tin oxide hydroxide compositions. The rinsing process can be effectively used to improve patterning of fine structures using extreme ultraviolet light. 1. A method for forming a pattern in a radiation sensitive organometallic resist film on a surface of a substrate , the method comprising rinsing an initial patterned structure with a rinse solution to remove a portion of developed photoresist to control pattern dimensions and to form an adjusted patterned structure ,wherein the initial patterned structure was formed by (i) coating the surface of the substrate with an organometallic radiation sensitive resist material to form the radiation sensitive resist film, (ii) exposing the radiation sensitive resist film to patterned radiation to form an exposed film with exposed portions and unexposed portions, and (iii) contacting the exposed film with a developing solution to form a developed photoresist wherein either the exposed portions or the unexposed portions are selectively soluble in the developing solution.2. The method of wherein the rinse solution comprises aqueous quaternary ammonium hydroxide and the developing solution comprises an organic solvent.3. The method of wherein the developing solution comprises aqueous quaternary ammonium hydroxide and the rinse solution comprises an organic solvent.4. The method of wherein the rinse solution is about 0.5 to 30 weight percent aqueous tetramethyl ammonium hydroxide (TMAH).5. The method of wherein the organometallic radiation sensitive resist material comprises an alkyltin oxide hydroxide approximately ...

Подробнее
09-05-2019 дата публикации

ORGANOTIN OXIDE HYDROXIDE PATTERNING COMPOSITIONS, PRECURSORS, AND PATTERNING

Номер: US20190137870A1
Принадлежит:

Organometallic precursors are described for the formation of high resolution lithography patterning coatings based on metal oxide hydroxide chemistry. The precursor compositions generally comprise ligands readily hydrolysable by water vapor or other OH source composition under modest conditions. The organometallic precursors generally comprise a radiation sensitive organo ligand to tin that can result in a coating that can be effective for high resolution patterning at relatively low radiation doses and is particularly useful for EUV patterning. The precursors compositions are readily processable under commercially suitable conditions. Solution phase processing with in situ hydrolysis or vapor based deposition can be used to form the coatings. 1. A method for forming a radiation patternable coating , the method comprising:exposing a precursor coating on a substrate to water, wherein the precursor coating comprises:{'sub': z', '(2-(z/2)-(x/2))', 'x', 'n', '4-n, 'a first organometallic composition RSnO(OH)where 0 Подробнее

15-09-2022 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS AND CORRESPONDING METHODS

Номер: US20220291582A1
Принадлежит:

Organometallic radiation resist compositions are described based on tin ions with alkyl ligands. Some of the compositions have branched alkyl ligands to provide for improved patterning contrast while maintaining a high degree of solution stability. Blends of compounds with distinct alkyl ligands can provide further improvement in the patterning. High resolution patterning with a half-pitch of no more than 25 nm can be achieved with a line width roughness of no more than about 4.5 nm. Synthesis techniques have been developed that allow for the formation of alkyl tin oxide hydroxide compositions with very low metal contamination. 1. A method for forming a radiation sensitive , patternable organotin oxide-containing film , the method comprising:{'sub': z', '2-z/2-x/2', 'x, 'depositing a coating on a substrate surface using a tin precursor composition having a radiation sensitive Sn—C bond and a hydrolysable ligand to form an organotin oxide film, the film comprising a composition that when completely hydrolyzed is described by the formula (R)SnO(OH), (0<(x+z)<4), where R is an alkyl, cycloalkyl or substituted alkyl moiety having from 1 to 31 carbon atoms.'}2. The method of wherein the organotin oxide film comprises Sn—OH and Sn—O—Sn bonds and the radiation sensitive Sn—C bond.3. The method of wherein the Sn—C bond can be cleaved by at least one of EUV claim 1 , UV claim 1 , and e-beam radiation.4. The method of wherein the hydrolysable ligand comprises a halide claim 1 , an alkoxide claim 1 , a carboxylate claim 1 , an alkylamide claim 1 , an alkylnide claim 1 , an azide claim 1 , a dialkylamide claim 1 , or a mixture thereof.5. The method of wherein the tin precursor composition comprises t-butyl tris(dimethylamino) tin claim 1 , i-propyl (dimethylamino) tin claim 1 , t-butyl tris(diethylamido)tin claim 1 , i-propyl tin trichloride claim 1 , or combinations thereof.6. The method of wherein the depositing is by a vapor-based deposition process comprising chemical vapor ...

Подробнее
17-06-2021 дата публикации

PATTERN-FORMING METHOD AND RADIATION-SENSITIVE COMPOSITION

Номер: US20210181627A1
Принадлежит:

A pattern-forming method includes: applying directly or indirectly on a substrate a radiation-sensitive composition containing a complex and an organic solvent to form a film; exposing the film to an ultraviolet ray, a far ultraviolet ray, an extreme ultraviolet ray, or an electron beam; and developing the film exposed, wherein the complex is represented by formula (1). 1. A pattern-forming method comprising:applying directly or indirectly on a substrate a radiation-sensitive composition comprising a complex and an organic solvent to form a film;exposing the film to an ultraviolet ray, a far ultraviolet ray, an extreme ultraviolet ray, or an electron beam; anddeveloping the film exposed, {'br': None, 'sub': m', 'n', 'p, '[MLQ]\u2003\u2003(1)'}, 'wherein the complex is represented by formula (1)wherein, in the formula (1),M represents a zinc atom, a cobalt atom, a nickel atom, a hafnium atom, a zirconium atom, a titanium atom, an iron atom, a chromium atom, a manganese atom or an indium atom;m is a number of atoms represented by M in the complex represented by the formula (1) and is an integer of 1 to 20, wherein in a case in which m is no less than 2, a plurality of Ms are identical or different; [{'br': None, 'sup': 1', '3', '2, 'R—CHR—R\u2003\u2003(2)'}, 'wherein, in the formula (2),', {'sup': 1', '2', 'A', 'B', 'A', 'B, 'Rand Reach independently represent —C(═O)—R, —C(═O)—OR, or —CN, wherein Rand Reach independently represent an aryl group having 6 to 20 carbon atoms or a fluorine atom-substituted or unsubstituted alkyl group having 1 to 20 carbon atoms; and'}, {'sup': '3', 'Rrepresents a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms,'}], 'L represents a ligand derived from a compound represented by formula (2)n is a number of ligands represented by L in the complex represented by the formula (1) and is an integer of 1 to 80, wherein in a case in which n is no less than 2, a plurality of Ls are identical or different;Q represents a ...

Подробнее
23-05-2019 дата публикации

ORGANOTIN CLUSTERS, SOLUTIONS OF ORGANOTIN CLUSTERS, AND APPLICATION TO HIGH RESOLUTION PATTERNING

Номер: US20190153001A1
Принадлежит:

Organotin clusters are described with the formula RSn(OCR′)(OH)(μ-O) with 0≤x<2; R=branched or cycloalkyl with 1 to 31 carbon atoms; R′═H or alkyl with 1 to 20 carbon atoms. Three carboxylato ligands are bridging, and two OH ligands are bridging. The remaining two carboxylato ligands are in non-bridging configurations, and the non-bridging carboxylato ligands are exchangeable in solution. Solutions of these clusters are suitable for forming radiation sensitive coatings that can be used to pattern nanometer scale structures. The radiation sensitive coatings are particularly suitable for EUV patterning. 1. A composition comprising molecular clusters represented by the formula RSn(OCR′)(L)(OH)(μ-O) with 0≤x<2; L is a ligand with the formula ORor SR , where Ris H or an organo group with 1 to 20 carbon atoms; R=branched or cycloalkyl with 1 to 31 carbon atoms; R′ ═H or alkyl with 1 to 20 carbon atoms.2. The composition of wherein the composition is crystalline.3. The composition of wherein x=0.4. The composition of wherein R comprises a branched alkyl group claim 1 , a cycloalkyl group or a mixture thereof.5. The composition of wherein R is a methyl claim 1 , ethyl claim 1 , i-propyl claim 1 , n-butyl claim 1 , s-butyl or t-butyl group claim 1 , t-amyl claim 1 , neopentyl or combination thereof.6. The composition of wherein R′ comprises H claim 1 , an alkyl group with 1 to 10 carbon atoms claim 1 , or a combination thereof claim 1 , and wherein L is OH.7. A method for synthesizing the composition of claim 1 , the method comprising:in an organic solvent, reacting an alkyl tin trialkylacetylide with carboxylic acid and water.8. A solution comprising an organic solvent and solvated organometallic clusters with the formula RSn(OCR′)(L)(μ-O) with 0≤x<2; R=branched or cycloalkyl with 1 to 31 carbon atoms; R′ ═H or alkyl with 1 to 20 carbon atoms claim 1 , and L is a ligand with the formula —OR″ claim 1 , wherein R″ is H claim 1 , an organo group or a combination thereof.9. The ...

Подробнее
08-06-2017 дата публикации

QUANTUM DOT HAVING POLYMERIC OUTER LAYER, PHOTOSENSITIVE COMPOSITIONS INCLUDING THE SAME, AND QUANTUM DOT POLYMER COMPOSITE PATTERN PRODUCED THEREFROM

Номер: US20170158954A1
Принадлежит:

A photosensitive composition including a quantum dot complex having a polymeric outer layer, a carboxylic acid group-containing binder, a photopolymerizable monomer having a carbon-carbon double bond, a photoinitiator, and a solvent, wherein the polymeric outer layer includes a copolymer including: 1. A photosensitive composition comprising:a quantum dot complex comprising a polymeric outer layer;a carboxylic acid group-containing binder;a photopolymerizable monomer comprising a carbon-carbon double bond;a photoinitiator; anda solvent,wherein the polymeric outer layer comprises a copolymer comprising:a first repeating unit comprising: a moiety capable of interacting with a surface of the quantum dot, an organic ligand compound bonded to the surface of the quantum dot, or a combination thereof, anda second repeating unit comprising a reactive moiety.2. The photosensitive composition of claim 1 , wherein the polymeric outer layer of the quantum dot further comprises an intermolecular or intramolecular crosslinking moiety that links the reactive moieties of the copolymer.4. The photosensitive composition of claim 1 , wherein the first repeating unit comprises a moiety in a backbone or as a pendant group of the copolymer claim 1 , which is capable of interacting with the surface of the quantum dot claim 1 , the organic ligand compound bonded to the surface of the quantum dot claim 1 , or a combination thereof.5. The photosensitive composition of claim 1 , wherein the moiety capable of interacting with the organic ligand compound bonded to the surface of the quantum dot is a monovalent or divalent aliphatic hydrocarbon group claim 1 , a monovalent or divalent aromatic hydrocarbon group claim 1 , or a monovalent or divalent fluorinated aliphatic hydrocarbon group.7. The photosensitive composition of claim 1 , wherein the reactive moiety of the second repeating unit is present in a backbone or as a pendant group of the copolymer.8. The photosensitive composition of claim 1 ...

Подробнее
22-09-2022 дата публикации

ORGANOTIN OXIDE HYDROXIDE PATTERNING COMPOSITIONS, PRECURSORS, AND PATTERNING

Номер: US20220299878A1
Принадлежит:

Organometallic precursors are described for the formation of high resolution lithography patterning coatings based on metal oxide hydroxide chemistry. The precursor compositions generally comprise ligands readily hydrolysable by water vapor or other OH source composition under modest conditions. The organometallic precursors generally comprise a radiation sensitive organo ligand to tin that can result in a coating that can be effective for high resolution patterning at relatively low radiation doses and is particularly useful for EUV patterning. The precursors compositions are readily processable under commercially suitable conditions. Solution phase processing with in situ hydrolysis or vapor based deposition can be used to form the coatings. 1. A method for forming a radiation patternable organometallic coating , the method comprising:depositing a tin composition having organic ligands and hydrolysable ligands to form a coating with a dry thickness from about nanometers (nm) to about 50 nm,wherein the organic ligands comprise radiation sensitive Sn—C bonds, andwherein the depositing is by a vapor-based deposition process.2. The method of further comprising at least partially hydrolysing the hydrolysable ligands to form oxo/hydroxo ligands.3. The method of wherein the hydrolysis involves atmospheric water.4. The method of wherein the hydrolysis is performed following deposition of the coating.5. The method of wherein the hydrolysis is performed during deposition of the coating.6. The method of wherein the vapor-based deposition process is chemical vapor deposition (CVD) claim 1 , physical vapor deposition (PVD) claim 1 , or atomic layer deposition (ALD).7. The method of wherein the coating comprises Sn—O—H and Sn—O—Sn bonds and the radiation sensitive Sn—C bonds.8. The method of wherein the organic ligands comprise an alkyl ligand claim 1 , a branched alkyl ligand claim 1 , a cyclic alkyl claim 1 , an alkenyl ligand claim 1 , an aryl ligand claim 1 , heteroatom ...

Подробнее
14-05-2020 дата публикации

PHOTORESIST AND MANUFACTURING METHOD OF PHOTORESIST PATTERNS

Номер: US20200150536A1
Принадлежит: BOE Technology Group Co., Ltd.

A photoresist and a method of manufacturing photoresist patterns are disclosed. The photoresist includes a plurality of photosensitive units, and each photosensitive unit has magnetism. 1. A photoresist , comprising:a plurality of photosensitive units, each of which has magnetism.2. The photoresist according to claim 1 , wherein the photosensitive units comprise a photosensitive material and magnetic ions.3. The photoresist according to claim 1 , wherein the photosensitive material wraps the magnetic material.4. The photoresist according to claim 3 , wherein the magnetic material comprises magnetic nanoparticles.5. The photoresist according to claim 4 , wherein the magnetic nanoparticles comprise nanospheres or quantum dot microspheres.6. The photoresist according to claim 2 , wherein the magnetic ions comprise iron ions or nickel ions.7. A method of manufacturing a photoresist pattern claim 2 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the photoresist as claimed in on the substrate;'}applying a magnetic field to the photoresist so that a content of the photosensitive unit at a bottom of the photoresist is greater than a content of the photosensitive unit at a top of the photoresist; andexposing and developing the photoresist to form the photoresist pattern.8. The method of manufacturing the photoresist pattern according to claim 7 , wherein the applying of the magnetic field to the photoresist comprises:a magnetic member is arranged below or above the substrate on which the photoresist is formed, and the distribution of photosensitive units in the photoresist is controlled by the magnetic member.9. The method of manufacturing the photoresist pattern according to claim 8 , wherein the magnetic member is a magnet.10. The method of manufacturing the photoresist pattern according to claim 7 , further comprising: after applying the photoresist on the substrate claim 7 , performing a photoresist prebake treatment on the photoresist;the ...

Подробнее
18-06-2015 дата публикации

PHOTORESIST COMPOSITION AND METHOD OF PREPARING THE SAME, COLOR FILM SUBSTRATE, AND DISPLAY APPARATUS

Номер: US20150168827A1
Принадлежит:

An auto-repairing photoresist composition comprises 1 to 10 wt % of microcapsule having a capsule wall and a capsule core based on the total weight of the photoresist composition, wherein the capsule wall includes an alkali-insoluble resin, and the capsule core includes: 10 to 60 wt % of a photo-curable unsaturated resin oligomer; 10 to 50 wt % of a photo-cruable monomers; 10 to 70 wt % of a first black pigment paste; 0.1 to 10 wt % of a first photo-initiator; and 0.1 to 5 wt % of a coupling agent, based on the total weight of the capsule core. The photoresist composition of embodiments of the present invention can be used for preparation of Black Matrix, and has an auto-repairing property to improve substantially the pass yield of product. A method of preparing the photoresist composition, a color filter substrate, and a display apparatus are also disclosed. 2. The photoresist composition of claim 1 , whereinthe photo-curable unsaturated resin oligomer comprises one or more selected from the group consisting of epoxy acrylic resin and polyurethane acrylic resin;the photo-cruable monomers comprises one or more selected from the group consisting of dipentaerylthiol hexaacrylate, trimethylol propane triacrylate and dipentaerylthiol pentaacrylate;the first photo-initiator comprises one or more selected from the group consisting of 2-hydroxy-2-methyl-1-phenyl-1-acetone, 1-hydroxycyclohexyl phenyl ketone and 2-phenylbenzyl-2-dimethylamino-4′-morpholinopropyl phenyl ketone; andthe coupling agent comprises one or more selected from the group consisting of γ-(2,3-epoxypropoxyl)propyl trimethyl silane and γ-methylacryloyloxy propyl trimethyl silane.4. The photoresist composition of claim 3 , whereinthe adhesive resin containing a carboxyl group comprises a copolymer of methacrylic acid and benzyl methacrylate;the polymerizable monomer containing an olefinic unsaturated bond comprises one or more selected from the group consisting of trimethylol propane triacrylate, ...

Подробнее
24-06-2021 дата публикации

COLORED PHOTOSENSITIVE RESIN COMPOSITION AND BLACK MATRIX PREPARED THEREFROM

Номер: US20210191259A1
Принадлежит:

The colored photosensitive resin composition of the present invention comprises a multifunctional thiol compound and/or a compound containing a double bond and a hydroxyl group, whereby it can sufficiently form a cured film even at low temperatures. In addition, the photosensitive resin composition of the present invention has a fast development speed and excellent resolution and chemical resistance. 1. A photosensitive resin composition , which comprises:(A) a copolymer;(B) a photopolymerizable compound;(C) a photopolymerization initiator;(D) a colorant; and(E) a multifunctional thiol compound.2. The photosensitive resin composition of claim 1 , which further comprises (F) a compound containing two or more double bonds and two or more hydroxyl groups.3. The photosensitive resin composition of claim 1 , wherein the multifunctional thiol compound (E) is at least one selected from the group consisting of tris-(3-mercaptopropionyloxy)ethyl isocyanurate claim 1 , trimethylolpropanetris-3-mercaptopropionate claim 1 , pentaerythritol tetrakis-3-mercaptopropionate claim 1 , and dipentaerythritol tetrakis-3-mercaptopropionate. 4 claim 1 , The photosensitive resin composition of claim 1 , which comprises the multifunctional thiol compound (E) in an amount of 10 to 50 parts by weight based on 100 parts by weight of the copolymer (A) on the basis of the solids content.5. The photosensitive resin composition of claim 2 , wherein the compound containing two or more double bonds and two or more hydroxyl groups (F) is at least one selected from the group consisting of 1 claim 2 ,3-diglycerolate diacrylate claim 2 , glycerol 1 claim 2 ,3-diglycerolate diacrylate claim 2 , ((oxybis(4 claim 2 ,1-phenylene))bis(oxy))bis(2-hydroxy propane-3 claim 2 ,1-diyl) diacrylate claim 2 , ((propane-2 claim 2 ,2-diylbis(4 claim 2 ,1-phenylene))bis(oxy))bis(2-hydroxypropane-3 claim 2 ,1-diyl) diacrylate claim 2 , and (spiro[fluorene-9 claim 2 ,9′-xanthene]-3′ claim 2 ,6′-diylbis(oxy))bis(2- ...

Подробнее
24-06-2021 дата публикации

ORGANICALLY MODIFIED METAL OXIDE NANOPARTICLES, ORGANICALLY MODIFIED METAL OXIDE NANOPARTICLES-CONTAINING SOLUTION, ORGANICALLY MODIFIED METAL OXIDE NANOPARTICLES-CONTAINING RESIST COMPOSITION, AND RESIST PATTERN FORMING METHOD

Номер: US20210191261A1
Принадлежит:

Organically modified metal oxide nanoparticles containing two or more cores including a plurality of metal atoms and a plurality of oxygen atoms covalently bonded to the plurality of metal atoms; a first modifying group that is a ligand coordinated to each of the cores and selected from the group consisting of a carboxylic acid carboxylate, a sulfonic acid sulfonate, and a phosphonic acid phosphonate; and a second modifying group that is coordinated to each of the cores and is a ligand having a structure different from that of the first modifying group and/or an inorganic anion, in which organically modified metal oxide nanoparticles have a structure in which the cores are crosslinked through a coordinate bond by at least the first modifying group. 1. Organically modified metal oxide nanoparticles comprising:two or more cores including a plurality of metal atoms and a plurality of oxygen atoms covalently bonded to the plurality of metal atoms;a first modifying group (A1) that is a ligand coordinated to each of the cores and selected from the group consisting of a carboxylic acid carboxylate, a sulfonic acid sulfonate, and a phosphonic acid phosphonate; anda second modifying group (A2) that is coordinated to each of the cores and is at least one selected from the group consisting of a ligand having a structure different from that of the first modifying group (A1) and an inorganic anion,wherein the organically modified metal oxide nanoparticle has a structure in which the cores are crosslinked through a coordinate bond by at least the first modifying group (A1).3. The organically modified metal oxide nanoparticles according to claim 1 , wherein the first modifying group (A1) is a carboxylic acid carboxylate ligand.4. The organically modified metal oxide nanoparticles according to claim 1 , wherein the first modifying group (A1) is a carboxylic acid carboxylate ligand; andthe second modifying group (A2) is selected from the group consisting of a carboxylic acid ...

Подробнее
30-05-2019 дата публикации

CURABLE COMPOSITION, LITHOGRAPHIC PRINTING PLATE PRECURSOR, AND METHOD FOR PRODUCING LITHOGRAPHIC PRINTING PLATE

Номер: US20190160848A1
Автор: ISHIJI Yohei
Принадлежит: FUJIFILM Corporation

A curable composition includes an infrared absorber having at least one element in Group XIII of the periodic table on a mother nucleus structure and having a chain-like polymethine structure, in which two or more hetero atoms are bonded to carbon atoms at non-meso positions. Also, a lithographic printing plate precursor in which the curable composition is used, and a method for producing a lithographic printing plate in which the lithographic printing plate precursor is used are provided. 1. A curable composition comprising:an infrared absorber comprising at least one element in Group XIII of the periodic table on a mother nucleus structure and comprising a chain-like polymethine structure.2. The curable composition according to claim 1 , wherein claim 1 , in the infrared absorber claim 1 , an anion charge is present on the element in Group XIII in a resonant structure formula.3. The curable composition according to claim 1 , wherein the element in Group XIII of the periodic table comprises at least one selected from the group consisting of boron and aluminum.4. The curable composition according to claim 1 , wherein the chain-like polymethine structure comprises a chain-like polymethine structure in which two or more hetero atoms are bonded to carbon atoms at non-meso positions.5. The curable composition according to claim 4 , wherein the infrared absorber comprises two or more boron atoms claim 4 , and each of the two or more hetero atoms comprises at least one selected from the group consisting of an oxygen atom and a nitrogen atom.6. The curable composition according to claim 4 , wherein the infrared absorber comprises two or more boron atoms claim 4 , and the two or more hetero atoms comprises four or more oxygen atoms.10. The curable composition according to claim 1 , further comprising:a polymerizable compound; anda polymerization initiator.11. The curable composition according to claim 10 , wherein the polymerization initiator comprises an onium salt ...

Подробнее
30-05-2019 дата публикации

ZWITTERION COMPOUNDS AND PHOTORESISTS COMPRISING SAME

Номер: US20190161509A1
Принадлежит:

New Te-zwitterion compounds are provided, including photoactive tellurium salt compounds useful for Extreme Ultraviolet Lithography. 1. A zwitterion that comprises one or more Te atoms.4. A zwitterion of wherein Rand/or Rcomprise an anionic group.6. A zwitterion of wherein Rcomprises an anionic group.8. A zwitterion of wherein Rcomprises an anionic group.9. A zwitterion of wherein the zwitterion comprises a polymerizable group and/or one or more acid labile groups.10. A photoresist composition comprising a resin and one or more zwitterions of .11. The photoresist composition of wherein the photoresist composition comprises one or more acid generator compounds that are distinct from the one or more zwitterions.12. A method for providing a photoresist relief image comprising:{'claim-ref': {'@idref': 'CLM-00010', 'claim 10'}, 'a) applying a coating layer of a photoresist of on a substrate; and'}b) exposing the photoresist composition layer to activating radiation and developing the exposed photoresist composition coating layer. The present invention relates to zwitterion compounds. In one preferred aspect, photoactive tellurium salt compounds are provided that are useful for extreme ultraviolet lithography.Extreme ultraviolet lithography (“EUVL”) is one of the leading technologies options to replace optical lithography for volume semiconductor manufacturing at feature sizes<20 nm. The extremely short wavelength (13.4 nm) is a key enabling factor for high resolution required at multiple technology generations. In addition, the overall system concept—scanning exposure, projection optics, mask format, and resist technology—is quite similar to that used for current optical technologies. Like previous lithography generations, EUVL consists of resist technology, exposure tool technology, and mask technology. The key challenges are EUV source power and throughput. Any improvement in EUV power source will directly impact the currently strict resist sensitivity specification. ...

Подробнее
29-09-2022 дата публикации

Radiation based patterning methods

Номер: US20220308447A1
Принадлежит: Inpria Corp

Stabilized precursor solutions can be used to form radiation inorganic coating materials. The precursor solutions generally comprise metal suboxide cations, peroxide-based ligands and polyatomic anions. Design of the precursor solutions can be performed to achieve a high level of stability of the precursor solutions. The resulting coating materials can be designed for patterning with a selected radiation, such as ultraviolet light, x-ray radiation or electron beam radiation. The radiation patterned coating material can have a high contrast with respect to material properties, such that development of a latent image can be successful to form lines with very low line-width roughness and adjacent structures with a very small pitch.

Подробнее
30-05-2019 дата публикации

SALTS AND PHOTORESISTS COMPRISING SAME

Номер: US20190163055A1
Принадлежит: Rohm and Haas Electronic Materials LLC

New Te-salts are provided, including photoactive tellurium salt compounds useful for Extreme Ultraviolet Lithography. 1. A salt comprising an anion component that comprises one or more Te atoms.2. A salt of wherein the anion component comprises one or more divalent Te atoms.3. A salt of wherein the salt comprises one or more tetravalent Te atoms.4. A salt of wherein the salt corresponds to the following Formula (I):{'br': None, 'sup': +', '1', '2', '3, 'sub': n', 'y, 'M(Z)—R—(Te)—R—X—R—Y\u2003\u2003(I)'}{'sup': '1', 'wherein Ris a non-hydrogen substituent;'}{'sup': '2', 'Ris a chemical bond or a non-hydrogen substituent;'}X is a chemical bond or a divalent linking group;{'sup': '3', 'Ris a linker;'}Z is absent or a non-hydrogen substituent;Y is an anionic group;n is an integer equal to or greater than 0; and y is an integer equal to or greater than 1; and{'sup': '+', 'M is a cation component.'}6. A salt of wherein the salt comprises a cation component that comprise one or more I claim 1 , S claim 1 , Se and/or Te.7. A salt of wherein the salt comprises a polymerizable group.8. A salt of wherein the salt comprises one or more acid-labile groups.9. A photoresist composition comprising a resin and one or more salts of .10. The photoresist composition of wherein the photoresist composition comprises one or more acid generator compounds that are distinct from the one or more salts.11. A method for providing a photoresist relief image comprising:{'claim-ref': {'@idref': 'CLM-00009', 'claim 9'}, 'a) applying a coating layer of a photoresist of on a substrate; and'}b) exposing the photoresist composition layer to activating radiation and developing the exposed photoresist composition coating layer. The present invention relates to new salt compounds that comprise one or more Te atoms. In one preferred aspect, photoactive tellurium salt compounds are provided that are useful for extreme ultraviolet lithography.Extreme ultraviolet lithography (“EUVL”) is one of the leading ...

Подробнее
29-09-2022 дата публикации

Apparatus for photoresist dry deposition

Номер: US20220308462A1
Принадлежит: Lam Research Corp

Systems and techniques for dry deposition of extreme ultra-violet-sensitive (EUV-sensitive) photoresist layers are discussed. In some such systems, a processing chamber may be provided that features a multi-plenum showerhead that is configured to receive a vaporized organometallic precursor in one plenum and a vaporized counter-reactant thereof in another plenum. The two vaporized reactants may be delivered to a reaction space within the processing chamber and over a wafer support that supports the substrate.

Подробнее
21-06-2018 дата публикации

Extreme Ultraviolet Photolithography Method With Developer Composition

Номер: US20180173096A1
Принадлежит:

The present disclosure provides a method for lithography patterning in accordance with some embodiments. The method includes forming a photoresist layer over a substrate, wherein the photoresist layer includes a metal-containing chemical; performing an exposing process to the photoresist layer; and performing a first developing process to the photoresist layer using a first developer, thereby forming a patterned resist layer, wherein the first developer includes a first solvent and a chemical additive to remove metal residuals generated from the metal-containing chemical. 1. A method for lithography patterning , comprising:forming a photoresist layer over a substrate, wherein the photoresist layer includes a metal-containing chemical;performing an exposing process to the photoresist layer; andperforming a first developing process to the photoresist layer using a first developer, thereby forming a patterned resist layer, wherein the first developer includes a first solvent and a chemical additive to remove metal residuals generated from the metal-containing chemical.2. The method of claim 1 , further comprising performing a second developing process to the photoresist layer using a second developer different from the first developer.3. The method of claim 2 , whereinthe performing of the first developing process includes heating the first developer to a first temperature before applied the first developer to the photoresist layer; andthe performing of the second developing process includes applying the second developer having a second temperature lower than the first temperature.4. The method of claim 3 , wherein the performing of the first developing process includes heating the first developer to the first temperature in a range greater than the room temperature and less than 75° C.5. The method of claim 2 , wherein the first developing process is performed before the second developing process.6. The method of claim 2 , wherein the first developing process is ...

Подробнее
21-06-2018 дата публикации

Extreme Ultraviolet Photoresist With High-Efficiency Electron Transfer

Номер: US20180173101A1

A method includes forming a photoresist layer over a substrate, wherein the photoresist layer includes a polymer, a sensitizer, and a photo-acid generator (PAG), wherein the sensitizer includes a resonance ring that includes nitrogen and at least one double bond. The method further includes performing an exposing process to the photoresist layer. The method further includes developing the photoresist layer, thereby forming a patterned photoresist layer.

Подробнее
22-06-2017 дата публикации

COLOR PHOTORESIST AND ITS USE, COLOR FILM SUBSTRATE, DISPLAY PANEL AND LIQUID CRYSTAL DISPLAY

Номер: US20170176811A1
Принадлежит:

The present invention discloses a color photoresist and its use, a color film substrate, a display panel and a liquid crystal display, which pertains to the field of photosensitive materials. The color photoresist comprises a photoinitiator and QDs. The photoinitiator is a first photoinitiator containing no electron-rich group or a second photoinitiator containing an electron-rich group. The second photoinitiator comprises a conjugation structure, and the conjugation structure consists of the electron-rich group and an adjacent group of the electron-rich group. The color photoresist provided in embodiments of the present invention contains QDs which emit light normally. The color film substrate prepared by using the color photoresist has a high color gamut and can effectively improve the picture quality of the liquid crystal display. 1. A color photoresist , comprising a photoinitiator and QDs ,wherein the photoinitiator is a first photoinitiator containing no electron-rich group or a second photoinitiator containing an electron-rich group,the second photoinitiator comprising a conjugation structure, and the conjugation structure consists of the electron-rich group and an adjacent group of the electron-rich group.2. The color photoresist according to claim 1 , wherein in the second photoinitiator claim 1 , the number of the electron-rich group is 1 or 2.3. The color photoresist according to claim 2 , wherein the second photoinitiator is of a full-conjugation molecular structure.4. The color photoresist according to claim 1 , wherein in the second photoinitiator claim 1 , the electron-rich group is coplanar with the adjacent group of the electron-rich group.5. The color photoresist according to claim 4 , wherein all atoms in the second photoinitiator are coplanar.6. The color photoresist according to claim 1 , wherein the second photoinitiator is 1-[4-(phenylthio)phenyl]-1 claim 1 ,2-octanedione 2-(O-benzoyloxime) claim 1 , 1-[9-ethyl-6-(2-methylbenzoyl)-9H-carbazol- ...

Подробнее
29-06-2017 дата публикации

Pattern-forming method

Номер: US20170184960A1
Принадлежит: JSR Corp

A pattern-forming method includes applying a radiation-sensitive composition on a substrate to provide a film on the substrate. The film is exposed. The film exposed is developed. The radiation-sensitive composition includes a metal-containing component that is a metal compound having a hydrolyzable group, a hydrolysis product of the metal compound having a hydrolyzable group, a hydrolytic condensation product of the metal compound having a hydrolyzable group, or a combination thereof. A content of a transition metal atom in the metal-containing component with respect to total metal atoms in the metal-containing component is no less than 50 atomic %.

Подробнее
29-06-2017 дата публикации

Pattern-forming method

Номер: US20170184961A1
Принадлежит: JSR Corp

A pattern-forming method includes applying a radiation-sensitive composition comprising a complex on a substrate to provide a film on the substrate. The film is exposed. The film exposed is developed. The complex includes: a metal-containing component that is a transition metal compound having a hydrolyzable group, a hydrolysis product of the transition metal compound having a hydrolyzable group, a hydrolytic condensation product of the transition metal compound having a hydrolyzable group, or a combination thereof; and an organic compound represented by formula (1). In the formula (1), R 1 represents an organic group having a valency of n, n being an integer of 1 to 4. In a case where n is 1, X represents —COOH. In a case where n is 2 to 4, X represents —OH, —COOH, —NCO, —NHR a , —COOR A or —CO—C(R L ) 2 —CO—R A . R 1 X) n   (1)

Подробнее
15-07-2021 дата публикации

PHOTORESIST MATERIAL, METHOD OF FABCRICATING SAME, AND COLOR FILTER SUBSTRATE

Номер: US20210216011A1
Автор: AI Lin

A photoresist material, a method of fabricating the same, and a color filter substrate are described. The photoresist material has an oligomer segment having a chemical structural formula of: 3. The method of fabricating the photoresist material according to claim 2 , wherein a molar ratio of the compound 1 to the sodium hydride is 4:1; and a molar ratio of the compound 1 to the compound 2 is 4:1.5.5. The method of fabricating the photoresist material according to claim 4 , wherein:a molar ratio of the compound 3 to the oligomer segment is 1:1.6. The method of fabricating the photoresist material according to claim 4 , wherein R in the oligomer segment comprises:at least one of non-conjugated linear alkanes, branched alkanes, alkoxy alkane, halogen-substituted alkane derivatives, conjugate structures linked through alkoxy and ester groups, or compounds containing heterocycles; wherein the heterocyclic compound includes at least one of a five-membered heterocyclic ring compound, a six-membered heterocyclic ring compound, or a benzoheterocyclic compound;wherein the five-membered heterocyclic compound comprises at least one of furan, thiophene, pyrrole, thiazole, and imidazole; andwherein the six-membered heterocyclic compound comprises at least one of pyridine, pyrazine, pyrimidine, and pyridazine.7. The method of fabricating the photoresist material according to claim 6 , wherein carbon chain length in R structure is 1 to 3.8. The method of fabricating the photoresist material according to claim 4 , wherein the catalyst is pentamethylcyclopentadienyl ruthenium chloride.10. A color filter substrate claim 1 , comprising a color resist layer claim 1 , wherein material of the color resist layer comprises the photoresist material according to . The present application relates to photoresists, and more particularly to a photoresist material, method of fabricating the same, and a color filter substrate.Liquid crystal displays rely on color-resist materials to re-display ...

Подробнее
11-06-2020 дата публикации

Photoactive Catalyst Compositions

Номер: US20200183276A1
Автор: WEITEKAMP Raymond A.
Принадлежит:

The present disclosure is directed to photosensitive compositions ‘Fischer-type’ ruthenium carbene catalysts containing chelated 2,2′-bipyridine ligands and methods of using the same. These catalysts are surprisingly active even when using relatively low intensity diode light sources. The 2,2′-bipyridine-chelated ruthenium photocatalysts show reactivity at substantially lower exposure levels than other photoactive chelating dinitrogen ligands of similar structure. The present disclosure is further directed to novel photosensitive compositions, their use as photoresists, and methods related to patterning polymer layers on substrates. 2. The method of claim 1 , wherein the adjacent layers are applied successively using spray coating or inkjet 3D printing to provide a stacked layer structure.3. The method of claim 1 , wherein the photosensitive composition is processed using a vat polymerization method.4. The method of claim 3 , wherein the photochemical curing is done using stereolithography claim 3 , holography claim 3 , or digital light projection (DLP)5. The method of claim 3 , wherein the photosensitive composition is cured directly onto a translated or rotated substrate.6. The method of claim 1 , wherein Ris H claim 1 , Ris Calkyl claim 1 , and Y is O.7. The method of claim 1 , wherein Q is —CH—CH— and either Ror R claim 1 , or both Rand Rare phenyl groups claim 1 , optionally substituted in the 2 claim 1 , 6 positions with independent Calkyl groups.8. The method of claim 1 , wherein Q is —CH—CH— and Rand Rare independently mesityl or optionally substituted adamantyl.9. The method of claim 1 , wherein Rand Rare independently H claim 1 , methyl claim 1 , ethyl claim 1 , propyl claim 1 , butyl claim 1 , methoxy claim 1 , trifluoromethyl claim 1 , fluoro claim 1 , chloro claim 1 , bromo claim 1 , cyano claim 1 , or nitro.12. The method of claim 1 , wherein the ruthenium carbene metathesis catalyst is present at a concentration in a range of from about 0.001% to ...

Подробнее
12-07-2018 дата публикации

ARCHITECTED THREE DIMENSIONAL GRAPHENE VIA ADDITIVE MANUFACTURING

Номер: US20180196345A1

Disclosed here is a method for making an architected three-dimensional aerogel, comprising providing a photoresin comprising a solvent, a photoinitiator, a crosslinkable polymer precursor, and a precursor for graphene, metal oxide or metal chalcogenide; curing the photoresin using projection microstereolithography layer-by-layer to produce a wet gel having a pre-designed three dimensional structure; drying the wet gel to produce a dry gel; and pyrolyzing the dry gel to produce an architected three-dimensional aerogel. Also disclosure is a photoresin for projection microstereolithography, comprising a solvent, a photoinitiator, a crosslinkable polymer precursor, and graphene oxide. 1. A method for making an architected three-dimensional aerogel , comprising:providing a photoresin comprising a solvent, a photoinitiator, a crosslinkable polymer precursor, and a precursor for graphene, metal oxide or metal chalcogenide;curing the photoresin using projection microstereolithography layer-by-layer to produce a wet gel having a pre-designed three dimensional structure;drying the wet gel to produce a dry gel; andpyrolyzing the dry gel to produce an architected three-dimensional aerogel.2. The method of claim 1 , wherein the solvent comprises an organic solvent.3. The method of claim 1 , wherein the solvent comprises water.4. The method of claim 1 , wherein the photoinitiator is soluble in an organic solvent.5. The method of claim 1 , wherein the photoinitiator is soluble in water.6. The method of claim 1 , wherein the photoinitiator comprises lithium phenyl(2 claim 1 ,4 claim 1 ,6-trimethylbenzoyl)phosphinate.7. The method of claim 1 , wherein the crosslinkable polymer precursor comprise a non-aromatic prepolymer that is substantially removable by pyrolysis claim 1 , and/or an aromatic prepolymer.8. The method of claim 1 , wherein the crosslinkable polymer precursor comprises polyethylene glycol diacrylate (PEGDA) and Bisphenol F ethoxylate (2 EO/phenol) diacrylate (BisF).9. ...

Подробнее
28-07-2016 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: US20160216606A1
Принадлежит:

Organometallic solutions have been found to provide high resolution radiation based patterning using thin coatings. The patterning can involve irradiation of the coated surface with a selected pattern and developing the pattern with a developing agent to form the developed image. The patternable coatings may be susceptible to positive-tone patterning or negative-tone patterning based on the use of an organic developing agent or an aqueous acid or base developing agent. The radiation sensitive coatings can comprise a metal oxo/hydroxo network with organic ligands. A precursor solution can comprise an organic liquid and metal polynuclear oxo-hydroxo cations with organic ligands having metal carbon bonds and/or metal carboxylate bonds. 1. A precursor solution comprising an organic liquid and metal polynuclear oxo/hydroxo cations with branched alkyl ligands having metal carbon bonds with a metal concentration from about 0.01M to about 1.4M.2. The precursor solution of wherein the organic liquid comprises an alcohol.3. The precursor solution of wherein the organic liquid comprises an ester or a ketone.4. The precursor solution of wherein the branched alkyl ligands comprise t-butyl ligands.5. The precursor solution of wherein the polynuclear oxo/hydroxo cations have one of more M-OH linkages claim 1 , M-O-M linkages claim 1 , or a combination thereof.6. The precursor solution of wherein the metal is tin.7. A patterned substrate comprising a substrate with a surface and a first coating at selected regions along the surface and absent at other regions along the surface claim 1 , the first coating comprising metal oxo-hydroxo network and branched alkyl ligands with metal cation having organic ligands with metal carbon bonds and/or with metal carboxylate bonds claim 1 , wherein the first coating is soluble in at least one organic liquid.8. The patterned substrate of wherein the branched alkyl ligands comprise t-butyl ligands.9. The patterned structure of wherein the first ...

Подробнее
04-07-2019 дата публикации

Monomers, polymers and lithographic compositions comprising same

Номер: US20190202955A1
Принадлежит: Rohm and Haas Electronic Materials LLC

New monomer and polymer materials that comprise one or more Te atoms. In one aspect, tellurium-containing monomers and polymers are provided that are useful for Extreme Ultraviolet Lithography.

Подробнее
25-06-2020 дата публикации

SECONDARY ELECTRON GENERATING COMPOSITION

Номер: US20200201174A1
Принадлежит: THE UNIVERSITY OF MANCHESTER

The present invention relates to a resist composition, especially for use in the production of electronic components via electron beam lithography. In addition to the usual base polymeric component (resist polymer), a secondary electron generator is included in resist compositions of the invention in order to promote secondary electron generation. This unique combination of components increases the exposure sensitivity of resists in a controlled fashion which facilitates the effective production of high-resolution patterned substrates (and consequential electronic components), but at much higher write speeds. 2. The SEG composition as claimed in claim 1 , wherein the SEG composition is a resist composition claim 1 , optionally an electron beam resist composition and/or a photoresist composition.3. (canceled)4. (canceled)5. The SEG composition as claimed claim 1 , wherein the secondary electron generator or compound(s) thereof has a Zof at least 20 units higher than the base component claim 1 , a density greater than that of the base component claim 1 , or a density greater than or equal to 2.5 g/cm.6. (canceled)7. (canceled)8. The SEG composition as claimed in claim 1 , wherein the secondary electron generator is or comprises a metal compound comprising a metal species having an oxidation state of +1 or higher and an atomic number (Z) greater than or equal to 57.9. (canceled)10. (canceled)11. (canceled)12. The SEG composition as claimed claim 1 , wherein the secondary electron generator is soluble in the SEG composition.13. The SEG composition as claimed claim 1 , wherein the base component is or comprises a compound having an effective atomic number (Z) less than or equal to 10.1420-. (canceled)2233-. (canceled)35. A method of manufacturing an integrated circuit package claim 1 , the integrated circuit package comprising a plurality of pins and an integrated circuit die with external contact terminals conductively connected to the corresponding plurality of pins ...

Подробнее
02-07-2020 дата публикации

CHEMICALLY AMPLIFIED PHOTOSENSITIVE COMPOSITION, PHOTOSENSITIVE DRY FILM, METHOD OF MANUFACTURING PATTERNED RESIST FILM, METHOD OF MANUFACTURING SUBSTRATE WITH TEMPLATE, METHOD OF MANUFACTURING PLATED ARTICLE, AND COMPOUND

Номер: US20200209739A1
Принадлежит:

A chemically amplified photosensitive composition which forms a resist pattern whose cross-sectional shape is rectangular, and which has a wide depth of focus margin; a photosensitive dry film having a photosensitive layer made from the composition; a method of manufacturing a patterned-resist film using the composition; a method of manufacturing a substrate with a template using the composition; a method of manufacturing a plated article using the substrate with a template; and a novel compound. An acid diffusion suppressing agent having a specific structure is blended into the composition including an acid generator which generates acid upon exposure to an irradiated active ray or radiation. 2. The chemically amplified photosensitive composition according to claim 1 , wherein Aincludes an aromatic group optionally having a substituent.3. The chemically amplified photosensitive composition according to claim 1 , wherein Lis a divalent group selected from the group consisting of —O— claim 1 , —CO— claim 1 , —COO— claim 1 , —OCOO— claim 1 , —NH— claim 1 , —CONH— claim 1 , —NHCONH— claim 1 , —S— claim 1 , —SO— claim 1 , and —SO—.5. The chemically amplified photosensitive composition according to claim 4 , wherein Lis —COO—.6. The chemically amplified photosensitive composition according to claim 1 , wherein said composition is a positive type.7. The chemically amplified photosensitive composition according to claim 6 , further comprising a resin (B) whose solubility in alkali increases under an action of acid.8. The chemically amplified photosensitive composition according to claim 6 , further comprising an alkali-soluble resin (D).9. The chemically amplified photosensitive composition according to claim 8 , wherein the alkali-soluble resin (D) comprises at least one resin selected from the group consisting of a novolac resin (D1) claim 8 , a polyhydroxystyrene resin (D2) claim 8 , and an acrylic resin (D3).10. The chemically amplified photosensitive composition ...

Подробнее
02-07-2020 дата публикации

APPARATUSES FOR REDUCING METAL RESIDUE IN EDGE BEAD REGION FROM METAL-CONTAINING RESISTS

Номер: US20200209756A1
Принадлежит:

Apparatuses and methods are described for removing edge bead on a wafer associated with a resist coating comprising a metal containing resist compositions. The methods can comprise applying a first bead edge rinse solution along a wafer edge following spin coating of the wafer with the metal based resist composition, wherein the edge bead solution comprises an organic solvent and an additive comprising a carboxylic acid, an inorganic fluorinated acid, a tetraalkylammonium compound, or a mixture thereof. Alternatively or additionally, the methods can comprise applying a protective composition to the wafer prior to performing an edge bead rinse. The protective composition can be a sacrificial material or an anti-adhesion material and can be applied only to the wafer edge or across the entire wafer in the case of the protective composition. Corresponding apparatuses for processing the wafers using these methods are presented. 1. An apparatus comprising:a spindle comprising a wafer support, wherein the spindle is operably connected to a motor configured to rotate the spindle;a dispenser with a nozzle configured to deposit fluid along an edge of a wafer mounted on the spindle; anda reservoir of fluid configured to deliver the fluid to the nozzle for dispensing, wherein the fluid comprises an organic solvent with an additive comprising a surface modification agent, an acidic compound, a tetraalkylammonium compound, or mixtures thereof.2. The apparatus of wherein the spindle has a hollow core and the wafer support comprises a negative pressure device configured to apply negative pressure within the hollow core to hold a wafer supported on the spindle based on the negative pressure.3. The apparatus of wherein the organic solvent comprises a glycol ether or ester thereof claim 1 , an alcohol claim 1 , a ketone claim 1 , a liquid cyclic carbonate claim 1 , or a mixture thereof.4. The apparatus of wherein the organic solvent comprises propylene glycol methyl ether (PGME) claim ...

Подробнее
12-08-2021 дата публикации

METHOD OF FORMING AN ENHANCED UNEXPOSED PHOTORESIST LAYER

Номер: US20210247693A1
Принадлежит:

The method relates to a method of forming an enhanced unexposed photoresist layer from an unexposed photoresist layer on a substrate by increasing the sensitivity of the unexposed photoresist to exposure radiation. The method comprises: providing the substrate with the unexposed photoresist layer in a reaction chamber; providing a first precursor comprising a portion of a photosensitizer sensitive to exposure radiation in the reaction chamber; and, infiltrating the unexposed photoresist layer on the substrate with the first precursor. 2. The system of claim 1 , further comprising a gas precursor delivery system.3. The system of claim 2 , wherein the a gas precursor delivery system comprises first precursor source claim 2 , a second precursor source claim 2 , and a purge gas source.4. The system of claim 1 , wherein the reactor comprise a direct plasma apparatus.5. The system of claim 1 , wherein the reactor comprise a remote plasma apparatus.6. The system of claim 1 , wherein the substrate holder receives a single substrate.7. The system of claim 1 , wherein the substrate holder receives 2 to 25 substrates.8. The system of claim 1 , wherein the substrate holder receives 26 to 200 substrates.9. The system of claim 1 , further comprising a second reaction chamber.10. The system of claim 9 , further comprising a common precursor removal system fluidly coupled to the first reaction chamber and the second reaction chamber.11. The system of claim 9 , further comprising a common precursor delivery system fluidly coupled to the first reaction chamber and the second reaction chamber.12. The system of claim 9 , further comprising a common purge system fluidly coupled to the first reaction chamber and the second reaction chamber.13. The system of claim 1 , wherein the temperature control system is configured to control surfaces in the reactor chamber to a temperature between 50° C. and 150° C.14. The system of claim 1 , wherein the system is further configured to first ...

Подробнее
09-07-2020 дата публикации

RESIN FORMULATIONS FOR POLYMER-DERIVED CERAMIC MATERIALS

Номер: US20200216617A1
Автор: ECKEL Zak C.
Принадлежит:

This disclosure enables direct 3D printing of preceramic polymers, which can be converted to fully dense ceramics. Some variations provide a preceramic resin formulation comprising a molecule with two or more C═X double bonds or C≡X triple bonds, wherein X is selected from C, S, N, or O, and wherein the molecule further comprises at least one non-carbon atom selected from Si, B, Al, Ti, Zn, P, Ge, S, N, or O; a photoinitiator; a free-radical inhibitor; and a 3D-printing resolution agent. The disclosed preceramic resin formulations can be 3D-printed using stereolithography into objects with complex shape. The polymeric objects may be directly converted to fully dense ceramics with properties that approach the theoretical maximum strength of the base materials. Low-cost structures are obtained that are lightweight, strong, and stiff, but stable in the presence of a high-temperature oxidizing environment. 1. A preceramic resin formulation comprising:(a) first molecules comprising two or more C═X double bonds, two or more C≡X triple bonds, or at least one C═X double bond and at least one C≡X triple bond, wherein X is selected from the group consisting of C, S, N, O, and combinations thereof, and wherein said first molecule further comprises at least one non-carbon atom selected from the group consisting of Si, B, Al, Ti, Zn, P, Ge, S, N, O, and combinations thereof;(b) second molecules comprising R—Y—H;wherein R is an organic group or an inorganic group; wherein, for at least one of said second molecules, R comprises a group having at least one Si atom; and wherein Y is selected from the group consisting of S, N, O, and combinations thereof;(c) a photoinitiator;(d) a free-radical inhibitor; and(e) a 3D-printing resolution agent.2. The preceramic resin formulation of claim 1 , wherein said first molecules comprise one or more functional groups selected from the group consisting of vinyl claim 1 , ethynyl claim 1 , vinyl ether claim 1 , vinyl ester claim 1 , vinyl amide ...

Подробнее
25-07-2019 дата публикации

Carbide, Nitride And Silicide Enhancers For Laser Absorption

Номер: US20190225000A1
Принадлежит:

A universal or all-purpose laser marking composition for forming satisfactorily dark laser marks on a wide variety of substrates is provided. The marking composition comprises an enhancer of nitrides, carbides, silicides, and combinations thereof. The enhancer may be selected one or more of ferromanganese, ferrosilicon, FeSiwhere X can range from about 0.005 to 0.995, FeSi, MgFeSi, SiC, CaSi, (Co)Mo, MoSi, TiSi, ZrSi, WSi, MnSi, YSi, CuSi, NiSi, FeC, FeCand FeC, MoC, MoC, MoC, YC, WC, AlC, MgC, MgC, CaC, LaC, TaC, FeN, FeN, FeN, FeN, FeN, MoN, MoN, WN, WN, WN, and combinations thereof and combinations thereof. Upon disposing the marking composition on a substrate and exposing the marking composition to laser radiation, the marking composition absorbs the laser radiation, increases in temperature, chemically bonds with the substrate, and when formed on each of a metal, glass, ceramic, stone, and plastic substrates, the mark has a negative ΔL dark contrast value of at least −1 compared to a mark formed by the marking composition without the enhancer. 1. A marking composition for forming marks or indicia on a substrate upon laser irradiation , the marking composition comprising an enhancer selected from the group consisting of nitrides , carbides , silicides , and combinations thereof , upon disposing the marking composition on a substrate and exposing the marking composition to laser radiation, the marking composition absorbs the laser radiation, increases in temperature, chemically bonds with the substrate, and forms a fused mark on the substrate having a luminance, color value, or degrees of opacity that provides visual contrast with the substrate, and', 'when formed on each of a metal, glass, ceramic, stone, and plastic substrates, the mark has a negative ΔL dark contrast value of at least −1 compared to a mark formed by the marking composition without the enhancer., 'wherein2. The marking composition according to claim 1 , wherein the enhancer is selected from the ...

Подробнее
06-11-2014 дата публикации

Method Of Fabricating Substrate For Organic Light-Emitting Device

Номер: US20140329180A1

A substrate for an organic light-emitting device which can improve the light extraction efficiency of an organic light-emitting device while realizing an intended level of transmittance, a method of fabricating the same, and an organic light-emitting device having the same. Light emitted from the OLED is emitted outward through the substrate. The substrate includes a substrate body and a number of crystallized particles disposed inside the substrate body, the number of crystallized particles forming a pattern inside the substrate body.

Подробнее
13-11-2014 дата публикации

Method and apparatus for the formation of conductive films on a substrate

Номер: US20140333916A1
Автор: Alexander Bessonov
Принадлежит: Nokia Oyj

Provided herein are a method and apparatus for the formation of conductive films on a substrate using precise sintering of a conductive film and thermal management of the substrate during sintering. In particular, a method may include depositing a conductive metal-based ink on a translucent or transparent substrate, positioning a mask between the deposited conductive metal-based ink and a light source, exposing the mask and the underlying deposited conductive metal-based ink to the light source, sintering the conductive metal-based ink exposed to the light source, and cleaning the non-sintered conductive metal-based ink from the translucent or transparent substrate. The mask may be configured to shield at least a portion of the conductive metal-based ink from the light source. The portion of the conductive metal-based ink shielded from the light source may remain non-sintered in response to the sintering of the conductive metal-based ink exposed to the light source.

Подробнее
30-07-2020 дата публикации

MONOALKYL TIN TRIALKOXIDES AND/OR MONOALKYL TIN TRIAMIDES WITH PARTICULATE CONTAMINATION AND CORRESPONDING METHODS

Номер: US20200239498A1
Принадлежит:

The purification of monoalkyl tin trialkoxides and monoalkyl tin triamides are described using fractional distillation and/or ultrafiltration. The purified compositions are useful as radiation sensitive patterning compositions or precursors thereof. The fractional distillation process has been found to be effective for the removal of metal impurities down to very low levels. The ultrafiltration processes have been found to be effective at removal of fine particulates. Commercially practical processing techniques are described. 1. A composition comprising a solvent and monoalkyl tin trialkoxide (RSn(OR′)) or monoalkyl tin triamide (RSn(NR′)) with a tin concentration from about 0.005M to about 0.5M and having no more than about 40 particles per mL with a particle size of at least about 70 nm.2. The composition of wherein solvent comprises an alcohol or mixture of alcohols.3. The composition of having a tin concentration from about 0.01M to about 0.25M claim 1 , having no more than about 5 particles per mL with a particle size of at least about 100 nm as determined by light scattering.4. The composition of comprising a monoalkyl tin trialkoxide.5. The composition of wherein R is a branched alkyl ligand represented by RRRC— claim 1 , where Rand Rare independently an alkyl group with 1-10 carbon atoms claim 1 , and Ris hydrogen or an alkyl group with 1-10 carbon atoms.6. The composition of wherein R comprises methyl (CH—) claim 1 , ethyl (CHCH—) claim 1 , isopropyl (CHCHHC—) claim 1 , t-butyl ((CH)C—) claim 1 , t-amyl (CHCH(CH)C—) claim 1 , sec-butyl (CH(CHCH)CH—) claim 1 , neopentyl (CH)CCH—) claim 1 , cyclohexyl claim 1 , cyclopentyl claim 1 , cyclobutyl claim 1 , or cyclopropyl.7. The composition of further comprising a monoalkyl tin trialkoxide (RSn(OR″)) or a monoalkyl tin triamide (RSn(NR′)) wherein R is different from Rand R′ is the same or different from R″.8. The composition of wherein R′ comprises a methyl group claim 1 , ethyl group claim 1 , isopropyl group ...

Подробнее
08-08-2019 дата публикации

PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE RESIN LAYER USING THE SAME, AND COLOR FILTER

Номер: US20190243242A1
Принадлежит:

A photosensitive resin composition for producing a photosensitive resin film is provided, along with the manufactured photosensitive resin film and a color filter including the photosensitive resin layer. The photosensitive resin composition includes: (A) a quantum dot; (B) a binder resin having a weight average molecular weight of about 2,000 g/mol to about 12,000 g/mol; (C) a photopolymerizable monomer; (D) a photopolymerization initiator; and (E) a solvent. 4. The photosensitive resin composition of claim 1 , wherein the photosensitive resin composition further comprises a scatterer.5. The photosensitive resin composition of claim 4 , wherein the scatterer comprises barium sulfate claim 4 , calcium carbonate claim 4 , titanium dioxide claim 4 , zirconia claim 4 , or a combination thereof.6. The photosensitive resin composition of claim 1 , wherein the photosensitive resin composition further comprises a thiol-based additive.8. The photosensitive resin composition of claim 1 , wherein the quantum dot is to absorb light of about 360 nm to about 780 nm and is to emit fluorescence of about 500 nm to about 700 nm.9. The photosensitive resin composition of claim 1 , wherein the photosensitive resin composition further comprises a polymerization inhibitor.10. The photosensitive resin composition of claim 9 , wherein the polymerization inhibitor is included in an amount of about 0.01 wt % to about 2 wt % based on a total solid amount of the photosensitive resin composition.11. The photosensitive resin composition of claim 9 , wherein the polymerization inhibitor comprises a hydroquinone-based compound claim 9 , a catechol-based compound claim 9 , or a combination thereof.12. The photosensitive resin composition of claim 1 , wherein the photosensitive resin composition comprises:about 1 wt % to about 20 wt % of (A) the quantum dot;about 1 wt % to about 30 wt % of (B) the binder resin;about 1 wt % to about 15 wt % of (C) the photopolymerizable monomer;about 0.1 wt % to ...

Подробнее
08-08-2019 дата публикации

METAL-CONTAINING ONIUM SALT COMPOUND, PHOTODEGRADABLE BASE, RESIST COMPOSITION, AND METHOD FOR MANUFACTURING DEVICE USING SAID RESIST COMPOSITION

Номер: US20190243243A1
Принадлежит: TOYO GOSEI CO., LTD.

A metal-containing onium salt compound suitable for use as a photodegradable base of a resist composition and a resist composition using the metal-containing onium salt compound are provided, the resist composition having excellent sensitivity to ionizing radiation such as extreme ultraviolet (EUV), excellent resolution and focal depth in lithography, and can reduce line width roughness (LWR) in a fine pattern. The onium salt compound including a specific metal is used as the photodegradable base. 1. A metal-containing onium salt compound represented by the following Formula (1) ,{'br': None, 'sup': 1', '1', '+', '2', '−, 'sub': 3', 'n, '(R\ue8a0M-Ar—Y\ue8a0R)X\u2003\u2003 (1)'}wherein in the above Formula (1):{'sup': 1', '2, 'each of Rand Ris independently an alkyl group having 1 to 20 carbon atoms or an aryl group having 5 to 20 carbon atoms, where a part or all of hydrogen atoms of the alkyl group and the aryl group may be substituted;'}{'sup': '1', 'Aris an arylene group having 5 to 20 carbon atoms, where a part or all of hydrogen atoms of the arylene group may be substituted;'}the alkyl group may contain a hetero atom-containing group instead of at least one methylene group thereof, and the aryl group and the arylene group may contain a hetero atom instead of at least one carbon atom in ring structure thereof;M is any one selected from the group consisting of Ge, Sn and Pb;Y is any one selected from the group consisting of an iodine atom, a sulfur atom, a selenium atom and a tellurium atom;n is 1 when Y is the iodine atom, and n is 2 when Y is any one selected from the group consisting of the sulfur atom, the selenium atom and the tellurium atom;{'sup': 1', '2, 'any two or more of Arand two Rmay be bonded to each other to form a ring structure with Y bonded thereto, and the ring structure may contain a hetero atom; and'}{'sup': '−', 'Xis an anion.'}2. The metal-containing onium salt compound according to claim 1 , wherein M is Sn.4. A photodegradable base ...

Подробнее
30-07-2020 дата публикации

MONOALKYL TIN TRIALKOXIDES AND/OR MONOALKYL TIN TRIAMIDES WITH LOW METAL CONTAMINATION AND/OR PARTICULATE CONTAMINATION, AND CORRESPONDING METHODS

Номер: US20200241413A1
Принадлежит:

The purification of monoalkyl tin trialkoxides and monoalkyl tin triamides are described using fractional distillation and/or ultrafiltration. The purified compositions are useful as radiation sensitive patterning compositions or precursors thereof. The fractional distillation process has been found to be effective for the removal of metal impurities down to very low levels. The ultrafiltration processes have been found to be effective at removal of fine particulates. Commercially practical processing techniques are described. 1. A composition comprising a solvent and a monoalkyl tin trialkoxide (RSn(OR′)) having a tin concentration from about 0.004M to about 1.4M and a contamination with other metals or metalloid elements of each no more than 10 parts-per-billion (ppb) by mass.2. The composition of wherein the solvent comprises an alcohol or mixture of alcohols.3. The composition of wherein R is a branched alkyl ligand represented by RRRC— claim 1 , where Rand Rare independently an alkyl group with 1-10 carbon atoms claim 1 , and Ris hydrogen or an alkyl group with 1-10 carbon atoms.4. The composition of wherein R comprises methyl (CH—) claim 1 , ethyl (CHCH—) claim 1 , isopropyl (CHCHHC—) claim 1 , t-butyl ((CH)C—) claim 1 , t-amyl (CHCH(CH)C—) claim 1 , sec-butyl (CH(CHCH)CH—) claim 1 , neopentyl (CH)CCH—) claim 1 , cyclohexyl claim 1 , cyclopentyl claim 1 , cyclobutyl claim 1 , or cyclopropyl.5. The composition of further comprising a monoalkyl tin trialkoxide (RSn(OR″)) wherein R is different from Rand R′ is the same or different from R″.6. The composition of wherein R′ comprises a methyl group claim 1 , ethyl group claim 1 , isopropyl group claim 1 , t-butyl group claim 1 , or t-amyl group.7. The composition of comprising no more than about 1 mole % dialkyltin compounds.8. The composition of having a contamination with non-tin metals or metalloid elements of each no more than 2.5 parts-per-billion (ppb) by mass.9. The composition of having a tin concentration ...

Подробнее
06-08-2020 дата публикации

PHOTOACTIVE, INORGANIC LIGAND-CAPPED INORGANIC NANOCRYSTALS

Номер: US20200249570A1
Принадлежит:

Ligand-capped inorganic particles, films composed of the ligand-capped inorganic particles, and methods of patterning the films are provided. Also provided are electronic, photonic, and optoelectronic devices that incorporate the films. The ligands that are bound to the inorganic particles are composed of a cation/anion pair. The anion of the pair is bound to the surface of the particle and at least one of the anion and the cation is photosensitive. 1. A composition comprising:inorganic crystals, each crystal having a surface;inorganic anions bound to the surfaces of the inorganic crystals; andphotosensitive cations or non-ionic photoacid generators.2. (canceled)3. The composition of claim 1 , wherein the inorganic anions comprise metal halides claim 1 , metal chalcogenides claim 1 , metal oxides claim 1 , chalcogenides claim 1 , halides claim 1 , O claim 1 , pseudohalides selected from CN claim 1 , N claim 1 , SCN claim 1 , and OCN claim 1 , or a combination of two or more thereof.4. The composition of claim 1 , wherein the composition comprises the photosensitive cations and the photosensitive cations are photoacid generator cations.5. The composition of claim 4 , wherein the photoacid generator cations comprise diaryliodonium claim 4 , triarylsulfonium claim 4 , diarylalkylsulfonium claim 4 , or a combination thereof.6. The composition of claim 5 , wherein the inorganic anions and photoacid generator cations form ligands having the formula A-MX claim 5 , A-MX claim 5 , or A-MXwherein A represents the diaryliodonium claim 5 , triarylsulfonium claim 5 , diarylalkylsulfonium claim 5 , or the combination thereof claim 5 , M represents a metal atom claim 5 , X represents a halogen atom claim 5 , and where n=2 claim 5 , 3 claim 5 , or 4.7. The composition of claim 4 , wherein the photoacid generator cations comprise bis(4-tert-butylphenyl)iodonium claim 4 , boc-methoxyphenyldiphenylsulfonium claim 4 , (tert-butoxycarbonylmethoxynaphthyl)-diphenylsulfonium claim 4 , (4- ...

Подробнее
21-10-2021 дата публикации

METHOD FOR PRODUCING RESIST FILM

Номер: US20210325780A1
Принадлежит:

A method of producing a resist film includes: a laminating step of fabricating a workpiece by laminating the resist film on an etching target film; and an infiltration step of exposing the workpiece to a gas of a precursor containing a metal having a higher EUV light absorption rate than carbon to infiltrate the metal into the resist film. 1. A method of producing a resist film , the method comprising:a laminating step of fabricating a workpiece by laminating the resist film on an etching target film; andan infiltration step of exposing the workpiece to a gas of a precursor containing a metal having a higher EUV light absorption rate than carbon to infiltrate the metal into the resist film.2. The method of claim 1 , further comprising:an exposure step of exposing the workpiece to a water vapor after the infiltration step.3. The method of claim 2 , further comprising:a first purging step of purging a surface of the workpiece using an inert gas after the infiltration step and before the exposure step.4. The method of claim 3 , further comprising:a second purging step of purging the surface of the workpiece using the inert gas after the exposure step.5. The method of claim 4 , wherein the infiltration step claim 4 , the first purging step claim 4 , the exposure step claim 4 , and the second purging step are repeated twice or more in that order.6. The method of claim 1 , wherein the metal is one selected from a group consisting of tin and tellurium.7. The method of claim 1 , wherein the precursor is one selected from a group consisting of tributyltin claim 1 , bis(trimethylsilyl)telluride claim 1 , and diisopropyl tellurium.8. The method of claim 1 , wherein the metal is one selected from a group consisting of sodium claim 1 , magnesium and aluminum.9. The method of claim 1 , wherein the metal is one selected from a group consisting of indium claim 1 , antimony and cesium. This is a National Phase Application filed under 35 U.S.C. 371 as a national stage of PCT/JP2019/ ...

Подробнее