Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 989. Отображено 194.
16-04-2014 дата публикации

Charged particle beam device

Номер: TW0201415013A
Принадлежит:

The CMP method of prior arts for inspecting specific die defects requires lengthy time to perform overall wafer inspection, and complete destroy is inevitable. Further, the probe test method cannot infer the presence of voids through the resistance value, and the occurrence location of a void in the wiring or its size cannot be known, and the final detection of fine voids or voids inside the wiring must be processed in such a way that the wiring cross-section can be observed in FIB and observed by SEM or TEM. The solution means is to irradiate electron beams at a plurality of accelerating voltages on the same location of a specimen, and differentiate between dies and voids according to the contrast variation amount of the same location in a plurality of images taken in correspondence to the plurality of accelerating voltages, respectively.

Подробнее
06-12-2007 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM AND METHOD

Номер: US20070278417A1
Принадлежит:

Various aspects of the invention provide improved approaches and methods for efficiently: Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system; Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source; Ionizing the decaborane into a large fraction of B10Hx+; Preventing thermal dissociation of decaborane; Limiting charge-exchange and low energy electron-induced fragmentation of B10Hx+; Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam; Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam; Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through the ionization chamber to a thermally isolated beam dump; Providing ...

Подробнее
18-03-2010 дата публикации

ADJUSTABLE DEFLECTION OPTICS FOR ION IMPLANTATION

Номер: US20100065761A1
Принадлежит: Axcelis Technologies, Inc.

A deflection component suitable for use in an ion implantation system comprises multiple electrodes that can be selectively biased to cause an ion beam passing therethrough to bend, deflect, focus, converge, diverge, accelerate, decelerate, and/or decontaminate. Since the electrodes can be selectively biased, and thus one or more of them can remain unbiased or off, the effective length of the beam path can be selectively adjusted as desired (e.g., based upon beam properties, such as energy, dose, species, etc.).

Подробнее
25-09-2007 дата публикации

Charged particle beam apparatus and method for operating the same

Номер: US0007274018B2

A charged particle beam apparatus is provided which comprises a charged particle source for producing a primary beam of charged particles, aperture means for collimating said primary beam of charged particles, wherein said aperture means is adapted to switch between a collimation of said primary beam resulting in a width appropriate for serial imaging of a sample as well as a collimation of said primary beam to a width appropriate for parallel imaging of said sample, a condenser lens for condensing said primary beam of charged particles, scanning means for deflecting said primary beam of charged particles, an objective lens for focusing said condensed primary beam, a sectorized detector for detecting a secondary charged particles. Also, several different operation modes of the beam apparatus are described allowing for serial imaging as well as parallel imaging.

Подробнее
24-12-2014 дата публикации

DEVICE AND METHOD FOR EMITTING ELECTRONS AND DEVICE COMPRISING SUCH A SYSTEM FOR EMITTING ELECTRONS

Номер: EP2815418A1
Принадлежит:

Подробнее
27-06-2019 дата публикации

ION FOCUSING DEVICE

Номер: CA3085929A1
Принадлежит:

Apparatus includes a plurality of electrode arrangements spaced apart from each other opposite an ion propagation axis and defining an ion transfer channel that extends along the ion propagation axis that tapers between an input end that is situated to receive ions and an output end that is situated to couple the received ions to an input end of an ion guide. Methods include positioning a plurality of electrode arrangements at oblique angles opposite an ion propagation axis so as to form an ion transfer channel that tapers between an input end and an output end, and coupling the output end of the ion transfer channel to an input end of an ion optical element so as to direct ions in the ion transfer channel into the ion optical element. RF voltages are applied for confining the ions. Travelling waves are generated along the ion propagation axis.

Подробнее
17-08-2016 дата публикации

The dynamic beam forming improving uniformity control method and device

Номер: CN0103582927B
Автор:
Принадлежит:

Подробнее
23-01-2018 дата публикации

프로세스 챔버 컴포넌트들의 인-시튜 플라즈마 세정

Номер: KR1020180007703A
Принадлежит:

... 이온 주입 시스템의 하나 이상의 컴포넌트들의 인-시튜 세정을 위한 접근 방식들이 본원에서 제공된다. 하나의 접근 방식에 있어서, 컴포넌트는 하나 이상의 전도성 빔 광학부들을 갖는 빔-라인 컴포넌트를 포함할 수 있다. 시스템은, 프로세싱 모드 동안 제 1 전압 및 제 1 전류를 컴포넌트에 공급하고 및 세정 모드 동안 제 2 전압 및 제 2 전류를 컴포넌트에 공급하기 위한 전원 공급장치를 더 포함할 수 있다. 제 2 전압 및 전류는, 하나 이상의 전도성 빔 광학부들 중 하나 이상의 주변에 플라즈마를 선택적으로(예를 들어, 개별적으로) 생성하기 위하여 병렬로 컴포넌트의 전도성 빔 광학부들에 인가될 수 있다. 시스템은, 컴포넌트에 공급되는 에칭제 가스의 주입 레이트를 조정하기 위한 흐름 제어기, 및 컴포넌트의 환경의 압력을 조정하기 위한 진공 펌프를 더 포함할 수 있다.

Подробнее
11-03-2019 дата публикации

Номер: KR1020190025365A
Автор:
Принадлежит:

Подробнее
08-10-2020 дата публикации

ION FOCUSING DEVICE

Номер: US20200321190A1
Принадлежит: Battelle Memorial Institute

Apparatus include a plurality of electrode arrangements spaced apart from each other opposite an ion propagation axis and defining an ion transfer channel that extends along the ion propagation axis that tapers between an input end that is situated to receive ions and an output end that is situated to couple the received ions to an input end of an ion guide. Methods include positioning a plurality of electrode arrangements at oblique angles opposite an ion propagation axis so as to form a ion transfer channel that tapers between an input end and an output end, and coupling the output end of the ion transfer channel to an input end of an ion optical element so as to direct ions in the ion transfer channel into the ion optical element. Related systems are also disclosed.

Подробнее
10-10-2019 дата публикации

FOCUSING MAGNET AND CHARGED PARTICLE IRRADIATION APPARATUS

Номер: US20190311879A1
Принадлежит: B dot Medical Inc.

An embodiment of the invention is a focusing magnet including a coil pair arranged on both sides of a path of a charged particle beam. The coil pair generates an effective magnetic field region in which a magnetic field is oriented in a direction (z-axis) perpendicular to a traveling direction (x-axis) of a charged particle beam. In an xy-plane, an incident charged particle beam deflected at a deflection angle ϕ with respect to the x-axis at a deflection point Q is deflected by the effective magnetic field region, and irradiates an isocenter at an irradiation angle θ with respect to the x-axis; an arbitrary point P on a boundary on an exit side of the effective magnetic field region is at an equal distance rfrom the isocenter; a point P on a boundary on an incident side of the effective magnetic field region and the point P are on a radius rand an arc of a central angle (θ+ϕ); and when a distance between the deflection point Q and the isocenter is L, a distance R between the deflection point Q and the point P satisfies a relational equation (). 1. A charged particle irradiation apparatus comprising:a focusing magnet; andan irradiation nozzle that moves continuously along the shape on an exit side of an effective magnetic field region of the focusing magnet, whereinthe charged particle beam exiting from the focusing magnet irradiates the isocenter through the irradiation nozzle, whereinthe focusing magnet comprises a coil pair arranged on both sides of a path of a charged particle beam, wherein:when a current is input, the coil pair is configured to generate an effective magnetic field region in which a magnetic field is oriented in a direction (z-axis) perpendicular to a traveling direction (x-axis) of a charged particle beam, where an axis perpendicular to the x-axis and z-axis is assumed to be a y-axis; a charged particle beam which has been deflected at a deflection angle ϕ with respect to the x-axis at a deflection point Q and incident on the effective magnetic ...

Подробнее
08-11-2022 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US0011495444B2
Автор: Masato Kon

In a processing chamber, a processing target substrate is placed and a substrate processing is performed. A holder is configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber.

Подробнее
24-06-2015 дата публикации

イオン注入に用いる調整可能な偏向光学

Номер: JP0005739333B2
Принадлежит:

Подробнее
21-02-2003 дата публикации

Ion implantation ion source, system and method

Номер: TW0000521295B
Автор:
Принадлежит:

An ion source (1) for ion implantation system and a method of ion implantation employs a controllable broad, directional electron beam (32) to ionize process gas or vapor, such as decaborane, within an ionization volume (16) by primary electron impact, in CMOS manufacturing and the like. A controllable low-temperature vaporizer (2, 28) communicating via a high conductance path to the ionization chamber enables relatively gentle handling of feed materials, making possible novel use of numerous hydrides, dimer-containing compounds, and other temperature-sensitive materials. Isolation of the electron gun (12, 33, 34, Fig 4B, etc.) for producing the energetic electron beam and of a beam dump (11) to which the energetic beam is directed, as well as use of thermally conductive members and gas conduction for cooling the ionization chamber (5) and controlling the temperature of the vaporizer (2, 28), enable use of the system with large molecular species such as decaborane, and other temperature-sensitive ...

Подробнее
01-07-2020 дата публикации

Systems and methods for charged particle flooding to enhance voltage contrast defect signal

Номер: TW0202024621A
Принадлежит:

Systems and methods for implementing charged particle flooding in a charged particle beam apparatus are disclosed. According to certain embodiments, a charged particle beam system includes a charged particle source and a controller which controls the charged particle beam system to emit a charged particle beam in a first mode where the beam is defocused and a second mode where the beam is focused on a surface of a sample.

Подробнее
11-10-2012 дата публикации

METHODS, APPARATUSES AND COMPUTER PROGRAMS FOR CRYSTALLOGRAPHY

Номер: WO2012136993A1
Принадлежит:

An apparatus is provided for analysing divergent radiation emanating from a point within a specimen under investigation and determining crystallographic parameters. The apparatus comprises a guide, extending from a planar radiation-sensing surface to an aperture, the aperture lying in a plane parallel to the plane of the radiation-sensing surface, wherein the aperture and the base are non-concentric when viewed in a direction perpendicular to the plane of the aperture. Embodiments of the present invention also provide methods and computer programs for calibrating the apparatus.

Подробнее
12-11-2015 дата публикации

METHOD FOR DETECTING AN ELECTRICAL DEFECT OF CONTACT/VIA PLUGS

Номер: US20150323583A1
Принадлежит: MACRONIX International Co., Ltd.

A method for detecting an electrical defect of contact/via plugs is provided. In the method, the contact/via plugs are monitored by an electron-beam (E-Beam) inspection tool to capture an image with a VC (voltage contrast) difference, and then an image extraction is performed on the image with the VC difference, wherein the image extraction is based on Target gray level/back ground gray level. The extracted image is contrasted with a layout design base to obtain a blind contact or Quasi-blind issue of contact/via plugs. A grayscale value of the VC difference having the blind contact or Quasi-blind issue is compared with a determined range of grayscale value to determine whether the VC difference is abnormal. 1. A method for detecting electrical defect of contact/via plugs , comprising:monitoring the contact/via plugs by an electron-beam (E-Beam) inspection tool to capture an image with a VC (voltage contrast) difference;performing an image extraction on the image with the VC difference, wherein the image extraction is based on target gray level/back ground gray level;contrasting the extracted image with a layout design base to obtain a blind contact or Quasi-blind issue of the contact/via plugs; andcomparing a grayscale value of the VC difference having the blind contact or Quasi-blind issue with a predetermined range of grayscale value to determine whether the VC difference is abnormal.2. The method of claim 1 , wherein the extracted image comprises a semi-circular image claim 1 , a reduced image claim 1 , or nothing.3. The method of claim 1 , wherein a pixel size of the E-Beam inspection tool is less than 0.1 μm.4. The method of claim 1 , wherein the predetermined range of grayscale value is between 55 and 130.5. The method of claim 1 , wherein the layout design base includes a layout containing conductive lines under the contact/via plugs.6. The method of claim 5 , wherein the predetermined range of grayscale value is dependent on different size of the conductive ...

Подробнее
13-06-2023 дата публикации

Charged particle beam device for inspection of a specimen with a plurality of charged particle beamlets

Номер: US0011676795B2
Принадлежит: FEI Company

The invention relates to system and method of inspecting a specimen with a plurality of charged particle beamlets. The method comprises the steps of providing a specimen, providing a plurality of charged particle beamlets and focusing said plurality of charged particle beamlets onto said specimen, and detecting a flux of radiation emanating from the specimen in response to said irradiation by said plurality of charged particle beamlets.

Подробнее
25-03-2021 дата публикации

GERÄT MIT FOKUSSIERTEM IONENSTRAHL

Номер: DE102020211901A1
Принадлежит:

Bereitgestellt wird ein Gerät mit fokussiertem Ionenstrahl, welches vor der tatsächlichen Bestrahlung mit einem fokussierten Ionenstrahl zu erfassen in der Lage ist, in welcher Richtung ein Strahl den Probentisch, auf welchem mindestens eine Probe befestigt ist, erreicht. Ein Gerät mit fokussiertem Ionenstrahl (100) umfasst: eine fokussierte Ionenstrahlsäule (20), welche dafür ausgelegt ist, um eine Probe (200) mit einem fokussierten Ionenstrahl (20A) zu bestrahlen; einen Probentisch (51), auf welchem die Probe zu platzieren ist; eine Probenplattform (50), auf welcher der Probentisch zu platzieren ist und welche zumindest in einer horizontalen Richtung und einer Höhenrichtung beweglich ist; einen Speicher (6M), welcher dafür ausgelegt ist, um im Voraus dreidimensionale Daten an dem Probentisch und eine Bestrahlungsachse des fokussierten Ionenstrahls zu speichern, wobei die dreidimensionalen Daten mit Plattformkoordinaten der Probenplattform verknüpft sind; eine Anzeige (7); und eine Anzeigesteuerung ...

Подробнее
04-10-2012 дата публикации

METHOD AND APPARATUS FOR IMPROVED UNIFORMITY CONTROL WITH DYNAMIC BEAM SHAPING

Номер: WO2012134601A1
Автор: EISNER, Edward
Принадлежит:

The present invention relates to a method and apparatus for varying the cross - sectional shape (308a, 308b, 308c) of an ion beam, as the ion beam is scanned over the surface of a workpiece (304), to generate a time - averaged ion beam having an improved ion beam current profile uniformity. In one embodiment, the cross - sectional shape of an ion beam is varied as the ion beam moves across the surface of the workpiece. The different cross - sectional shapes of the ion beam respectively have different beam profiles (e.g., having peaks at different locations along the beam profile), so that rapidly changing the cross - sectional shape of the ion beam results in a smoothing of the beam current profile (e.g., reduction of peaks associated with individual beam profiles) that the workpiece is exposed to. The resulting smoothed beam current profile provides for improved uniformity of the beam current and improved workpiece dose uniformity.

Подробнее
10-11-2015 дата публикации

Matched multiple charged particle beam systems for lithographic patterning, inspection, and accelerated yield ramp

Номер: US0009184027B1

The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.

Подробнее
15-11-2007 дата публикации

Ion implantation ion source, system and method

Номер: US20070262262A1
Принадлежит: SemEquip, Inc.

Various aspects of the invention provide improved approaches and methods for efficiently: Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system; Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source; Ionizing the decaborane into a large faction of B10Hx+; Preventing thermal dissociation of decaborane; Limiting charge-exchange and low energy electron-induced fragmentation of B10Hx+; Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam; Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam; Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through the ionization chamber to a thermally isolated beam dump; Providing ...

Подробнее
24-06-2021 дата публикации

MULTIPLE CHARGED-PARTICLE BEAM APPARATUS WITH LOW CROSSTALK

Номер: US20210193437A1
Принадлежит: ASML Netherlands BV

Systems and methods of forming images of a sample using a multi-beam apparatus are disclosed. The method may include generating a plurality of secondary electron beams from a plurality of probe spots on the sample upon interaction with a plurality of primary electron beams. The method may further include adjusting an orientation of the plurality of primary electron beams interacting with the sample, directing the plurality of secondary electron beams away from the plurality of primary electron beams, compensating astigmatism aberrations of the plurality of directed secondary electron beams, focusing the plurality of directed secondary electron beams onto a focus plane, detecting the plurality of focused secondary electron beams by a charged-particle detector, and positioning a detection plane of the charged-particle detector at or close to the focus plane.

Подробнее
08-12-2015 дата публикации

Automatic optimization of etch process for accelerated yield ramp with matched charged particle multi-beam systems

Номер: US0009207539B1

The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.

Подробнее
16-07-2010 дата публикации

Adjustable deflection optics for ion implantation

Номер: TW0201027586A
Принадлежит:

A deflection component suitable for use in an ion implantation system comprises multiple electrodes that can be selectively biased to cause an ion beam passing therethrough to bend, deflect, focus, converge, diverge, accelerate, decelerate, and/or decontaminate. Since the electrodes can be selectively biased, and thus one or more of them can remain unbiased or off, the effective length of the beam path can be selectively adjusted as desired (e.g., based upon beam properties, such as energy, dose, species, etc.).

Подробнее
16-04-2021 дата публикации

Focused ion beam apparatus

Номер: TW202115759A
Принадлежит:

A focused ion beam apparatus (100) includes: a focused ion beam lens column (20); a sample table (51); a sample stage (50); a memory (6M) configured to store in advance three-dimensional data on the sample table and an irradiation axis of the focused ion beam, the three-dimensional data being associated with stage coordinates of the sample stage; a display (7); and a display controller (6A) configured to cause the display to display a virtual positional relationship between the sample table (51v) and the irradiation axis (20Av) of the focused ion beam, which is exhibited when the sample stage is operated to move the sample table to a predetermined position, based on the three-dimensional data on the sample table and the irradiation axis of the focused ion beam.

Подробнее
18-02-2010 дата публикации

SYSTEMS AND METHODS FOR SCANNING A BEAM OF CHARGED PARTICLES

Номер: WO2010019968A3
Автор: RUFFELL, John
Принадлежит:

Systems and methods of an ion implant apparatus include an ion source for producing an ion beam along an incident beam axis. The ion implant apparatus includes a beam deflecting assembly coupled to a rotation mechanism that rotates the beam deflecting assembly about the incident beam axis and deflects the ion beam. At least one wafer holder holds target wafers and the rotation mechanism operates to direct the ion beam at one of the at least one wafer holders which also rotates to maintain a constant implant angle.

Подробнее
18-06-2019 дата публикации

Rock sample preparation method by using focused ion beam for minimizing curtain effect

Номер: US0010324049B2

A process for the preparation and imaging of a sample of rock from an oil and gas reservoir is provided. A sample of reservoir rock may be obtained, such as from a core sample obtained using a core sampling tool inserted in a wellbore extending into an oil and gas reservoir. A photoresist may be deposited on the surface of reservoir rock sample to form a homogenous layer. The photoresist-coated surface of the reservoir rock sample may be imaged using a focused ion beam (FIB). The photoresist protects the pores and other surface features of the rock from damage or implantation by the FIB ion beam and thus minimizes the curtain effect in the resulting images.

Подробнее
23-08-2018 дата публикации

ROCK SAMPLE PREPARATION METHOD BY USING FOCUSED ION BEAM FOR MINIMIZING CURTAIN EFFECT

Номер: CA0003050924A1
Принадлежит: FINLAYSON & SINGLEHURST

A process for the preparation and imaging of a sample of rock from an oil and gas reservoir is provided. A sample of reservoir rock may be obtained, such as from a core sample obtained using a core sampling tool inserted in a wellbore extending into an oil and gas reservoir. A photoresist may be deposited on the surface of reservoir rock sample to form a homogenous layer. The photoresist-coated surface of the reservoir rock sample may be imaged using a focused ion beam (FIB). The photoresist protects the pores and other surface features of the rock from damage or implantation by the FIB ion beam and thus minimizes the curtain effect in the resulting images.

Подробнее
23-08-2013 дата публикации

DEVICE AND PROCESS Of EMISSION Of ELECTRONS AND DEVICE COMPRISING SUCH a SYSTEM Of EMISSION Of ELECTRONS

Номер: FR0002987165A1

L'invention concerne un dispositif et un procédé d'émission d'électrons par effet de champ. Le dispositif (10) comprend : - une enceinte (12) sous vide comportant une pointe (14) comprenant une extrémité (18) et formant une cathode froide, une anode extractrice (16), des composants adaptés pour générer une différence de potentiel entre la pointe (14) et l'anode (16) ; - une source d'onde électromagnétique (22) extérieure à l'enceinte (12) ; un système d'acheminement (24) de l'onde électromagnétique émise par la source d'onde électromagnétique de l'extérieur vers l'intérieur de l'enceinte jusqu'au voisinage de la pointe (14) ; - un système de focalisation (26) de l'onde électromagnétique agencé à l'intérieur de l'enceinte (12) ; et - un système d'alignement (28) de l'onde électromagnétique agencé à l'extérieur de l'enceinte et adapté pour permettre l'alignement de l'onde électromagnétique focalisée par le système de focalisation sur l'extrémité de la pointe.

Подробнее
21-11-2019 дата публикации

ADJUSTING METHOD OF MULTI-BEAM OPTICAL SYSTEM AND MULTI-BEAM EXPOSURE APPARATUS

Номер: KR0102047364B1
Автор:
Принадлежит:

Подробнее
16-03-2021 дата публикации

Rock sample preparation method by using focused ion beam for minimizing curtain effect

Номер: US0010948429B2

A process for the preparation and imaging of a sample of rock from an oil and gas reservoir is provided. A sample of reservoir rock may be obtained, such as from a core sample obtained using a core sampling tool inserted in a wellbore extending into an oil and gas reservoir. A photoresist may be deposited on the surface of reservoir rock sample to form a homogenous layer. The photoresist-coated surface of the reservoir rock sample may be imaged using a focused ion beam (FIB). The photoresist protects the pores and other surface features of the rock from damage or implantation by the FIB ion beam and thus minimizes the curtain effect in the resulting images.

Подробнее
08-11-2022 дата публикации

Charged particle beam apparatus, multi-beamlet assembly, and method of inspecting a specimen

Номер: US0011495433B1

A charged particle beam apparatus for inspecting a specimen with a plurality of beamlets is described. The charged particle beam apparatus includes a charged particle beam emitter (105) for generating a charged particle beam (11) propagating along an optical axis (A) and a multi-beamlet generation- and correction-assembly (120), including a first multi-aperture electrode (121) with a first plurality of apertures for creating the plurality of beamlets from the charged particle beam, at least one second multi-aperture electrode (122) with a second plurality of apertures of varying diameters for the plurality of beamlets for providing a field curvature correction, and a plurality of multipoles (123) for individually influencing each of the plurality of beamlets, wherein the multi-beamlet generation- and correction-assembly (120) is configured to focus the plurality of beamlets to provide a plurality of intermediate beamlet crossovers. The charged particle beam apparatus further includes an ...

Подробнее
16-04-2013 дата публикации

Method and apparatus for improved uniformity control with dynamic beam shaping

Номер: US0008421039B2

The present invention relates to a method and apparatus for varying the cross-sectional shape of an ion beam, as the ion beam is scanned over the surface of a workpiece, to generate a time-averaged ion beam having an improved ion beam current profile uniformity. In one embodiment, the cross-sectional shape of an ion beam is varied as the ion beam moves across the surface of the workpiece. The different cross-sectional shapes of the ion beam respectively have different beam profiles (e.g., having peaks at different locations along the beam profile), so that rapidly changing the cross-sectional shape of the ion beam results in a smoothing of the beam current profile (e.g., reduction of peaks associated with individual beam profiles) that the workpiece is exposed to. The resulting smoothed beam current profile provides for improved uniformity of the beam current and improved workpiece dose uniformity.

Подробнее
27-08-2015 дата публикации

FOCUS RING

Номер: US20150243488A1
Принадлежит: COVALENT MATERIALS CORPORATION

There is provided a focus ring formed without an adhesive that can suppress abnormal electric discharge and obtain uniform plasma environment in a circumferential direction in a plasma processing apparatus. The focus ring includes a plurality of arc-shaped members and a plurality of connecting members connecting the plurality of the arc-shaped members to form a ring shape without an adhesive, and is formed such that a thickness between an upper surface of the connecting member and a bottom surface of a concave fitting portion of the connecting member is greater than a thickness between an upper surface of the arc-shaped member and a bottom surface of a second depression of the arc-shaped member. 1. A focus ring made of silicon comprising:a plurality of arc-shaped members, each of the plurality of arc-shaped members including a flat plate portion having an arc shape, open-topped first depressions formed at both circumferential ends of the flat plate portion, a stepped portion formed with an open-topped second depression at an inner circumferential side of the flat plate portion, and convex fitting portions formed on bottom surfaces of the first depressions; anda plurality of connecting members connecting the plurality of arc-shaped members to form a ring shape without an adhesive, each of the plurality of connecting members including a plate-like main body having an arc shape to be accommodated within the depressions of the adjacent arc-shaped members, a stepped portion formed with an open-topped depression at an inner circumferential side of the plate-like main body, and concave fitting portions formed in a lower surface of the plate-like main body and configured to engage with the respective convex fitting portions of the adjacent arc-shaped members, whereina thickness between an upper surface of the connecting member and a bottom surface of the concave fitting portion of the connecting member is greater than a thickness between an upper surface of the arc-shaped ...

Подробнее
18-06-2001 дата публикации

Ion implantation ion source, system and method

Номер: AU0002430601A
Принадлежит:

Подробнее
07-03-2012 дата публикации

Ion source

Номер: EP2426693A2
Принадлежит:

In an ion source (1) for use with an ion implant device comprising: an ionization chamber (5) defined by a plurality of side walls defining an ionization volume (16), one (13) of said side walls including an ion extraction aperture (37) for enabling an ion beam to be extracted from said ionization chamber (16) along a predetermined axis defining an ion beam axis; and a gas source (2) in fluid communication with said ionization chamber (16); an electron source (12) for producing an electron beam for ionizing the gas in said ionization chamber (16); said electron source (12) has an emitter (33) external to the ionization volume (16) and one (13) of said sidewalls (13) includes an electron entrance aperture , said emitter (33) configured relative to said aperture to cause an electron beam (32) to be directed across the ionization chamber (16) and ionize said gas by direct electron impact ionization by energetic electrons ...

Подробнее
09-02-2015 дата публикации

Номер: KR1020150014994A
Автор:
Принадлежит:

Подробнее
17-05-2007 дата публикации

Ion implantation ion source, system and method

Номер: US20070108394A1
Автор: Thomas Horsky
Принадлежит:

An ion source for an ion implantation system includes a vaporizer for producing a process gas; an electron source for generating an electron beam to ionize the process gas within a ionization chamber. The ionization chamber includes an extraction aperture for extracting an ion beam. The ion source, in accordance with the preset invention, is configured to be able to be retrofit into the design space of existing ion sources in, for example, Bernas source-based ion implanters.

Подробнее
09-02-2017 дата публикации

Abbildende Energiefiltervorrichtung und Verfahren zu deren Betrieb

Номер: DE102014019408B4

Abbildende Energiefiltervorrichtung (100), die zur Übertragung eines Ensembles von geladenen Teilchen (4) in einem vorbestimmten Energieintervall eingerichtet ist, umfassend – einen ersten Ablenksektor (10) und einen zweiten Ablenksektor (20), die jeweils eine Eintrittsebene (11, 21), eine Blende zur Begrenzung des Energieintervalls der zu übertragenden Teilchen (4) und eine Austrittsebene (12, 22) aufweisen und entlang eines Strahlwegs (3) aufeinanderfolgend angeordnet sind, und – eine Transferlinseneinrichtung (30), die zwischen der Austrittsebene (12) des ersten Ablenksektors (10) und der Eintrittsebene (21) des zweiten Ablenksektors (20) angeordnet ist, wobei die Transferlinseneinrichtung (30) eine Gruppe von Linsenelektroden umfasst, die für eine elektrostatische Formung des Strahlweges zwischen der Austrittsebene des ersten Ablenksektors (10) und der Eintrittsebene des zweiten Ablenksektors (20) angeordnet sind, dadurch gekennzeichnet, dass – im ersten Ablenksektor (10) genau eine ...

Подробнее
30-09-2004 дата публикации

Ion implantation ion source, system and method

Номер: US2004188631A1
Автор:
Принадлежит:

Various aspects of the invention provide improved approaches and methods for efficiently: Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system; Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source; Ionizing the decaborane into a large fraction of B10Hx<+>; Preventing thermal dissociation of decaborane; Limiting charge-exchange and low energy electron-induced fragmentation of B10Hx<+>; Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam; Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam; Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through the ionization chamber to a thermally isolated beam dump; Providing ...

Подробнее
20-10-2022 дата публикации

CHARGED PARTICLE BEAM APPARATUS, MULTI-BEAMLET ASSEMBLY, AND METHOD OF INSPECTING A SPECIMEN

Номер: US20220336186A1
Принадлежит:

A charged particle beam apparatus for inspecting a specimen with a plurality of beamlets is described. The charged particle beam apparatus includes a charged particle beam emitter (105) for generating a charged particle beam (11) propagating along an optical axis (A) and a multi-beamlet generation- and correction-assembly (120), including a first multi-aperture electrode (121) with a first plurality of apertures for creating the plurality of beamlets from the charged particle beam, at least one second multi-aperture electrode (122) with a second plurality of apertures of varying diameters for the plurality of beamlets for providing a field curvature correction, and a plurality of multipoles (123) for individually influencing each of the plurality of beamlets, wherein the multi-beamlet generation- and correction-assembly (120) is configured to focus the plurality of beamlets to provide a plurality of intermediate beamlet crossovers. The charged particle beam apparatus further includes an ...

Подробнее
18-05-2011 дата публикации

Apparatus and method for determining crystallographic parameters using divergent beam diffraction patterns

Номер: GB0201105609D0
Автор:
Принадлежит:

Подробнее
22-08-2013 дата публикации

DEVICE AND METHOD FOR EMITTING ELECTRONS AND DEVICE COMPRISING SUCH A SYSTEM FOR EMITTING ELECTRONS

Номер: WO2013121021A1
Принадлежит:

The invention relates to a device and a method for emitting electrons by field effect. The device (10) comprises: - an evacuated enclosure (12) comprising a tip (14) comprising an extremity (18) and forming a cold cathode, an exciter anode (16), components adapted for generating a potential difference between the tip (14) and the anode (16); - an electromagnetic wave source (22) outside the enclosure (12); - a routing system (24) for conveying the electromagnetic wave emitted by the electromagnetic wave source from the outside to the inside of the enclosure and as far as the vicinity of the tip (14); - a system (26) for focusing the electromagnetic wave, arranged inside the enclosure (12); and - a system (28) for aligning the electromagnetic wave, arranged outside the enclosure and adapted for allowing the alignment of the electromagnetic wave focused by the focusing system on the extremity of the tip.

Подробнее
11-11-2004 дата публикации

Charged particle beam apparatus

Номер: US2004222376A1
Автор:
Принадлежит:

When conditions for an electron gun mainly represented by extraction voltage V1 and accelerating voltage V0 are changed, a charged particle beam is once focused on a fixed position by means of a condenser lens and a virtual cathode position is calculated from a lens excitation of the condenser lens at that time and the mechanical positional relation of the electron gun to set an optical condition. For more accurate setting of the optical condition, a deflecting electrode device is provided at a crossover position of the condenser lens and a voltage is applied to the deflecting electrode device at a constant period so as to control the lens excitation of the condenser lens such that the amount of movement of an image is minimized on an image display unit such as CRT.

Подробнее
16-05-2017 дата публикации

X-ray tube

Номер: US0009653248B2

According to one embodiment, an X-ray tube includes an anode target, a cathode including a filament and a convergence electrode which includes a groove portion, and an envelope. The groove portion includes a pair of first bottom surfaces which are located in the same plane as the filament and between which the filament is interposed in a width direction of the groove portion, and a pair of second bottom surfaces between which the filament and the pair of first bottom surfaces are interposed in a length direction of the groove portion and which are located closer to an opening of the groove portion than the pair of first bottom surfaces.

Подробнее
08-11-2022 дата публикации

In-situ plasma cleaning of process chamber components

Номер: US0011495434B2

Provided herein are approaches for in-situ plasma cleaning of ion beam optics. In one approach, a system includes a component (e.g., a beam-line component) of an ion implanter processing chamber. The system further includes a power supply for supplying a first voltage and first current to the component during a processing mode and a second voltage and second current to the component during a cleaning mode. The second voltage and current are applied to one or more conductive beam optics of the component, individually, to selectively generate plasma around one or more of the one or more conductive beam optics. The system may further include a flow controller for adjusting an injection rate of an etchant gas supplied to the beam-line component, and a vacuum pump for adjusting pressure of an environment of the beam-line component.

Подробнее
06-07-2011 дата публикации

ADJUSTABLE DEFLECTION OPTICS FOR ION IMPLANTATION

Номер: EP2340549A1
Принадлежит:

Подробнее
10-03-2005 дата публикации

Ion implantation ion source, system and method

Номер: US2005051096A1
Автор:
Принадлежит:

An ion implantation device for vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system and delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source. The ion implantation device includes an ion source which can operate without an arc plasma, which can improve the emittance properties and the purity of the beam and without a strong applied magnetic field, which can improve the emittance properties of the beam. The ion source is configured so that it can be retrofit into the ion source design space of an existing Bernas source-based ion implanters and the like or otherwise enabling compatibility with other ion source designs.

Подробнее
08-11-2007 дата публикации

METHODS AND SYSTEMS FOR TRAPPING ION BEAM PARTICLES AND FOCUSING AN ION BEAM

Номер: WO2007127086A2
Принадлежит:

A focusing particle trap system for ion implantation removes unwanted particles from an ion beam prior to implantation. An entrance electrode comprising an entrance aperture and is biased to a first base voltage. A center electrode is positioned a distance downstream from the entrance electrode and includes a center aperture. The center electrode is biased to a negative value, less than the first base voltage. An exit electrode is positioned a distance downstream from the center electrode and includes an exit aperture. The exit electrode is biased to a second base voltage. A first electrostatic field is generated from the entrance electrode toward the center electrode and a second electrostatic field is generated from the exit electrode toward the center electrode in order to trap unwanted particles within an ion beam.

Подробнее
14-03-2023 дата публикации

Ion focusing device

Номер: US0011605531B2
Принадлежит: Battelle Memorial Institute

Apparatus include a plurality of electrode arrangements spaced apart from each other opposite an ion propagation axis and defining an ion transfer channel that extends along the ion propagation axis that tapers between an input end that is situated to receive ions and an output end that is situated to couple the received ions to an input end of an ion guide. Methods include positioning a plurality of electrode arrangements at oblique angles opposite an ion propagation axis so as to form a ion transfer channel that tapers between an input end and an output end, and coupling the output end of the ion transfer channel to an input end of an ion optical element so as to direct ions in the ion transfer channel into the ion optical element. Related systems are also disclosed.

Подробнее
15-07-2011 дата публикации

ADJUSTABLE DEFLECTION OPTICS FOR ION IMPLANTATION

Номер: KR1020110081980A
Автор:
Принадлежит:

Подробнее
11-06-2014 дата публикации

Номер: JP0005518128B2
Автор:
Принадлежит:

Подробнее
16-05-2006 дата публикации

Charged particle beam apparatus and method for operating the same

Номер: US0007045781B2

A charged particle beam apparatus is provided which comprises a charged particle source for producing a primary beam of charged particles, aperture means for collimating said primary beam of charged particles, wherein said aperture means is adapted to switch between a collimation of said primary beam to a width appropriate for serial imaging of a sample as well as a collimation of said primary beam to a width appropriate for parallel imaging of said sample, a condenser lens for condensing said primary beam of charged particles, scanning means for deflecting said primary beam of charged particles, an objective lens for focusing said condensed primary beam, a sectorized detector for detecting a secondary charged particles. Also, several different operation modes of the beam apparatus are described allowing for serial imaging as well as parallel imaging.

Подробнее
01-10-2020 дата публикации

BOTTOM AND MIDDLE EDGE RINGS

Номер: US20200312633A1
Принадлежит:

A bottom ring is configured to support a moveable edge ring. The edge ring is configured to be raised and lowered relative to a substrate support. The bottom ring includes an upper surface that is stepped, an annular inner diameter, an annular outer diameter, a lower surface, and a plurality of vertical guide channels provided through the bottom ring from the lower surface to the upper surface of the bottom ring. Each of the guide channels includes a first region having a smaller diameter than the guide channel, and the guide channels are configured to receive respective lift pins for raising and lowering the edge ring. 1. A bottom ring configured to support a moveable edge ring , wherein the edge ring is configured to be raised and lowered relative to a substrate support , the bottom ring comprising:an upper surface, wherein the upper surface is stepped;an annular inner diameter;an annular outer diameter;a lower surface; anda plurality of vertical guide channels provided through the bottom ring from the lower surface to the upper surface of the bottom ring, wherein each of the guide channels includes a first region having a smaller diameter than the guide channel, and wherein the guide channels are configured to receive respective lift pins for raising and lowering the edge ring.2. The bottom ring of claim 1 , wherein a diameter of the guide channel is between 0.063″ and 0.067″.3. The bottom ring of claim 1 , wherein each of the guide channels includes a cavity on the lower surface of the bottom ring claim 1 , wherein the cavities have a diameter greater than the guide channels.4. The bottom ring of claim 3 , wherein transitions between the guide channels and the cavities are chamfered.5. The bottom ring of claim 4 , wherein the chamfered transitions have a height and width between 0.020″ and 0.035″ and an angle between 40° and 50°.6. The bottom ring of claim 1 , wherein an inner diameter of a step in the upper surface is at least 13.0″.7. The bottom ring of claim ...

Подробнее
08-06-2010 дата публикации

Ion implantation ion source, system and method

Номер: US0007732787B2
Принадлежит: Semequip, Inc., SEMEQUIP INC, SEMEQUIP, INC.

An ion source is disclosed that is capable of providing ions of decaborane in commercial ion current levels to the ion extraction system of an ion implanter is provided, the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an ion extraction aperture in a side wall of the ionization chamber, arranged to enable the ion current to be extracted from the ionization volume by an extraction system, an electron gun mounted on a support that is outside of and thermally isolated from the walls of the ionization chamber, the ion extraction aperture plate is biased to a negative voltage with respect to the ionization chamber to further increase the drift velocity of the ions, and hence the maximum obtainable current in the resulting ion beam.

Подробнее
06-10-2009 дата публикации

Methods and systems for trapping ion beam particles and focusing an ion beam

Номер: US0007598495B2

A focusing particle trap system for ion implantation comprising an ion beam source that generates an ion beam, a beam line assembly that receives the ion beam from the ion beam source comprising a mass analyzer that selectively passes selected ions, a focusing electrostatic particle trap that receives the ion beam and removes particles from the ion beam comprising an entrance electrode comprising an entrance aperture and biased to a first base voltage, wherein the first surface of the entrance electrode is facing away from a center electrode and is approximately flat, wherein the second surface of the entrance electrode is facing toward the center electrode and is concave, wherein the center electrode is positioned a distance downstream from the entrance electrode comprising a center aperture and biased to a center voltage, wherein the center voltage is less than the first base voltage, wherein the first surface of the center electrode is facing toward the entrance electrode and is convex ...

Подробнее
25-03-2010 дата публикации

ADJUSTABLE DEFLECTION OPTICS FOR ION IMPLANTATION

Номер: WO2010033199A1
Принадлежит:

A deflection component (236) suitable for use in an ion implantation system comprises multiple electrodes (236a, 236b) that can be selectively biased to cause an ion beam (124) passing therethrough to bend, deflect, focus, converge, diverge, accelerate, decelerate, and/or decontaminate. Since the electrodes can be selectively biased, and thus one or more of them can remain unbiased or off, the effective length of the beam path can be selectively adjusted as desired (e.g., based upon beam properties, such as energy, dose, species, etc. ).

Подробнее
09-12-2004 дата публикации

Ion implantation ion source, system and method

Номер: US20040245476A1
Принадлежит: SemEquip, Inc.

Various aspects of the invention provide improved approaches and methods for efficiently: Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system; Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source; Ionizing the decaborane into a large fraction of B10Hx+; Preventing thermal dissociation of decaborane; Limiting charge-exchange and low energy electron-induced fragmentation of B10Hx+; Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam; Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam; Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through the ionization chamber to a thermally isolated beam dump; Providing ...

Подробнее
17-06-2010 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM AND METHOD

Номер: US20100148089A1
Принадлежит:

An ion source is disclosed incorporating various aspects of the invention including i) a vaporizer, ii) a vaporizer valve, iii) a gas feed, iv) an ionization chamber, v) an electron gun, vi) a cooled mounting frame, and vii) an ion exit aperture. The ion source includes means for introducing gaseous feed material into the ionization chamber, means for vaporizing solid feed materials and introducing their vapors into the ionization chamber, means for ionizing the introduced gaseous feed materials within the ionization chamber, and means for extracting the ions thus produced from an ion exit aperture adjacent to the ionization region. In addition, means for accelerating and focusing the exiting ions are provided. The vaporizer, vaporizer valve, gas feed, ionization chamber, electron gun, cooled mounting frame, and ion exit aperture are all integrated into a single assembly in preferred embodiments of the novel ion source.

Подробнее
21-01-2016 дата публикации

Apparatus for focusing and for storage of ions and for separation of pressure areas

Номер: US20160020064A1
Принадлежит:

An apparatus for focusing and for storage of ions and an apparatus for separation of a first pressure area from a second pressure area are disclosed, in particular for an analysis apparatus for ions. A particle beam device may have at least one of the abovementioned apparatuses. A container for holding ions and at least one multipole unit are provided. The multipole unit has a through-opening with a longitudinal axis as well as a multiplicity of electrodes. A first set of the electrodes is at a first radial distance from the longitudinal axis. A second set of the electrodes is in each case at a second radial distance from the longitudinal axis. The first radial distance is less than the second radial distance. Alternatively or additionally, the apparatus may have an elongated opening with a radial extent. The opening has a longitudinal extent which is greater than the radial extent. 130.-. (canceled)31. An apparatus for separation of a first pressure area from a second pressure area , comprising:an elongated first opening which extends along an axis, wherein the first opening has a radial extent in the radial direction with respect to the axis, and wherein the first opening has an axis extent along the axis, which is greater than the radial extent; andat least one first multipole device and at least one second multipole device arranged along the axis, wherein at least one of: the first multipole device or the second multipole device has at least one first electrode device, at least one second electrode device, at least one third electrode device and at least one fourth electrode device, and wherein at least one of: the first electrode device, the second electrode device, the third electrode device or the fourth electrode device is hyperbolic.32. The apparatus according to claim 31 , further comprising at least one of the following features:(i) the first multipole device has a first through-opening, which is at least part of the first opening;(ii) the second ...

Подробнее
06-09-2022 дата публикации

전압 대비 결함 신호를 향상시키는 하전 입자 플러딩을 위한 시스템 및 방법

Номер: KR20220123476A
Принадлежит:

... 하전 입자 빔 장치에서 하전 입자 플러딩을 구현하는 시스템들 및 방법들이 개시된다. 소정 실시예들에 따르면, 하전 입자 빔 시스템은 하전 입자 소스, 및 빔이 디포커싱되는 제 1 모드 및 빔이 샘플의 표면에 포커싱되는 제 2 모드에서 하전 입자 빔을 방출하도록 하전 입자 빔 시스템을 제어하는 제어기를 포함한다.

Подробнее
27-09-2019 дата публикации

BOTTOM AND MIDDLE EDGE RINGS

Номер: SG11201907515WA
Принадлежит:

... 316 336 372 376 304 W O 20 19/ 1037 22 Al -364 r -344 136 0 388 384 j --324 348 320 FIG. 3B 352 356 312 308-f - (12) INTERNATIONAL APPLICATION PUBLISHED UNDER THE PATENT COOPERATION TREATY (PCT) (19) World Intellectual Property Organization International Bureau (43) International Publication Date 31 May 2019 (31.05.2019) WIPO I PCT 111111111111110111111111111111101111101011111111111111111111111011101111111011110111111 (10) International Publication Number WO 2019/103722 Al (51) International Patent Classification: HO1L 21/687 (2006.01) HO1L 21/67 (2006.01) HO1L 21/683 (2006.01) (21) International Application Number: PCT/US2017/062769 (22) International Filing Date: 21 November 2017 (21.11.2017) (25) Filing Language: English (26) Publication Language: English (71) Applicant: LAM RESEARCH CORPORATION [US/US]; 4650 Cushing Parkway, Fremont, California 94538 (US). (72) Inventors: RATHNASINGHE, Hiran Rajitha; 4300 Cushing Parkway, CA4 1011, Fremont, California 94538 (US). TOKAIRIN, Shawn E S ...

Подробнее
16-09-2008 дата публикации

Charged particle beam apparatus

Номер: US0007425702B2

When conditions for an electron gun mainly represented by extraction voltage V 1 and accelerating voltage V 0 are changed, a charged particle beam is once focused on a fixed position by means of a condenser lens and a virtual cathode position is calculated from a lens excitation of the condenser lens at that time and the mechanical positional relation of the electron gun to set an optical condition. For more accurate setting of the optical condition, a deflecting electrode device is provided at a crossover position of the condenser lens and a voltage is applied to the deflecting electrode device at a constant period so as to control the lens excitation of the condenser lens such that the amount of movement of an image is minimized on an image display unit such as CRT.

Подробнее
03-01-2023 дата публикации

Charged particle beam apparatus and method of controlling sample charge

Номер: US0011545338B2

A charged particle beam apparatus with a charged particle source to generate a primary charged particle beam, a sample holder to hold a sample for impingement of the primary charged particle beam on the sample, a pulsed laser configured to generate a pulsed light beam for impingement onto an area on the sample, and an electrode to collect electrons emitted from the sample in a non-linear photoemission.

Подробнее
03-03-2020 дата публикации

System and method for charged particle flooding to improve voltage contrast fault signal

Номер: KR1020200022493A
Принадлежит:

Подробнее
24-12-2008 дата публикации

OPTICS FOR GENERATION OF HIGH CURRENT DENSITY PATTERNED CHARGED PARTICLE BEAMS

Номер: EP2005460A1
Автор: PARKER, William, N.
Принадлежит:

Подробнее
27-04-2017 дата публикации

하전 입자선 장치

Номер: KR0101730919B1

... 본 발명은 주사형 전자 현미경 상(像)에 있어서의 반도체의 구리 배선의 그레인과 보이드의 콘트라스트가 전자선 조사 가속 전압에 의해 변화하는 것에 착목한 결함 검사 장치이다. 본 발명의 하전 입자선 장치는, 시료의 동일 개소에 대하여 전자선을 복수의 가속 전압으로 조사하고, 상기 복수의 가속 전압의 각각 대응하여 취득된 복수의 화상(61, 62)에 있어서의 동일 개소의 콘트라스트 변화량에 의거하여, 그레인(65, 66)과 보이드(67)를 구별한다. 이에 따라, 시료를 파괴하지 않고, 자동이며 고속으로 그레인과 보이드를 구별하여 검출할 수 있다.

Подробнее
12-02-2014 дата публикации

METHODS, APPARATUSES AND COMPUTER PROGRAMS FOR CRYSTALLOGRAPHY

Номер: EP2694954A1
Принадлежит:

Подробнее
10-05-2007 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM, AND METHOD

Номер: JP2007115704A
Принадлежит:

PROBLEM TO BE SOLVED: To attain a new range of performance in the commercial ion implantation of a semiconductor wafer by providing an ion source deserving production and a method capable of using a new source material (especially, a heat-sensitive material, such as new decaborane, hydride, and a compound containing dimer in an ion implantation process). SOLUTION: An ion source 1 for ion implantation systems includes a vaporizer 2 for producing process gas; an electron source 12 for directing an electron beam 32 to ionize the process gas within an ionization volume 16; a beam dump 11; an ionization chamber 5; and an extraction aperture 37 for extracting an ion beam. The control system includes a control system for controlling the energy of primary electrons so that each vapor or gas molecule is ionized by the collision with the primary electrons mainly from an electron gun. COPYRIGHT: (C)2007,JPO&INPIT ...

Подробнее
10-04-2012 дата публикации

Ion implantation ion source, system and method

Номер: US0008154210B2

An ion source is disclosed incorporating various aspects of the invention including i) a vaporizer, ii) a vaporizer valve, iii) a gas feed, iv) an ionization chamber, v) an electron gun, vi) a cooled mounting frame, and vii) an ion exit aperture. The ion source includes means for introducing gaseous feed material into the ionization chamber, means for vaporizing solid feed materials and introducing their vapors into the ionization chamber, means for ionizing the introduced gaseous feed materials within the ionization chamber, and means for extracting the ions thus produced from an ion exit aperture adjacent to the ionization region. In addition, means for accelerating and focusing the exiting ions are provided. The vaporizer, vaporizer valve, gas feed, ionization chamber, electron gun, cooled mounting frame, and ion exit aperture are all integrated into a single assembly in preferred embodiments of the novel ion source.

Подробнее
26-01-2016 дата публикации

Method for detecting an electrical defect of contact/via plugs

Номер: US0009244112B2

A method for detecting an electrical defect of contact/via plugs is provided. In the method, the contact/via plugs are monitored by an electron-beam (E-Beam) inspection tool to capture an image with a VC (voltage contrast) difference, and then an image extraction is performed on the image with the VC difference, wherein the image extraction is based on Target gray level/back ground gray level. The extracted image is contrasted with a layout design base to obtain a blind contact or Quasi-blind issue of contact/via plugs. A grayscale value of the VC difference having the blind contact or Quasi-blind issue is compared with a determined range of grayscale value to determine whether the VC difference is abnormal.

Подробнее
26-04-2017 дата публикации

X-ray tube

Номер: CN0104428865B
Автор:
Принадлежит:

Подробнее
10-11-2022 дата публикации

CHARGED PARTICLE BEAM APPARATUS AND METHOD OF CONTROLLING SAMPLE CHARGE

Номер: US20220359152A1
Принадлежит:

A charged particle beam apparatus with a charged particle source to generate a primary charged particle beam, a sample holder to hold a sample for impingement of the primary charged particle beam on the sample, a pulsed laser configured to generate a pulsed light beam for impingement onto an area on the sample, and an electrode to collect electrons emitted from the sample in a non-linear photoemission.

Подробнее
18-02-2010 дата публикации

SYSTEMS AND METHODS FOR SCANNING A BEAM OF CHARGED PARTICLES

Номер: WO2010019968A2
Автор: RUFFELL, John
Принадлежит:

Systems and methods of an ion implant apparatus include an ion source for producing an ion beam along an incident beam axis. The ion implant apparatus includes a beam deflecting assembly coupled to a rotation mechanism that rotates the beam deflecting assembly about the incident beam axis and deflects the ion beam. At least one wafer holder holds target wafers and the rotation mechanism operates to direct the ion beam at one of the at least one wafer holders which also rotates to maintain a constant implant angle.

Подробнее
08-11-2007 дата публикации

METHODS AND SYSTEMS FOR TRAPPING ION BEAM PARTICLES AND FOCUSING AN ION BEAM

Номер: WO000002007127086A3
Принадлежит:

A focusing particle trap system (200) for ion implantation removes unwanted particles (222) from an ion beam (202) prior to implantation. An entrance electrode (204) comprising an entrance aperture (206) and is biased to a first base voltage. A center electrode (208) is positioned a distance downstream from the entrance electrode and includes a center aperture (210). The center electrode is biased to a negative value, less than the first base voltage. An exit electrode (212) is positioned a distance downstream from the center electrode and includes an exit aperture (214). The exit electrode is biased to a second base voltage. A first electrostatic field is generated (218) from the entrance electrode toward the center electrode and a second electrostatic field (220) is generated from the exit electrode toward the center electrode in order to trap unwanted particles within an ion beam.

Подробнее
17-12-2020 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20200395196A1
Принадлежит:

A plasma processing apparatus includes a process chamber having an inner space, an electrostatic chuck in the process chamber and to which a substrate is mounted, a gas injection unit to inject a process gas into the process chamber at a side of the process chamber, a plasma applying unit to transform the process gas injected into the process chamber into plasma, and a plasma adjusting unit disposed around the electrostatic chuck and operative to adjust the density of the plasma across the substrate.

Подробнее
08-09-2016 дата публикации

ELECTRON BEAM GENERATOR, IMAGE APPARATUS INCLUDING THE SAME AND OPTICAL APPARATUS

Номер: US20160260575A1
Принадлежит:

Provided may include an electron beam generator, an image apparatus including the same, and an optical apparatus. The optical apparatus includes a first and second laser apparatuses providing a first and second laser beams on a substrate, and a first optical system provided between the first and second laser apparatuses and the substrate to focus the first and second laser beams. The first and second laser beams overlap with each other generating an interference beam, thereby decreasing a spot size of the interference beam to be smaller than a wavelength of each of the first and second laser beams at a focal point.

Подробнее
01-12-2022 дата публикации

ELECTRON BEAM WELDING SYSTEMS EMPLOYING A PLASMA CATHODE

Номер: US20220384138A1
Автор: John Noonan, Dean Walters
Принадлежит:

In an embodiment, a system is provided that includes an electron gun, a focusing system, and a housing. The electron gun can include a cold cathode electron source and an extraction electrode. The focusing system can be configured to focus a beam of electrons extracted from the electron gun to a focal region. The housing can include the electron gun and extend along a housing axis in the direction of the electron beam. The cold cathode source is configured to emit electrons at a first operating pressure that is higher than a second operating pressure at the focal region of the electron beam.

Подробнее
19-03-2025 дата публикации

Focus ring alignment apparatus

Номер: KR20250038041A
Принадлежит:

An embodiment provides a focus ring alignment apparatus including a frame, a sensing member that is connected to the frame and is configured to acquire images of a focus ring within in a substrate processing apparatus, and an alignment module that is connected to the frame and is configured to move the focus ring to change a position of the focus ring.

Подробнее
11-05-2015 дата публикации

Номер: TWI484169B

Подробнее
04-10-2007 дата публикации

OPTICS FOR GENERATION OF HIGH CURRENT DENSITY PATTERNED CHARGED PARTICLE BEAMS

Номер: WO2007111603A1
Принадлежит:

A charged particle beam lithography system and/or method, which comprises a patterned beam defining aperture (212) for generating a high current density shaped beams (222) without the need for multiple beam shaping apertures, lenses (205, 216) for focusing charged particle beams (222) on a wafer (221), and blanking deflectors (277, 278) for deflecting the charged particle beams (222) without the need for an intermediate crossover between an electron source (201) and the wafer (221).

Подробнее
08-06-1995 дата публикации

Working integrated circuits with high energy beam

Номер: DE0004340956A1
Принадлежит:

An object such as an integrated circuit is worked using a high energy beam such as an electron beam. The beam works with a gas which is fed to the area above the object to either deposit or remove material onto or from the object surface. To improve the process a magnetic field is produced around the area to be worked.

Подробнее
14-06-2001 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM AND METHOD

Номер: WO2001043157A1
Автор: HORSKY, Thomas, N.
Принадлежит:

An ion source (1) for ion implantation system includes a vaporizer (2) for producing process gas; an electron source (12) for directing an electron beam (32) to ionize the process gas within an ionization volume (16); a beam dump (11); an ionization chamber (5); and an extraction aperture (37) for extracting an ion beam.

Подробнее
15-10-2019 дата публикации

Focusing magnet and charged particle irradiation apparatus

Номер: US0010446364B1

An embodiment of the invention is a focusing magnet including a coil pair arranged on both sides of a path of a charged particle beam. The coil pair generates an effective magnetic field region in which a magnetic field is oriented in a direction (z-axis) perpendicular to a traveling direction (x-axis) of a charged particle beam. In an xy-plane, an incident charged particle beam deflected at a deflection angle ϕ with respect to the x-axis at a deflection point Q is deflected by the effective magnetic field region, and irradiates an isocenter at an irradiation angle θ with respect to the x-axis; an arbitrary point P2 on a boundary on an exit side of the effective magnetic field region is at an equal distance r1 from the isocenter; a point P1 on a boundary on an incident side of the effective magnetic field region and the point P2 are on a radius r2 and an arc of a central angle (θ+ϕ); and when a distance between the deflection point Q and the isocenter is L, a distance R between the deflection ...

Подробнее
06-09-2022 дата публикации

Plasma processing apparatus and method of manufacturing semiconductor device using the same

Номер: US0011437222B2

A plasma processing apparatus includes a process chamber having an inner space, an electrostatic chuck in the process chamber and to which a substrate is mounted, a gas injection unit to inject a process gas into the process chamber at a side of the process chamber, a plasma applying unit to transform the process gas injected into the process chamber into plasma, and a plasma adjusting unit disposed around the electrostatic chuck and operative to adjust the density of the plasma across the substrate.

Подробнее
02-10-2002 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM AND METHOD

Номер: EP0001245036A1
Принадлежит:

An ion source (1) for ion implantation system includes a vaporizer (2) for producing process gas; an electron source (12) for directing an electron beam (32) to ionize the process gas within an ionization volume (16); a beam dump (11); an ionization chamber (5); and an extraction aperture (37) for extracting an ion beam.

Подробнее
05-05-2020 дата публикации

Height detection apparatus and charged particle beam apparatus

Номер: US0010641607B2

A height detection apparatus is configured to project a pattern on a sample arranged at any of a plurality of reference positions and configured to detect a height of the sample. The apparatus includes: a projection optical system that generates a plurality of spatially separated light beams each having the pattern and projects the generated spatially separated light beams onto the sample; an imaging element that images the pattern reflected from the sample; a detection optical system that guides the pattern reflected from the sample to the imaging element; and at least one optical path length correction member disposed on an optical path different from an optical path having a shortest optical path length among a plurality of optical paths corresponding to the plurality of light beams at a position where the plurality of light beams is spatially separated.

Подробнее
27-07-2006 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM, AND METHOD

Номер: JP2006196465A
Принадлежит:

PROBLEM TO BE SOLVED: To provide an ion source worth producing and a method capable of using new source materials (especially, a new decaborane for an ion implantation process and heat-sensitive materials such as hydrides and dimer-containing compounds), and to achieve performance in a new range in a commercial ion implantation of a semiconductor wafer. SOLUTION: The ion source (1) for an ion implantation system includes an evaporator (2) generating process gas, an electron source (12) ionizing process gas in an ionization sealing object (16) by orienting an electron beam (32), a beam dump (11), an ionization chamber (5) and an abstraction aperture (37) taking out ion beams, and also a control system capable of controlling energy of a primary electron so that each of vapor or gas molecules can be ionized by collision with primary electrons from the electron gun. COPYRIGHT: (C)2006,JPO&NCIPI ...

Подробнее
11-03-2014 дата публикации

METHOD AND APPARATUS FOR IMPROVED UNIFORMITY CONTROL WITH DYNAMIC BEAM SHAPING

Номер: KR1020140030174A
Автор:
Принадлежит:

Подробнее
28-01-2009 дата публикации

METHODS AND SYSTEMS FOR TRAPPING ION BEAM PARTICLES AND FOCUSING AN ION BEAM

Номер: KR1020090010067A
Принадлежит:

A focusing particle trap system for ion implantation removes unwanted particles from an ion beam prior to implantation. An entrance electrode comprising an entrance aperture and is biased to a first base voltage. A center electrode is positioned a distance downstream from the entrance electrode and includes a center aperture. The center electrode is biased to a negative value, less than the first base voltage. An exit electrode is positioned a distance downstream from the center electrode and includes an exit aperture. The exit electrode is biased to a second base voltage. A first electrostatic field is generated from the entrance electrode toward the center electrode and a second electrostatic field is generated from the exit electrode toward the center electrode in order to trap unwanted particles within an ion beam. © KIPO & WIPO 2009 ...

Подробнее
16-08-2018 дата публикации

Adjusting method of multi-beam optical system and multi-beam exposure apparatus

Номер: TW0201830150A
Принадлежит:

A multi-beam optical system adjustment method according to an aspect of the present invention comprises: forming multi-beams by making a region including the whole of a plurality of openings in a shaping aperture array substrate irradiated by a charged particle beam, and making portions of the charged particle beam individually pass through a corresponding one of the plurality of openings; measuring a distortion of the multi-beams while variably changing a crossover height position of the multi-beams; measuring the crossover height position of the multi-beams where the distortion of the multi-beams is smaller than the others; and adjusting the height position of a limiting aperture substrate which limits passage of a beam deviated from a trajectory in the multi-beams to the crossover height position.

Подробнее
11-11-2004 дата публикации

Charged particle beam apparatus

Номер: US20040222376A1
Автор: Yuko Sasaki, Mitsugu Sato
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

When conditions for an electron gun mainly represented by extraction voltage V1 and accelerating voltage V0 are changed, a charged particle beam is once focused on a fixed position by means of a condenser lens and a virtual cathode position is calculated from a lens excitation of the condenser lens at that time and the mechanical positional relation of the electron gun to set an optical condition. For more accurate setting of the optical condition, a deflecting electrode device is provided at a crossover position of the condenser lens and a voltage is applied to the deflecting electrode device at a constant period so as to control the lens excitation of the condenser lens such that the amount of movement of an image is minimized on an image display unit such as CRT.

Подробнее
08-12-2005 дата публикации

Icon implantation ion source, system and method

Номер: US2005269520A1
Принадлежит:

Various aspects of the invention provide improved approaches and methods for efficiently: Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system; Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source; Ionizing the decaborane into a large fraction of B10Hx+; Preventing thermal dissociation of decaborane; Limiting charge-exchange and low energy electron-induced fragmentation of B10Hx+; Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam; Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam; Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through ...

Подробнее
26-05-2022 дата публикации

BOTTOM ELECTRODE ASSEMBLY, PLASMA PROCESSING APPARATUS, AND METHOD OF REPLACING FOCUS RING

Номер: US20220165551A1
Принадлежит:

Disclosed are a bottom electrode assembly, a plasma processing apparatus, and a method of replacing a focus ring, wherein the bottom electrode assembly comprises: a base for supporting a wafer to be processed; a focus ring provided surrounding the outer periphery of the base; a cover ring disposed beneath the focus ring, a plurality of recesses being arranged along the circumferential direction of the cover ring; moving blocks provided in the recesses, an inner top corner of each moving block being provided with a step, the step being configured to support part of the focus ring; and a drive device connected to the moving blocks to activate the moving blocks to drive the focus ring to move up and down. With the bottom electrode assembly, replacement of the focus ring can be performed without opening the process chamber.

Подробнее
07-06-2012 дата публикации

Electron beam column and methods of using same

Номер: US20120138791A1
Принадлежит: KLA Tencor Corp

In one embodiment, a first vacuum chamber of an electron beam column has an opening which is positioned along an optical axis so as to pass a primary electron beam that travels down the column. A source that emits electrons is positioned within the first vacuum chamber. A beam-limiting aperture is configured to pass a limited angular range of the emitted electrons. A magnetic immersion lens is positioned outside of the first vacuum chamber and is configured to immerse the electron source in a magnetic field so as to focus the emitted electrons into the primary electron beam. An objective lens is configured to focus the primary electron beam onto a beam spot on a substrate surface so as to produce scattered electrons from the beam spot. Controllable deflectors are configured to scan the beam spot over an area of the substrate surface. Other features and embodiments are also disclosed.

Подробнее
10-04-2014 дата публикации

In-column detector for particle-optical column

Номер: US20140097341A1
Принадлежит: FEI Co

The invention relates to an in-column back-scattered electron detector, the detector placed in a combined electrostatic/magnetic objective lens for a SEM. The detector is formed as a charged particle sensitive surface, preferably a scintillator disk that acts as one of the electrode faces forming the electrostatic focusing field. The photons generated in the scintillator are detected by a photon detector, such as a photo-diode or a multi-pixel photon detector. The objective lens may be equipped with another electron detector for detecting secondary electrons that are kept closer to the axis. A light guide may be used to offer electrical insulation between the photon detector and the scintillator.

Подробнее
07-01-2021 дата публикации

Conductive beam optic containing internal heating element

Номер: US20210005421A1
Автор: Scott E. Peitzsch
Принадлежит: Applied Materials Inc

Provided herein are approaches for reducing particles in an ion implanter. In some embodiments, an electrostatic filter of the ion implanter may include a housing and a plurality of conductive beam optics within the housing, the plurality of conductive beam optics arranged around an ion beam-line. At least one conductive beam optic of the plurality of conductive beam optics may include a conductive core element, a resistive material disposed around the conductive core, and a conductive layer disposed around the resistive material.

Подробнее
07-01-2021 дата публикации

CHARGED PARTICLE BEAM SYSTEM AND METHOD

Номер: US20210005423A1
Автор: Zeidler Dirk
Принадлежит:

Charged particle beam systems and methods, such as a multi beam charged particle beam system and related methods, can compensate sample charging. 1. A charged particle beam system , comprising:a first charged particle source configured to generate a first charged particle beam;a multi beam generator configured to generate a plurality of charged particle beamlets from the first charged particle beam, the plurality of charged particle beamlets comprising a first individual charged particle beamlet and a second individual charged particle beamlet;an objective lens;a projective system;a sample stage;a detector system comprising a plurality of individual detectors, the plurality of individual detectors comprising a first individual detector and second individual detector; anda control configured to control the operation of the multi beam generator, the objective lens and the projective system, the control has a first mode of operation and a second mode of operation which is different from the first mode of operation;', the multi beam generator so that each individual charged particle beamlet of the plurality of charged particle beamlets is spatially separated from other charged particle beamlets of the plurality of charged particle beamlets;', 'the objective lens to focus incoming charged particle beamlets in a first plane so that a first region in which the first individual charged particle beamlet impinges in the first plane is spatially separated from a second region in which the second individual charged particle beamlet impinges in the first plane; and', 'the projective system to image interaction products leaving the first region within the first plane due to impinging charged particles onto the first individual detector and to image interaction products leaving the second region in the first plane due to impinging charged particles onto the second individual detector; and, 'in the first mode of operation of the control, the control is configured to control, 'in ...

Подробнее
08-01-2015 дата публикации

SCANNING ELECTRON MICROSCOPE

Номер: US20150008322A1
Принадлежит:

An object of the invention is to provide a scanning electron microscope which forms an electric field to lift up, highly efficiently, electrons discharged from a hole bottom or the like even if a sample surface is an electrically conductive material. To achieve the above object, according to the invention, a scanning electron microscope including a deflector which deflects a scanning position of an electron beam, and a sample stage for loading a sample thereon, is proposed. The scanning electron microscope includes a control device which controls the deflector or the sample stage in such a way that before scanning a beam on a measurement target pattern, a lower layer pattern situated in a lower layer of the measurement target pattern undergoes beam irradiation on another pattern situated in the lower layer. 1. A scanning electron microscope including an electron source , an objective lens which condenses an electron beam discharged from the electron source , a deflector which deflects a scanning position of the electron beam , and a sample stage for loading a sample thereon , the scanning electron microscope comprisinga control device which controls the deflector or the sample stage in such a way that before scanning a beam on a measurement target pattern, a lower layer pattern situated in a lower layer of the measurement target pattern undergoes beam irradiation on another pattern situated in the lower layer.2. The scanning electron microscope according to claim 1 , wherein the deflector or the sample stage is controlled in such a way that the beam is cast on the another pattern situated at a position spaced apart from a scanning area of the measurement target pattern.3. A pattern measurement method using a scanning electron microscope which casts an electron beam discharged from an electron source onto a sample and thus forms a signal waveform of a pattern included in the sample claim 1 , whereinbefore the electron beam is allowed to scan on a measurement target ...

Подробнее
08-01-2015 дата публикации

DEVICE AND METHOD FOR EMITTING ELECTRONS AND DEVICE COMPRISING SUCH A SYSTEM FOR EMITTING ELECTRONS

Номер: US20150008323A1
Принадлежит:

A device and method for emitting electrons by a field effect. The device () includes a vacuum chamber () including a tip () having an end () and forming a cold cathode, an extracting anode (), components adapted for generating a potential difference between the tip () and the anode (); 115-. (canceled)16. A field effect electron emission device comprising:a vacuum chamber including a tip comprising one end and forming a cold cathode, an extracting anode, components adapted for generating a potential difference between the tip and the anode;an electromagnetic wave source outside the chamber;a system for forwarding the electromagnetic wave emitted by the electromagnetic wave source from the outside to the inside of the chamber as far as the vicinity of the tip;a system for focusing the electromagnetic wave, laid out inside the chamber; anda system for aligning the electromagnetic wave, laid out outside the chamber and adapted so as to allow alignment of the electromagnetic wave focused by the focusing system on the end of the tip.17. The electron emission device according to claim 16 , wherein the assembly formed by the electromagnetic wave source claim 16 , the forwarding system claim 16 , the focusing system and the alignment system is adapted for propagating the electromagnetic wave so that it arrives at the end of the tip with an angle different from 0° or 180° relatively to the tip axis claim 16 , for example with an angle comprised between 45° and 135° relatively to the axis of the tip.18. The electron emission device according to claim 16 , wherein the assembly formed by the electromagnetic wave source claim 16 , the forwarding system claim 16 , the focusing system and the alignment system is adapted for propagating the electromagnetic wave so that it arrives at the end of the tip substantially perpendicularly to the axis of the tip.19. The electron emission device according to claim 16 , wherein the forwarding system comprises an entry window adapted for ...

Подробнее
14-01-2021 дата публикации

APPARATUS AND METHOD FOR MEASURING ENERGY SPECTRUM OF BACKSCATTERED ELECTRONS

Номер: US20210012999A1
Принадлежит:

The present invention relates to an apparatus and method for analyzing the energy of backscattered electrons generated from a specimen. The apparatus includes: an electron beam source () for generating a primary electron beam; an electron optical system () configured to direct the primary electron beam to a specimen while focusing and deflecting the primary electron beam; and an energy analyzing system configured to detect an energy spectrum of backscattered electrons emitted from the specimen. The energy analyzing system includes: a Wien filter () configured to disperse the backscattered electrons; a detector () configured to measure the energy spectrum of the backscattered electrons dispersed by the Wien filter (); and an operation controller () configured to change an intensity of a quadrupole field of the Wien filter (), while moving a detecting position of the detector () for the backscattered electrons in synchronization with the change in the intensity of the quadrupole field. 1. An apparatus comprising:an electron beam source configured to generate a primary electron beam;an electron optical system configured to direct the primary electron beam to a specimen while focusing and deflecting the primary electron beam; andan energy analyzing system configured to detect an energy spectrum of backscattered electrons emitted from the specimen, a Wien filter configured to disperse the backscattered electrons;', 'a detector configured to measure the energy spectrum of the backscattered electrons dispersed by the Wien filter; and', 'an operation controller configured to change an intensity of a quadrupole field of the Wien filter, while moving a detecting position of the detector for the backscattered electrons in synchronization with the change in the intensity of the quadrupole field., 'the energy analyzing system including2. The apparatus according to claim 1 , wherein the operation controller is configured to change the intensity of the quadrupole field of the Wien ...

Подробнее
14-01-2021 дата публикации

IN-SITU PLASMA CLEANING OF PROCESS CHAMBER COMPONENTS

Номер: US20210013001A1

Provided herein are approaches for in-situ plasma cleaning of ion beam optics. In one approach, a system includes a component (e.g., a beam-line component) of an ion implanter processing chamber. The system further includes a power supply for supplying a first voltage and first current to the component during a processing mode and a second voltage and second current to the component during a cleaning mode. The second voltage and current are applied to one or more conductive beam optics of the component, individually, to selectively generate plasma around one or more of the one or more conductive beam optics. The system may further include a flow controller for adjusting an injection rate of an etchant gas supplied to the beam-line component, and a vacuum pump for adjusting pressure of an environment of the beam-line component. 1. An ion implantation system , comprising:an ion source configured to form an ion beam;a beam-line component; anda gas source configured to supply a gas to the beam-line component,wherein the gas source is configured to etch a deposit residing on a surface of the beam-line component via a reaction of the deposit with the gas.2. The ion implantation system of claim 1 , wherein the gas source comprises an etchant gas.3. The ion implantation system of claim 1 , wherein the beam-line component is an electrostatic filter (EF).4. The ion implantation system of claim 1 , wherein the gas source is configured to supply the gas to a chamber portion of the beam-line component.5. The ion implantation system of claim 1 , wherein the gas comprises atomic or molecular species containing H claim 1 , He claim 1 , N claim 1 , O claim 1 , F claim 1 , Ne claim 1 , Cl claim 1 , Ar claim 1 , Kr claim 1 , and Xe claim 1 , or combinations thereof.6. The ion implantation system of claim 1 , wherein the gas comprises NF claim 1 , O claim 1 , a mixture of Ar and F claim 1 , or combinations thereof.7. The ion implantation system of claim 1 , the gas source is configured ...

Подробнее
17-01-2019 дата публикации

PLASMON-EXCITED ELECTRON BEAM ARRAY FOR COMPLEMENTARY PATTERNING

Номер: US20190019648A1
Автор: PAN Liang, Xu Xianfan
Принадлежит: PURDUE RESEARCH FOUNDATION

A system for generating an electron beam array, comprising a light source, a first substrate having a plurality of plasmonic lenses mounted thereon, the plasmonic lenses configured to received light from the light source and produce an electron emission, and a plurality of electrostatic microlenses configured to focus the electron emissions into a beam for focusing on a wafer substrate. A light source modulator and digital micro mirror may be included which captures light from the light source and projects light beamlets on the plasmonic lenses. 1. A system comprising:a light source configured to provide a plurality of light beams;a first substrate having a plurality of plasmonic lenses mounted thereon, the plasmonic lenses configured to produce a plurality of corresponding electron emissions onto a wafer substrate, wherein the plasmonic lenses are configured to receive light beams from the light source, and wherein an entirety of each plasmonic lens of the plurality of plasmonic lenses comprise a metal layer.2. The system of claim 1 , further comprising a plurality of electrostatic microlenses configured to focus the electron emissions into corresponding electron beams for focusing on the wafer substrate.3. The system of claim 1 , further comprising a light source modulator connected to the light source.4. The system of claim 1 , further comprising a digital micro mirror which captures light from the light source and projects the light beamlets on the plasmonic lenses.5. The system of claim 1 , further comprising a positioning platform claim 1 , the positioning platform connected between the first substrate and the wafer substrate claim 1 , the positioning device configured to move the wafer substrate in relation to the first substrate.6. The system of claim 5 , wherein the positioning platform is a spinning positioning system.7. The system of claim 5 , wherein the positioning platform is a linear translation positioning system.8. The system of claim 2 , wherein ...

Подробнее
26-01-2017 дата публикации

Apparatus of Plural Charged-Particle Beams

Номер: US20170025241A1
Принадлежит:

A multi-beam apparatus for observing a sample with high resolution and high throughput and in flexibly varying observing conditions is proposed. The apparatus uses a movable collimating lens to flexibly vary the currents of the plural probe spots without influencing the intervals thereof, a new source-conversion unit to form the plural images of the single electron source and compensate off-axis aberrations of the plural probe spots with respect to observing conditions, and a pre-beamlet-forming means to reduce the strong Coulomb effect due to the primary-electron beam. 1. A multi-beam apparatus for observing a surface of a sample , comprising:an electron source;a movable collimating lens below said electron source;a source-conversion unit below said movable collimating lens;a primary projection imaging system below said source-conversion unit;a deflection scanning unit below said source-conversion unit;a sample stage below said primary projection imaging system;a beam separator below said source-conversion unit;a secondary projection imaging system above said beam separator; and wherein said electron source, said movable collimating lens and said source-conversion unit are aligned with a primary optical axis of said apparatus, and said sample stage sustains said sample so that said surface faces to said primary projection imaging system,', 'wherein a first principal plane of said movable collimating lens can be moved along said primary optical axis, and said source-conversion unit comprises a beamlet-forming means with a plurality of beam-limit openings and an image-forming means with a plurality of electron optics elements,', 'wherein said electron source generates a primary-electron beam along said primary optical axis, and said movable collimating lens collimates said primary-electron beam into said source-conversion unit,', 'wherein a plurality of beamlets of said primary-electron beam respectively passes through said plurality of beam-limit openings and is ...

Подробнее
26-01-2017 дата публикации

CHARGED PARTICLE BEAM APPARATUS

Номер: US20170025251A1
Принадлежит:

A charged particle beam apparatus with improved depth of focus and maintained/improved resolution has a charged particle source, an off-axis illumination aperture, a lens, a computer, and a memory unit. The apparatus acquires an image by detecting a signal generated by irradiating a sample with a charged particle beam caused from the charged particle source via the off-axis illumination aperture. The computer has a beam-computing-process unit to estimate a beam profile of the charged particle beam and an image-sharpening-process unit to sharpen the image using the estimated beam profile. 1. A charged particle beam apparatus comprising:a charged particle source;an off-axis illumination aperture;a lens;a computer; anda memory unit,wherein a signal, generated by irradiating a sample with a charged particle beam caused from the charged particle source via the off-axis illumination aperture and the lens, is detected, so as to acquire an image, andwherein the computer has: a beam-computing-process unit that performs a beam computation process to estimate a beam profile of the charged particle beam; and a sharpening process unit that performs a sharpening process to sharpen the image using the estimated beam profile.2. The charged particle beam apparatus according to claim 1 ,wherein the beam computation process is processing to estimate the beam profile by computation with an illumination condition determined with an optical condition including any of an aperture shape of the off-axis illumination aperture, an optical system magnification of the charged particle beam apparatus, accelerating voltage of the charged particle beam, and power of the lens, as an input value,wherein the sharpening process is deconvolution processing of the image using the estimated beam profile, andwherein the memory unit holds the estimated beam profile.3. The charged particle beam apparatus according to claim 1 , wherein the sharpening process is processing to convert the estimated beam ...

Подробнее
28-01-2016 дата публикации

Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions

Номер: US20160027607A1
Принадлежит: FEI Co

An inductively coupled plasma source having multiple gases in the plasma chamber provides multiple ion species to a focusing column. A mass filter allows for selection of a specific ion species and rapid changing from one species to another.

Подробнее
11-02-2016 дата публикации

ION IMPLANTER, ION IMPLANTATION METHOD, AND BEAM MEASUREMENT APPARATUS

Номер: US20160042915A1
Принадлежит:

An ion implanter includes: a beam deflector that deflects an ion beam passing through a previous stage beam path and outputs the beam to pass through a subsequent stage beam path toward a wafer; a beam filter slit that partially shields the beam traveling through the subsequent stage beam path and allows passage of a beam component having a predetermined trajectory toward the wafer; a dose cup that is disposed between the beam deflector and the beam filter slit and measures a part of the beam exiting from the beam deflector as a beam current; and a trajectory limiting mechanism that is disposed between the beam deflector and the dose cup and prevents a beam component having a trajectory deviated from the predetermined trajectory from being incident to a measurement region of the dose cup. 1. An ion implanter comprising:a beam deflector that deflects an ion beam incident through a previous stage beam path in a y direction by action of either or both of an electric field and a magnetic field and emits the beam to pass through a subsequent stage beam path extending in a z direction toward a wafer;a beam filter slit that is disposed on the subsequent stage beam path between the beam deflector and the wafer, partially shields the beam traveling through the subsequent stage beam path toward the wafer, and allows passage of a beam component toward the wafer, the beam component having a predetermined trajectory among beam components of the beam passing through the subsequent stage beam path;a dose cup that is disposed between the beam deflector and the beam filter slit and measures a part of the beam exiting from the beam deflector; anda trajectory limiting mechanism that is disposed between the beam deflector and the dose cup and prevents a beam component having a trajectory deviated from the predetermined trajectory, among beam components of the beam that exits from the beam deflector and is directed toward the dose cup, from being incident to a measurement region of the ...

Подробнее
08-02-2018 дата публикации

PARTICLE BEAM SYSTEM AND METHOD FOR OPERATING A PARTICLE OPTICAL UNIT

Номер: US20180040454A1
Принадлежит:

A method for operating a multi-beam particle optical unit comprises includes providing a first setting of effects of particle-optical components, wherein a particle-optical imaging is characterizable by at least two parameters. The method also includes determining a matrix A, and determining a matrix S. The method further includes defining values of parameters which characterize a desired imaging, and providing a second setting of the effects of the components in such a way that the particle-optical imaging is characterizable by the parameters having the defined values. 119.-. (canceled)20. A particle beam system , comprising:a multi-beam source configured to generate a first array of a plurality of first particle beams;a first particle optical unit configured to direct the first particle beams onto an object; anda controller; the first particle optical unit comprises at least two particle-optical lenses arranged in a beam path of the first particle optical unit;', particles of the first particle beams are used to image a first plane onto a second plane; and', 'the second plane coincides with an object plane so that the first particle beams impinge on the object at impingement locations which form a second array;, 'the controller is configured to set an effect of each of the at least two particle-optical lenses on the first particle beams so that, during use of the particle beam system, 'the multi-beam source is fixed relative to the particle-optical lenses of the first particle optical unit;', 'during use of the particle beam system, the first particle beams are situated within the first array at positions with fixed distances between one another;', 'the controller is configured to change distances between the impingement locations within the second array by changing the effects of the particle-optical lenses of the first particle optical unit;', 'the controller is configured to change an orientation of the second array of impingement locations relative to the ...

Подробнее
12-02-2015 дата публикации

DRAWING DATA GENERATING METHOD, PROCESSING APPARATUS, STORAGE MEDIUM, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE

Номер: US20150044615A1
Автор: OZAWA Kimitaka, SETO Isamu
Принадлежит:

A method generates drawing data for performing drawing on a substrate with a plurality of charged particle beams based on pattern data representing a pattern to be drawn on the substrate. The method includes: a grouping step of grouping the plurality of charged particle beams into a plurality of groups based on a displacement amount of an irradiation position of each of the plurality of charged particle beams from target position thereof; and a generating step of generating the drawing data by changing the pattern data with respect to each of the plurality of groups based on the displacement amount of each of the plurality of charged particle beams. 1. A method of generating drawing data for performing drawing on a substrate with a plurality of charged particle beams based on pattern data representing a pattern to be drawn on the substrate , the method comprising:a grouping step of grouping the plurality of charged particle beams into a plurality of groups based on a displacement amount of an irradiation position of each of the plurality of charged particle beams from a target position thereof; anda generating step of generating the drawing data by changing the pattern data with respect to each of the plurality of groups based on the displacement amount of each of the plurality of charged particle beams.2. The method according to claim 1 , wherein the generating step changes the pattern data based on an average value of a plurality of the displacement amount with respect to each of the plurality of groups.3. The method according to claim 1 , wherein the grouping step groups the plurality of charged particle beams into the plurality of groups based on a target drawing precision.4. The method according to claim 1 , wherein number of groups constituting the plurality of groups is not greater than half of number of charged particle beams constituting the plurality of charged particle beams.5. A processing apparatus for generating drawing data for performing drawing on a ...

Подробнее
07-02-2019 дата публикации

Systems and methods for charged particle flooding to enhance voltage contrast defect signal

Номер: US20190043691A1
Принадлежит: ASML Netherlands BV

Systems and methods for implementing charged particle flooding in a charged particle beam apparatus are disclosed. According to certain embodiments, a charged particle beam system includes a charged particle source and a controller which controls the charged particle beam system to emit a charged particle beam in a first mode where the beam is defocused and a second mode where the beam is focused on a surface of a sample.

Подробнее
13-02-2020 дата публикации

APPARATUS FOR MULTIPLE CHARGED-PARTICLE BEAMS

Номер: US20200051779A1
Принадлежит:

Systems and methods for observing a sample in a multi-beam apparatus are disclosed. A charged particle optical system may include a deflector configured to form a virtual image of a charged particle source and a transfer lens configured to form a real image of the charged particle source on an image plane. The image plane may be formed at least near a beam separator that is configured to separate primary charged particles generated by the source and secondary charged particles generated by interaction of the primary charged particles with a sample. The image plane may be formed at a deflection plane of the beam separator. The multi-beam apparatus may include a charged-particle dispersion compensator to compensate dispersion of the beam separator. The image plane may be formed closer to the transfer lens than the beam separator, between the transfer lens and the charged-particle dispersion compensator. 1. A charged particle optical system comprising:a first deflector array configured to deflect a plurality of beamlets of a primary charged particle beam generated by a source;a first lens configured to focus the plurality of beamlets to form a plurality of images of the source on an image plane; andan objective lens configured to project the plurality of images onto a sample and form a plurality of probe spots thereon.2. The charged particle optical system of claim 1 , further comprising:a beam separator configured to separate the plurality of beamlets and secondary charged particles emitted from the sample due to illumination by the plurality of probe spots.3. The charged particle optical system of claim 2 , wherein the image plane is at least near the beam separator.4. The charged particle optical system of claim 3 , wherein deflection angles of the plurality of beamlets deflected by the first deflector array are set to obtain a predetermined pitch of the plurality of probe spots and to decrease aberrations thereof.5. The charged particle optical system claim 1 , ...

Подробнее
05-03-2015 дата публикации

APPARATUS OF PLURAL CHARGED PARTICLE BEAMS WITH MULTI-AXIS MAGNETIC LENS

Номер: US20150060662A1
Принадлежит:

An apparatus of plural charged particle beams with multi-axis magnetic lens is provided to perform multi-functions of observing a specimen surface, such as high-throughput inspection and high-resolution review of interested features thereof and charge-up control for enhancing image contrast and image resolution. In the apparatus, two or more sub-columns are formed and each of the sub-columns performs one of the multi-functions. Basically the sub-columns take normal illumination to get high image resolutions, but one or more may take oblique illuminations to get high image contrasts. 1. An apparatus of plural charged particle beams , comprising:a specimen stage, which sustains a specimen thereon; andmultiple sub-columns, which are placed above an observed surface of said specimen and respectively perform one of multiple functions for observing said observed surface,wherein a first function of said multiple functions is high-throughput inspection of interested features on said observed surface, and a second function of said multiple functions is high-resolution review of said interested features on said observed surface, a gun unit, which comprises a charged particle source and a condenser lens to provide a primary charged particle beam along an optical axis of said each sub-column; and', 'an imaging system, which comprises a beam-limit aperture, an objective lens, a deflection scanning device and a first charged-particle detector, wherein said beam-limit aperture and said objective lens are aligned with said optical axis, said beam-limit aperture limits a current of said primary charged particle beam to a desired value, said objective lens focuses said primary charged particle beam onto said observed surface, said first charged-particle detector detects secondary charged particles emitted from said observed surface where said primary charged particle beam impinges, and said deflection scanning device deflects said primary charged particle beam to scan said observed ...

Подробнее
21-02-2019 дата публикации

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

Номер: US20190057837A1
Принадлежит:

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit changes a single electron source into a virtual multi-source array, a primary projection imaging system projects the array to form plural probe spots on the sample, and a condenser lens adjusts the currents of the plural probe spots. In the source-conversion unit, the image-forming means is on the upstream of the beamlet-limit means, and thereby generating less scattered electrons. The image-forming means not only forms the virtual multi-source array, but also compensates the off-axis aberrations of the plurality of probe spots. 124.-. (canceled)25. A charged-particle beam apparatus , comprising:a charged particle source configured to provide a primary beam;an image forming unit configured to form a plurality of images of the charged particle source using a plurality of beamlets of the primary beam;a first projection system with an objective lens and configured to form a plurality of probe spots on a sample from the plurality of beamlets;a second projection system configured to focus a plurality of secondary beams generated by the plurality of probe spots on the sample;a beam separator configured to separate the plurality of beamlets and the plurality of secondary beams; anda detection device with a plurality of detection elements configured to receive the plurality of secondary beams;wherein the second projection system includes an anti-rotation magnetic lens configured to eliminate a rotation of the plurality of secondary beams on the detection device.26. The charged-particle beam apparatus of claim 25 , wherein the first projection system includes a transfer lens to focus the plurality of beamlets to land on the sample perpendicularly.27. The charged-particle beam apparatus of claim 25 , further comprising:a deflection scanning unit configured to scan the plurality of probe spots on the sample.28. The charged-particle beam ...

Подробнее
04-03-2021 дата публикации

Multi-pole deflector for charged particle beam and charged particle beam imaging apparatus

Номер: US20210066021A1

The invention provides a multi-pole deflector for a charged particle beam, and a charged particle beam imaging apparatus. The deflector includes a plurality of poles, including at least two pairs of poles, each pole in each pair of poles including a main body constructed in the form of a circular arc-shaped section and a protrusion projecting from an radial inner side of the main body. respective two main bodies of each pair of poles are arranged concentrically and diametrically opposite, and the at least two pairs of poles at least partially encompass and delimit a through-hole thereamong, which opens axially and is configured to receive and to pass therethrough the charged particle beam; and the at least two pairs of poles cooperate to generate respective secondary deflection fields distributed within the through-hole and across an internal space defined within the through-hole, respectively, and the secondary deflection fields are synthesized by combination of vectors into a resultant deflection field of the deflector which is distributed within and across the through-hole and is configured to deflect the charged particle beam passing therethrough.

Подробнее
14-03-2019 дата публикации

CHARGED PARTICLE BEAM WRITING APPARATUS AND METHOD FOR DIAGNOSING FAILURE OF BLANKING CIRCUIT

Номер: US20190080877A1
Автор: NAKAYAMA Takahito
Принадлежит: NuFlare Technology, Inc.

In one embodiment, a charged particle beam writing apparatus includes a blanking circuit applying a blanking voltage to a blanking deflector, a stage on which a substrate is placed, a mark on the stage, a detector detecting an irradiation position of the charged particle beam based on irradiation of the mark with the charged particle beam, and a diagnostic electric circuitry that causes the charged particle beam to enter a predetermined defocused state relative to the mark, obtains a difference between a first irradiation position when the mark is scanned under first irradiation conditions and a second irradiation position when the mark is scanned under second irradiation conditions in which at least either of irradiation time and settling time in the first irradiation conditions is varied, and determines occurrence of a failure of the blanking circuit when the difference is a predetermined value or more. 1. A charged particle beam writing apparatus comprising:an emitter emitting a charged particle beam;a blanking deflector performing blanking control of the charged particle beam by deflecting the beam in accordance with an applied blanking voltage;a blanking circuit applying the blanking voltage to the blanking deflector;a stage on which a substrate irradiated with the charged particle beam is placed;a mark on the stage;a detector detecting an irradiation position of the charged particle beam based on irradiation of the mark with the charged particle beam; anda diagnostic electric circuitry that causes the charged particle beam to enter a predetermined defocused state relative to the mark, obtains a difference between a first irradiation position detected by the detector when the mark is scanned with the charged particle beam under first irradiation conditions and a second irradiation position detected by the detector when the mark is scanned with the charged particle beam under second irradiation conditions in which at least either of irradiation time and settling ...

Подробнее
25-03-2021 дата публикации

Focused ion beam apparatus

Номер: US20210090854A1
Принадлежит: Hitachi High Tech Science Corp

A focused ion beam apparatus (100) includes: a focused ion beam lens column (20); a sample table (51); a sample stage (50); a memory (6M) configured to store in advance three-dimensional data on the sample table and an irradiation axis of the focused ion beam, the three-dimensional data being associated with stage coordinates of the sample stage; a display (7); and a display controller (6A) configured to cause the display to display a virtual positional relationship between the sample table (51v) and the irradiation axis (20Av) of the focused ion beam, which is exhibited when the sample stage is operated to move the sample table to a predetermined position, based on the three-dimensional data on the sample table and the irradiation axis of the focused ion beam.

Подробнее
21-03-2019 дата публикации

Electron emission tube, electron irradiation device, and method of manufacturing electron emission tube

Номер: US20190088441A1
Принадлежит: Hamamatsu Photonics KK

An electron emission tube includes a housing in which an internal space is provided and which keeps the internal space in vacuum, an electron source that is arranged on a first end side in one direction of the housing and that generates an electron, a gate valve that is arranged on a second end side in the one direction of the housing and that can switch the second end side between an open state and a blocked state, and a partition part that is placed between the electron source and the gate valve and that divides the internal space into a first region including the electron source and a second region including the gate valve. The partition part includes an electron-permeable membrane that transmits an electron.

Подробнее
21-03-2019 дата публикации

Measurement Method and Electron Microscope

Номер: US20190088447A1
Автор: Kohno Yuji
Принадлежит:

Provided is a measurement method for measuring, in an electron microscope including a segmented detector having a detection plane segmented into a plurality of detection regions, a direction of each of the plurality of detection regions in a scanning transmission electron microscope (STEM) image, the measurement method including: shifting an electron beam EB incident on a sample S under a state where the detection plane is conjugate to a plane shifted from a diffraction plane to shift the electron beam EB on the detection plane, and measuring a shift direction of the electron beam EB on the detection plane with the segmented detector; and obtaining the direction of each of the plurality of detection regions in the STEM image from the shift direction. 1. A measurement method for measuring , in an electron microscope including a segmented detector having a detection plane segmented into a plurality of detection regions , a direction of each of the plurality of detection regions in a scanning transmission electron microscope (STEM) image ,the measurement method comprising:shifting an electron beam incident on a sample under a state where the detection plane is conjugate to a plane shifted from a diffraction plane to shift the electron beam on the detection plane, and measuring a shift direction of the electron beam on the detection plane with the segmented detector; andobtaining the direction of each of the plurality of detection regions in the STEM image from the shift direction.2. The measurement method according to claim 1 ,wherein measuring the shift direction with the segmented detector includes repeatedly shifting the electron beam incident on the sample and changing a direction in which the electron beam is shifted every time the electron beam is shifted.3. The measurement method according to claim 1 ,wherein measuring the shift direction with the segmented detector includes repeatedly shifting the electron beam incident on the sample and changing a position of ...

Подробнее
05-05-2022 дата публикации

PARTICLE BEAM SYSTEM AND THE USE THEREOF FOR FLEXIBLY SETTING THE CURRENT INTENSITY OF INDIVIDUAL PARTICLE BEAMS

Номер: US20220139665A1
Принадлежит:

A particle beam system and, such as a multi-beam particle microscope, can have a current intensity of individual particle beams that is flexibly set over large value ranges without structural modifications. The particle beam system can include a condenser lens system, a pre-multi-lens array with a specific pre-counter electrode and a pre-multi-aperture plate, and a multi-lens array. The system can includes a controller to supply adjustable excitations to the condenser lens system and the pre-counter electrode so that the charged particles are incident on the pre-multi-aperture plate in telecentric manner.

Подробнее
12-05-2022 дата публикации

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

Номер: US20220148851A1
Принадлежит:

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit changes a single electron source into a virtual multi-source array, a primary projection imaging system projects the array to form plural probe spots on the sample, and a condenser lens adjusts the currents of the plural probe spots. In the source-conversion unit, the image-forming means is on the upstream of the beamlet-limit means, and thereby generating less scattered electrons. The image-forming means not only forms the virtual multi-source array, but also compensates the off-axis aberrations of the plurality of probe spots. 137-. (canceled)38. A charged-particle beam apparatus , comprising:a source conversion unit configured to convert electrons from a single electron source into a plurality of beamlets, the source conversion unit comprising a plurality of paired elements, wherein each paired element comprises a first element and a second element above the first element;a first projection system configured to form a plurality of probe spots on a sample from the plurality of beamlets;a second projection system configured to focus a plurality of secondary beams generated by the plurality of probe spots on the sample; anda detection device configured to receive the plurality of secondary beams.39. The charged-particle beam apparatus of claim 38 , wherein the first elements of the plurality of paired elements form a first layer of multi-pole elements and the second elements of the plurality of paired elements form a second layer of multi-pole elements.40. The charged-particle beam apparatus of claim 39 , wherein the multi-pole elements of the first layer are aligned with the multi-pole elements of the second layer in a direction parallel to a primary optical axis of the apparatus.41. The charged-particle beam apparatus of claim 38 , wherein the first element and the second element of the plurality of paired elements are ...

Подробнее
28-03-2019 дата публикации

A CORRECTOR STRUCTURE AND A METHOD FOR CORRECTING ABERRATION OF AN ANNULAR FOCUSED CHARGED-PARTICLE BEAM

Номер: US20190096629A1
Автор: Khursheed Anjam
Принадлежит: NATIONAL UNIVERSITY OF SINGAPORE

A corrector structure and a method for correcting aberration of an annular focused charged-particle beam, the corrector structure comprising a plurality of lenses configured for reducing second-order geometric aberration in the charged-particle beam. 1. A corrector structure for correcting aberration of an annular focused charged-particle beam , the corrector structure comprising a plurality of lenses configured for reducing second-order geometric aberration in the charged-particle beam.2. The corrector structure of claim 1 , wherein the lenses comprise core-lenses.3. The corrector structure of claim 1 , wherein the lenses comprise at least one converging lens and at least one diverging lens.4. The corrector structure of claim 1 , wherein for a charged-particle beam converging from a source in a direction towards an objective lens claim 1 , the corrector structure comprises two or more lenses.5. The corrector structure of claim 1 , wherein for a charged-particle beam diverging from a source in a direction towards an objective lens claim 1 , the corrector structure comprises three or more lenses.6. The corrector structure of wherein the lenses comprise two converging lenses and one diverging lens.7. The corrector structure of claim 6 , wherein the diverging lens is disposed between the two converging lenses along a path for the charged-particle beam.8. The corrector structure of claim 1 , wherein the corrector structure is configured for disposal between an objective lens and an annular aperture along a path for the charged-particle beam.9. The corrector structure of claim 1 , wherein the lenses comprise electric field claim 1 , magnetic field and/or combined electric/magnetic field lenses.10. A method for correcting aberration of an annular focused charged-particle beam claim 1 , the method comprising:providing a plurality of lenses; andconfiguring the lenses for reducing second-order geometric aberration in the charged-particle beam.11. The method of claim 10 , ...

Подробнее
28-03-2019 дата публикации

CHARGED PARTICLE BEAM IRRADIATION APPARATUS AND METHOD FOR REDUCING ELECTRIFICATION OF SUBSTRATE

Номер: US20190096632A1
Автор: Ogasawara Munehiro
Принадлежит: NuFlare Technology, Inc.

According to one aspect of the present invention, a charged particle beam irradiation apparatus includes: a plurality of electrodes arranged in a magnetic field space of an electromagnetic lens and also arranged so as to surround a space on an outer side of a passing region of a charged particle beam; and a potential control circuit configured to control potentials of the plurality of electrodes so as to generate plasma in the space surrounded by the plurality of electrodes and so as to control movement of positive ions or electrons and negative ions generated by the plasma, wherein positive ions, electrons and negative ions, or active species are emitted from the space of the plasma. 1. A charged particle beam irradiation apparatus comprising:an emission source configured to emit a charged particle beam;an electromagnetic lens configured to refract the charged particle beam;a plurality of electrodes arranged in a magnetic field space of the electromagnetic lens and also arranged so as to surround a space on an outer side of a passing region of the charged particle beam; anda potential control circuit configured to control potentials of the plurality of electrodes so as to generate plasma in the space surrounded by the plurality of electrodes and so as to control movement of positive ions or electrons and negative ions generated by the plasma, whereinpositive ions, electrons and negative ions, or active species are emitted from the space of the plasma.2. The apparatus according to claim 1 , wherein the plasma is generated by magnetron discharge.3. The apparatus according to claim 1 , wherein the plasma is generated by Penning discharge.4. The apparatus according to claim 1 , further comprising: a supply mechanism for supplying a gas to the space of the plasma.5. The apparatus according to claim 1 , wherein as the plurality of electrodes claim 1 ,an inner electrode formed in a cylindrical shape,an outer electrode formed in a cylindrical shape and arranged so as to ...

Подробнее
23-04-2015 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20150107773A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus for exciting a processing gas by a microwave, includes a focus ring extending in an annular shape, a first tubular member being wrapped around a central axis to extend along an outer periphery of the lower electrode below the focus ring, an annular member made of a dielectric material provided between the focus ring and the first tubular member a second tubular member extending along an outer periphery of the first tubular member and a choke portion suppressing a microwave propagating through the first tubular member via the focus ring and the annular member. And the choke portion protrudes outward in a diametrical direction of the first tubular from the outer periphery of the first tubular member and extends in an annular shape along the periphery of the first tubular member, the choke portion is covered by the second tubular member. 1. A plasma processing apparatus for exciting a processing gas by a microwave , comprising:a processing chamber;a mounting table provided in the processing chamber, the mounting table including a lower electrode and an electrostatic chuck provided on the lower electrode;a focus ring made of a dielectric material, extending in an annular shape so as to surround the electrostatic chuck;a first tubular member made of a dielectric material, the first tubular member being wrapped around a central axis to extend along an outer periphery of the lower electrode below the focus ring;an annular member made of a dielectric material, provided between the focus ring and the first tubular member;a conductive second tubular member extending along an outer periphery of the first tubular member; anda choke portion made of a dielectric material, serving to suppress a microwave propagating through the first tubular member via the focus ring and the annular member,wherein the choke portion protrudes outward in a diametrical direction of the first tubular from the outer periphery of the first tubular member and extends in an ...

Подробнее
29-04-2021 дата публикации

SYSTEM AND METHOD FOR ALIGNMENT OF CATHODOLUMINESCENCE OPTICS

Номер: US20210125807A1
Принадлежит:

Systems and methods for automated alignment of cathodoluminescence (CL) optics in an electron microscope relative to a sample under inspection are described. Accurate placement of the sample and the electron beam landing position on the sample with respect to the focal point of a collection mirror that reflects CL light emitted by the sample is critical to optimizing the amount of light collected and to preserving information about the angle at which light is emitted from the sample. Systems and methods are described for alignment of the CL mirror in the XY plane, which is orthogonal to the axis of the electron beam, and for alignment of the sample with respect to the focal point of the CL mirror along the Z axis, which is coincident with the electron beam. 1. A method for automated vertical alignment of a first sample portion with respect to the focal point of a cathodoluminescence (CL) mirror in an electron microscope (EM) system having a vertical axis coincident with an electron beam generated in the electron microscope system , comprising:focusing the EM on a sample portion;calculating a working distance from a feature in the EM objective lens assembly to said first sample portion based on EM objective lens current and electron accelerating voltage;recording the vertical position of the sample stage;calculating a distance to change said vertical position of the sample stage to bring the first sample portion to the focal point of the CL mirror based on said working distance and a previously determined relationship between the coordinate system of the EM and the CL mirror focal point; andchanging the vertical position of the stage system by a portion or all of said calculated distance.2. The method of further comprising:limiting said change in vertical position of the stage system based on a physical attribute of the CL mirror or height of the sample.3. The method of where said previously determined relationship between the coordinate system of the EM and said CL ...

Подробнее
17-07-2014 дата публикации

Charged particle beam lens and exposure apparatus using the same

Номер: US20140197325A1
Принадлежит: Canon Inc

An electrostatic charged particle beam lens includes an electrode including a flat plate having a first surface having a normal line extending in a direction of an optical axis and a second surface opposite to the first surface, the electrode having a through-hole extending from the first surface to the second surface. When an opening cross section is defined as a cross section of the through-hole taken along a plane perpendicular to the normal line and a representative diameter is defined as a diameter of a circle obtained by performing regression analysis of the opening cross section, a representative diameter of the opening cross section in a first region that is on the first surface side and a representative diameter of the opening cross section in a second region that is on the second surface side are each larger than a representative diameter of the opening cross section in a third region that is a region in the electrode disposed between the first surface and the second surface.

Подробнее
07-05-2015 дата публикации

THERMALLY CONDUCTIVE SILICONE SHEET, MANUFACTURING METHOD THEREOF, AND PLASMA PROCESSING APPARATUS USING THE SAME

Номер: US20150122422A1
Принадлежит:

A plasma processing apparatus includes a thermally conductive silicone sheet between a mounting table and a focus ring. The thermally conductive silicone sheet has 100 parts by weight to 2000 parts by weight of thermally conductive particles with respect to 100 parts by weight of polyorganosiloxane, and the sheet has a thermal conductivity of 0.2 W/m·K to 5 W/m·K. Further, when the sheet has a shape of 38 mm in length, 38 mm in width, and 3 mm in thickness and is interposed between filter papers each having a diameter of 70 mm and kept under a load of 1 kg at 70° C. for 1 week, a bleed-out amount of a liquid component is 30 mg or less. 1. A thermally conductive silicone sheet for a plasma processing apparatus , having 100 parts by weight to 2000 parts by weight of thermally conductive particles with respect to 100 parts by weight of polyorganosiloxane ,wherein the sheet has a thermal conductivity of 0.2 W/m·K to 5 W/m·K and a hardness of 5 to 60 (ASKER C), andwhen the sheet has a shape of 38 mm in length, 38 mm in width, and 3 mm in thickness and is interposed between filter papers each having a diameter of 70 mm and kept under a load of 1 kg at 70° C. for 1 week, a bleed-out amount of a liquid component is 30 mg or less.2. The thermally conductive silicone sheet of claim 1 ,wherein the thermally conductive particles include at least two inorganic particles having average particle diameters different from each other, and{'sub': 3', 'a', '3-a, 'the inorganic particles having a relatively smaller average particle diameter are surface-treated with a silane compound expressed by R(CH)Si(OR′)(R represents a substituted or unsubstituted organic group having 6 to 20 carbon atoms, R′ represents an alkyl group having 1 to 4 carbon atoms, and a is 0 or 1), or a partial hydrolysate thereof.'}3. The thermally conductive silicone sheet of claim 1 ,wherein the thermally conductive particles includes at least one selected from a group consisting of alumina, zinc oxide, magnesium ...

Подробнее
09-06-2022 дата публикации

3D MAPPING OF SAMPLES IN CHARGED PARTICLE MICROSCOPY

Номер: US20220181116A1
Автор: Kamenec Jaroslav
Принадлежит: FEI COMPANY

Situating samples on an optical axis of a charged particle microscope can be performed based a 3D map of the samples. The 3D map is produced with back-side illumination of the samples and telecentric imaging to produce profile images. The profile images are a combined to form the 3D map. Using the 3D map, the processor is coupled to a sample stage to situate a selected sample or sample portion for imaging in the charged particle microscope. In some examples, the processor is responsive to selection of a sample using a graphical interface so that the sample stage is controlled to safely situate the selected sample without further operator intervention. 1. A method , comprising:illuminating a sample situated within a vacuum chamber of a charged-particle microscope from a first side;detecting at least one 2D projection of the sample on a second side, opposite the first side;generating a 3D map based on the at least one 2D projection; andsituating the sample at an imaging location within the vacuum chamber based on the 3D map.2. The method of claim 1 , wherein the sample is illuminated from the first side with a light source claim 1 , and the sample is imaged or processed with a charged particle beam at the imaging location.3. The method of claim 1 , further comprising detecting a plurality of 2D projections of the sample on the second side by rotating the sample claim 1 , wherein the 3D map is generated based on the plurality of 2D projections.4. The method of claim 3 , further comprising determining a set of initial 3D maps claim 3 , wherein each of the initial 3D maps is based on a different set of rotation angles and the 3D map is based on combining the initial 3D maps so that the 3D map is a safe 3D map.5. The method of claim 1 , wherein the sample is illuminated at a profiling location claim 1 , and the sample is moved from the profiling location to the imaging location based on the 3D map.6. The method of claim 1 , wherein the illuminating the sample comprises ...

Подробнее
13-05-2021 дата публикации

SYSTEMS AND METHODS FOR CHARGED PARTICLE FLOODING TO ENHANCE VOLTAGE CONTRAST DEFECT SIGNAL

Номер: US20210142979A1
Принадлежит:

Systems and methods for implementing charged particle flooding in a charged particle beam apparatus are disclosed. According to certain embodiments, a charged particle beam system includes a charged particle source and a controller which controls the charged particle beam system to emit a charged particle beam in a first mode where the beam is defocused and a second mode where the beam is focused on a surface of a sample. 115-. (canceled)16. A charged particle beam system comprising:an adjustable aperture configured to allow a charged particle beam to pass therethrough, the adjustable aperture being changeable to provide a selected aperture size; and control the charged particle beam system to provide the charged particle beam in a first mode in which the charged particle beam passes through the adjustable aperture at a first aperture size and is incident on a sample at a first current level; and', 'control the charged particle beam system to provide the charged particle beam in a second mode in which the charged particle beam passes through the adjustable aperture at a second aperture size and is incident on the sample at a second current level., 'a controller configured to17. The charged particle beam system of claim 16 , wherein the controller is configured to:switch the charged particle beam system between the first mode and the second mode.18. The charged particle beam system of claim 16 , wherein the controller is configured to:in the first mode, defocus the charged particle beam incident on the sample so as to flood a region on a surface of the sample with charged particles of the charged particle beam.19. The charged particle beam system of claim 16 , wherein the controller is configured to:in the second mode, focus the charged particle beam incident on the sample to the surface of the sample.20. The charged particle beam system of claim 16 , wherein the controller is configured to:control the charged particle beam system to provide the charged particle beam ...

Подробнее
14-05-2015 дата публикации

INDUCTIVELY-COUPLED PLASMA ION SOURCE FOR USE WITH A FOCUSED ION BEAM COLUMN WITH SELECTABLE IONS

Номер: US20150129759A1
Принадлежит: FEI COMPANY

An inductively coupled plasma source having multiple gases in the plasma chamber provides multiple ion species to a focusing column. A mass filter allows for selection of a specific ion species and rapid changing from one species to another. 1. A charged particle beam system , comprising:plasma ion source;one or more gas sources for providing multiple gases to the plasma ion source to produce multiple ion species simultaneously from the plasma ion source;a mass filter to select an ion species from the multiple ion species produced by the plasma ion source; andfocusing optics to produce a focused beam of the selected ion species at a target, the beam having a submicron diameter at the target.2. The charged particle beam system of claim 1 , wherein the mass filter comprises an E×B filter.3. (canceled)4. (canceled)5. The charged particle beam system of claim 1 , wherein the one or more gas species comprises two gas species.6. The charged particle beam system of claim 1 , wherein the mass filter comprises an aberration corrected E×B mass filter.7. The charged particle beam system of claim 6 , wherein the aberration corrected E×B mass filter has multiple stages claim 6 , a first E×B filter stage and at least a second E×B filter stage.8. The charged particle beam system of claim 1 , wherein said mass filter includes at least two electrostatic pole pieces claim 1 , each having a separate electrical connection.9. The charged particle beam system of claim 1 , wherein said mass filter further comprises mechanically adjustable magnetic field distribution and entrance and exit apertures.10. The charged particle beam system of claim 9 , wherein said mass filter further comprises least two magnetic poles claim 9 , each magnetic pole having two electrical connections claim 9 , one electrical connection at each end of each magnetic pole.11. The charged particle beam system of claim 1 , in which the focusing optics focus a mass filtered ion beam to a spot size of less than 100 nm at ...

Подробнее
21-05-2015 дата публикации

Focused Ion Beam System and Method of Making Focal Adjustment of Ion Beam

Номер: US20150136978A1
Автор: Tomohiro Mihira
Принадлежит: Jeol Ltd

A focused ion beam system is offered which can make a focal adjustment without relying on the structure of a sample while suppressing damage to the sample to a minimum. Also, a method of making this focal adjustment is offered. The focused ion beam system has an ion source for producing an ion beam, a lens system for focusing the beam onto the sample, a detector for detecting secondary electrons emanating from the sample, and a controller for controlling the lens system. The controller is operative to provide control such that the sample is irradiated with the ion beam without scanning the beam and that a focus of the ion beam is varied by varying the intensity of the objective lens during the ion beam irradiation. Also, the controller measures the intensity of a signal indicating secondary electrons emanating from the sample while the intensity of the objective lens is being varied. Furthermore, the controller makes a focal adjustment of the ion beam on the basis of the intensity of the objective lens obtained when the measured intensity of the signal indicating secondary electrons is minimal.

Подробнее
21-05-2015 дата публикации

Charged Particle Beam Device

Номер: US20150136979A1
Принадлежит: Hitachi High Technologies Corp

When a signal electron is detected by energy selection by combining and controlling retarding and boosting for observation of a deep hole, etc., the only way for focus adjustment is to use a change in magnetic field of an objective lens. However, since responsiveness of the change in magnetic field is poor, throughput reduces. A charged particle beam device includes: an electron source configured to generate a primary electron beam; an objective lens configured to focus the primary electron beam; a deflector configured to deflect the primary electron beam; a detector configured to detect a secondary electron or a reflection electron generated from a sample by irradiation of the primary electron beam; an electrode having a hole through which the primary electron beam passes; a voltage control power supply configured to apply a negative voltage to the electrode; and a retarding voltage control power supply configured to generate an electric field, which decelerates the primary electron beam, on the sample by applying the negative voltage to the sample, wherein the charged particle beam device performs focus adjustment while an offset between the voltage applied to the electrode and the voltage applied to the sample is being kept constant.

Подробнее
21-05-2015 дата публикации

Image Acquisition Method and Transmission Electron Microscope

Номер: US20150136980A1
Автор: Iijima Hirofumi
Принадлежит:

An image acquisition method and system for use in transmission electron microscopy and capable of providing information about a wide range of frequency range. The method is initiated with setting at least one of the spherical aberration coefficient and chromatic aberration coefficient of the imaging system of the microscope to suppress attenuation of a contrast transfer function due to an envelope function. Then, an image is obtained by the imaging system placed in defocus conditions. 1. An image acquisition method for use in a transmission electron microscope , said image acquisition method comprising the steps of:setting at least one of a spherical aberration coefficient and a chromatic aberration coefficient of an imaging system of the transmission electron microscope to suppress attenuation of a contrast transfer function due to an envelope function; andobtaining an image by the imaging system placed under defocus conditions.2. The image acquisition method as set forth in claim 1 , wherein the spherical aberration coefficient of said imaging system is set by the use of a spherical aberration corrector.3. The image acquisition method as set forth in claim 1 , wherein the chromatic aberration coefficient of said imaging system is set by the use of a chromatic aberration corrector.4. The image acquisition method as set forth in claim 1 , wherein the spherical aberration coefficient of said imaging system is set to 10 mm or more claim 1 , and wherein the chromatic aberration coefficient of the imaging system is set to 2 mm or less.5. A transmission electron microscope comprising:an electron beam source for producing an electron beam;an illumination system for causing the electron beam released from the electron beam source to be directed at a sample;an imaging system for focusing the electron beam transmitted through the sample;a spherical aberration corrector for varying a spherical aberration coefficient of the imaging system; anda controller for controlling the ...

Подробнее
10-05-2018 дата публикации

Objective Lens and Transmission Electron Microscope

Номер: US20180130633A1
Автор: Tatsuo Naruse, Yuji Kohno
Принадлежит: Jeol Ltd

There is provided an objective lens capable of reducing the effects of magnetic fields on a sample. The objective lens permits observation of the sample at high resolution. The objective lens ( 100 ) includes a first electromagnetic lens ( 10 ) and a second electromagnetic lens ( 20 ). The first and second lenses ( 10, 20 ) produce their respective magnetic fields including components lying along an optical axis (L), and are so arranged that the component of the magnetic field of the first lens ( 10 ) lying along the optical axis (L) and the component of the magnetic field of the second lens ( 20 ) lying along the optical axis (L) cancel out each other at a sample placement surface ( 2 ). The first lens ( 10 ) includes an inner polepiece ( 15 ) and an outer polepiece ( 16 ). Similarly, the second lens ( 20 ) includes an inner polepiece ( 25 ) and an outer polepiece ( 26 ). The inner polepieces ( 15, 25 ) have front end portions ( 15 a, 25 a ), respectively. The outer polepieces ( 16, 26 ) have front end portions ( 16 a, 26 a ), respectively, which jut out toward the optical axis (L). The distances (D 2, D 4 ) of the front end portions ( 16 a, 26 a ) of the outer polepieces ( 16, 26 ), respectively, from the sample placement surface ( 2 ) are less than the distances (D 1, D 3 ) of the front end portions ( 15 a, 25 a ) of the inner polepieces ( 15, 25 ), respectively, from the sample placement surface ( 2 ).

Подробнее
18-05-2017 дата публикации

ION GENERATOR AND METHOD OF CONTROLLING ION GENRATOR

Номер: US20170140898A1
Автор: KAWAGUCHI Hiroshi
Принадлежит:

An ion generator includes an ion source control unit that controls a gas supply unit and a plasma excitation source in accordance with a current ion source condition and a new ion source condition to be employed subsequent to the current ion source condition, a retention time obtaining unit that obtains retention time for the current ion source condition, and a pre-treatment condition setting unit that sets a pre-treatment condition defining a pre-treatment for forming a surface layer region suitable for the new ion source condition on a plasma chamber inner wall based on the current ion source condition, the retention time, and the new ion source condition. The ion source control unit is configured to control the gas supply unit and the plasma excitation source in accordance with the pre-treatment condition when the current ion source condition is changed to the new ion source condition. 1. An ion generator comprising:a plasma chamber that includes a plasma chamber inner wall to be exposed to a plasma;a gas supply unit that is configured to supply the plasma chamber with a source gas;a plasma excitation source that is configured to excite the source gas supplied to the plasma chamber into a plasma state;an ion source control unit that is configured to control the gas supply unit and the plasma excitation source in accordance with a current ion source condition and a new ion source condition to be employed subsequent to the current ion source condition;a retention time obtaining unit that is configured to obtain a retention time for the current ion source condition; anda pre-treatment condition setting unit that is configured to set a pre-treatment condition defining a pre-treatment for forming on the plasma chamber inner wall a surface layer region suitable for the new ion source condition, the pre-treatment condition being set based on the current ion source condition, the retention time, and the new ion source condition, whereinthe ion source control unit is ...

Подробнее
04-06-2015 дата публикации

Charged Particle Beam Apparatus

Номер: US20150155133A1
Принадлежит:

The present invention provides apparatuses to inspect small particles on the surface of a sample such as wafer and mask. The apparatuses provide both high detection efficiency and high throughput by forming Dark-field BSE images. The apparatuses can additionally inspect physical and electrical defects on the sample surface by form SE images and Bright-field BSE images simultaneously. The apparatuses can be designed to do single-beam or even multiple single-beam inspection for achieving a high throughput. 1. A single-beam apparatus , comprising:an electron source emitting primary electrons along an optical axis of said single-beam apparatus and said primary electrons form a primary electron beam;a gun aperture plate below said electron source and having at least one first opening, wherein one of said first openings is aligned with said optical axis and limits a current of said primary electron beam to a first current value;a condenser lens below said gun aperture plate and aligned with said optical axis;a beam-limit aperture plate below said condenser lens and having at least one second opening, wherein one of said second openings is aligned with said optical axis and limits said current of said primary electron beam to a second current value;a magnetic objective lens below said beam-limit aperture plate and aligned with said optical axis;a first scanning deflector inside a bore of said magnetic objective lens;a first detector below said magnetic objective lens and having a through hole, wherein said through hole is on an electron detection plane of said first detector and aligned with said optical axis so that said primary electron can pass through;an attraction electrode beside said first detector; and wherein an illumination angle formed between said optical axis and a normal of said being-inspected surface is larger than 0°,', 'wherein said condenser lens and said magnetic objective lens together focus said primary electron beam onto said being-inspected surface, ...

Подробнее
02-06-2016 дата публикации

Particle-Optical Systems and Arrangements and Particle-Optical Components for such Systems and Arrangements

Номер: US20160155603A1

The present invention concerns a charged-particle multi-beamlet system that comprises a source of charged particles ( 301 ); a first multi-aperture plate ( 320 ) having plural apertures disposed in a charged particle beam path of the system downstream of the source; a first multi-aperture selector plate ( 313 ) having plural apertures; a easier ( 340 ), wherein the first multi-aperture selector plate is mounted on the carrier; and an actuator ( 350 ) configured to move the carrier such that the first multi-aperture selector plate is disposed in the charged particle beam path of the system downstream of the source in a first mode of operation of the system, and such that the first multi-aperture selector plate is disposed outside of the charged particle beam path in a second mode of operation of the system. The source, the first multi-aperture plate and the carrier of the system are arranged such that a first number of charged particle beamlets is generated at a position downstream of both the first multi-aperture plate and the first multi-aperture selector plate in the first mode of operation, and that a second number of charged particle beamlets is generated at the position in the second mode of operation, wherein the first number of beamlets differs from the second number of beamlets.

Подробнее
07-06-2018 дата публикации

Method for inspecting a specimen and charged particle multi-beam device

Номер: US20180158642A1

A method of inspecting a specimen with an array of primary charged particle beamlets in a charged particle beam device is described. The method includes generating a primary charged particle beam with a charged particle beam emitter; illuminating a multi-aperture lens plate with the primary charged particle beam to generate the array of primary charged particle beamlets; correcting a field curvature with at least two electrodes, wherein the at least two electrodes include aperture openings; directing the primary charged particle beamlets with a lens towards an objective lens; guiding the primary charged particle beamlets through a deflector array arranged within the lens; wherein the combined action of the lens and the deflector array directs the primary charged particle beamlets through a coma free point of the objective lens; and focusing the primary charged particle beamlets on separate locations on the specimen with the objective lens.

Подробнее
14-05-2020 дата публикации

Apparatus of plural charged-particle beams

Номер: US20200152412A1
Принадлежит: ASML Netherlands BV

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit forms plural and parallel images of one single electron source by deflecting plural beamlets of a parallel primary-electron beam therefrom, and one objective lens focuses the plural deflected beamlets onto a sample surface and forms plural probe spots thereon. A movable condenser lens is used to collimate the primary-electron beam and vary the currents of the plural probe spots, a pre-beamlet-forming means weakens the Coulomb effect of the primary-electron beam, and the source-conversion unit minimizes the sizes of the plural probe spots by minimizing and compensating the off-axis aberrations of the objective lens and condenser lens.

Подробнее
14-05-2020 дата публикации

SCREENING METHOD AND APPARATUS FOR DETECTING AN OBJECT OF INTEREST

Номер: US20200152418A1
Автор: Arts Wouter
Принадлежит: Phenom-World Holding B.V.

The invention relates to a screening method. The method comprises the step of providing a sample, wherein said sample comprises a sample carrier with a surface structure, as well as an object of interest. The method further comprises the step of acquiring an image of said sample. According to the disclosure, the method comprises the steps of providing information on said surface structure of said sample carrier, which may in particular comprise the step of acquiring an image of said sample carrier. In that case two images are obtained: one more sensitive to the objects of interest, and one more sensitive to the surface structure of the sample carrier. This allows manipulation of the acquired image, using said information on the surface structure of the sample carrier. With this, said manipulated image may be screened for easy and reliable detection of said object of interest. 1. Screening method , comprising the steps of:providing a sample, wherein the sample comprises a sample carrier with a surface structure, as well as an object of interest;acquiring an image of the sample;providing information on a surface structure of the sample carrier, and manipulating the acquired image using the information; andscreening the manipulated image for the object of interest.2. A screening method according to claim 1 , wherein the step of providing information on the surface structure comprises the step of acquiring an image of the sample carrier.3. A screening method according to claim 2 , wherein a charged particle microscope is used for acquiring the image of the sample carrier.4. A screening method according to claim 3 , wherein the charged particle microscope is used for acquiring the image of the sample.5. A screening method according to claim 4 , wherein a backscatter electron detector (BSD) is used for acquiring the image of the sample.6. A screening method according to claim 4 , wherein a secondary electron detector (SED) is used for acquiring the image of the sample ...

Подробнее
14-05-2020 дата публикации

Apparatus of plural charged-particle beams

Номер: US20200152421A1
Принадлежит: ASML Netherlands BV

A multi-beam apparatus for observing a sample with high resolution and high throughput and in flexibly varying observing conditions is proposed. The apparatus uses a movable collimating lens to flexibly vary the currents of the plural probe spots without influencing the intervals thereof, a new source-conversion unit to form the plural images of the single electron source and compensate off-axis aberrations of the plural probe spots with respect to observing conditions, and a pre-beamlet-forming means to reduce the strong Coulomb effect due to the primary-electron beam.

Подробнее
18-06-2015 дата публикации

CHARGED PARTICLE BEAM APPARATUS

Номер: US20150170875A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

The present invention relates to a defect inspection apparatus based on the fact that contrasts of a grain and a void of a semiconductor copper interconnect in a scanning electron microscope are changed depending on electron beam irradiation accelerating voltages. A charged particle beam apparatus of the present invention irradiates the same portion of a specimen with electron beams at a plurality of accelerating voltages, and differentiates a grain () from a void () on the basis of a contrast change amount of the same portion in a plurality of images () acquired so as to respectively correspond to the plurality of accelerating voltages. Consequently, it is possible to automatically detect a grain and a void in a differentiation manner at a high speed without destructing a specimen. 1. A charged particle beam apparatus comprising:an electron optical system that focuses electron beams and irradiates a specimen with the electron beams;a detector that detects secondary electrons or reflected electrons generated from the specimen due to irradiation with the electron beams; andan image processing unit that compares a plurality of images with each other so as to detect a defect,wherein the electron optical system irradiates the same portion of the specimen with the electron beams at a plurality of accelerating voltages, andwherein the image processing unit differentiates a grain from a void on the basis of a contrast change amount of the same portion in a plurality of images which are acquired so as to respectively correspond to the plurality of accelerating voltages.2. The charged particle beam apparatus according to claim 1 ,wherein the plurality of accelerating voltages are determined on the basis of a difference between contrast dependency of the grain on an accelerating voltage change of the electron beams and contrast dependency of the void on an accelerating voltage change of the electron beams.3. The charged particle beam apparatus according to claim 1 ,wherein ...

Подробнее
21-05-2020 дата публикации

CIRCUITS FOR EDGE RING CONTROL IN SHAPED DC PULSED PLASMA PROCESS DEVICE

Номер: US20200161098A1
Автор: CUI Linying, Rogers James
Принадлежит:

The present disclosure relates to an apparatus and method that manipulate the voltage at an edge ring relative to a substrate located on a substrate support located within a processing chamber. The apparatus includes a substrate support assembly that has a body having a substrate support portion having a substrate electrode embedded therein for applying a substrate voltage to a substrate. The body of the substrate support assembly further has an edge ring portion disposed adjacent to the substrate support portion. The edge ring portion has an edge ring electrode embedded therein for applying an edge ring voltage to an edge ring. The apparatus further includes an edge ring voltage control circuit coupled to the edge ring electrode. A substrate voltage control circuit is coupled to the substrate electrode. The edge ring voltage control circuit and the substrate voltage control circuit are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage. 1. A substrate support comprising: a substrate support portion having a substrate electrode embedded therein for applying a substrate voltage to a substrate; and', 'an edge ring portion disposed adjacent to the substrate support portion, the edge ring portion having an edge ring electrode embedded therein for applying an edge ring voltage to an edge ring;, 'a body, the body comprisingan edge ring voltage control circuit coupled to the edge ring electrode; anda substrate voltage control circuit coupled to the substrate electrode, wherein the edge ring voltage control circuit and the substrate voltage control circuit are independently tunable to generate a difference in voltage between the edge ring voltage and the substrate voltage.2. The substrate support of claim 1 , wherein the edge ring voltage control circuit and the substrate voltage control circuit are identical circuits.3. The substrate support of claim 1 , wherein the edge ring voltage control circuit and the ...

Подробнее
23-06-2016 дата публикации

OBJECTIVE LENS ARRANGEMENT USABLE IN PARTICLE-OPTICAL SYSTEMS

Номер: US20160181054A1
Принадлежит:

An objective lens arrangement includes a first, second and third pole pieces, each being substantially rotationally symmetric. The first, second and third pole pieces are disposed on a same side of an object plane. An end of the first pole piece is separated from an end of the second pole piece to form a first gap, and an end of the third pole piece is separated from an end of the second pole piece to form a second gap. A first excitation coil generates a focusing magnetic field in the first gap, and a second excitation coil generates a compensating magnetic field in the second gap. First and second power supplies supply current to the first and second excitation coils, respectively. A magnetic flux generated in the second pole piece is oriented in a same direction as a magnetic flux generated in the second pole piece. 151-. (canceled)52. A particle optical inspection system , comprising an objective lens arrangement comprising:a first pole piece and a second pole piece, wherein the first and second pole pieces are substantially rotationally symmetric with respect to an axis of symmetry, wherein a radial inner end of the first pole piece is disposed at a distance from a radial inner end of the second pole piece to form a first gap, wherein the first pole piece has an inner portion extending at an angle towards the axis of symmetry and wherein the first and second pole pieces are electrically insulated from each other;an first excitation coil for generating a focusing magnetic field in a region of the first gap;a beam tube extending through a bore formed by the radial inner end of the first pole piece;a first voltage source for supplying a voltage to the beam tube;the particle-optical inspection system further comprising a beam path splitting arrangement comprising at least one magnetic field arrangement, wherein a lower end of the at least one magnetic field arrangement of the beam path splitting arrangement is disposed at a first distance from the object plane and ...

Подробнее
08-07-2021 дата публикации

PARTICLE BEAM SYSTEM FOR ADJUSTING THE CURRENT OF INDIVIDUAL PARTICLE BEAMS

Номер: US20210210303A1
Принадлежит:

A particle beam system includes: a particle source to generate a beam of charged particles; a first multi-lens array including a first multiplicity of individually adjustable and focusing particle lenses so that at least some of the particles pass through openings in the multi-lens array in the form of a plurality of individual particle beams; a second multi-aperture plate including a multiplicity of second openings downstream of the first multi-lens array so that some of the particles which pass the first multi-lens array impinge on the second multi-aperture plate and some of the particles which pass the first multi-lens array pass through the openings in the second multi-aperture plate; and a controller configured to supply an individually adjustable voltage to the particle lenses of the first multi-lens array and thus individually adjust the focusing of the associated particle lens for each individual particle beam. 1. A multi-beam particle microscope , comprising:a particle source configured to generate a beam of charged particles;a first multi-lens array comprising a first multiplicity of individually adjustable and focusing particle lenses in a beam path of the charged particles so that at least some of the charged particles pass through openings in the first multi-lens array in the form of a plurality of individual charged particle beams;a first multi-aperture plate comprising a multiplicity of second openings in the beam path of the charged particles downstream of the first multi-lens array so that: i) some of the charged particles which pass the first multi-lens array impinge on the first multi-aperture plate and are absorbed by the first multi-aperture plate; and ii) some of the charged particles which pass the first multi-lens array also pass through the openings in the first multi-aperture plate; anda controller configured to supply an individually adjustable voltage to the particle lenses of the first multi-lens array to adjust the focusing of an ...

Подробнее
30-06-2016 дата публикации

Combined Multipole Magnet and Dipole Scanning Magnet

Номер: US20160189913A1
Автор: Edward C. Eisner
Принадлежит: Axcelis Technologies Inc

A combined scanning and focusing magnet for an ion implantation system is provided. The combined scanning and focusing magnet has a yoke having a high magnetic permeability. The yoke defines a hole configured to pass an ion beam therethrough. One or more scanner coils operably are coupled to the yoke and configured to generate a time-varying predominantly dipole magnetic field when electrically coupled to a power supply. One or more focusing coils are operably coupled to the yoke and configured to generate a predominantly multipole magnetic field, wherein the predominantly multipole magnetic field is one of static or time-varying.

Подробнее
04-06-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20200176227A1
Автор: KON Masato
Принадлежит:

In a processing chamber, a processing target substrate is placed and a substrate processing is performed. A holder is configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber. 1. A substrate processing apparatus , comprising:a processing chamber in which a processing target substrate is placed and a substrate processing is performed; anda holder configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber.2. The substrate processing apparatus of claim 1 , further comprising:a mechanism configured to perform at least one of supplying or collecting the ionic liquid with respect to the holder,wherein the processing chamber is in a vacuum state during the substrate processing.3. The substrate processing apparatus of claim 2 ,wherein the mechanism is equipped with a filter configured to filter the ionic liquid, and filters the ionic liquid collected from the holder by the filter to supply the filtered ionic liquid into the holder.4. The substrate processing apparatus of claim 2 ,wherein the mechanism is located inside the processing chamber.5. The substrate processing apparatus of claim 2 ,wherein the mechanism is located outside the processing chamber.6. The substrate processing apparatus of claim 2 ,wherein the mechanism is configured to determine whether the ionic liquid is degraded based on at least one of a change in color of the ionic liquid or a change in electrical characteristics of the ionic liquid.7. The substrate processing apparatus of claim 1 ,wherein the substrate processing is an etching processing of etching a substrate with plasma formed while supplying a processing gas into the processing chamber.8. The substrate processing apparatus of claim 1 ,wherein the holder is a container provided around the substrate and equipped with an open top, and stores therein ...

Подробнее
20-06-2019 дата публикации

ION FOCUSING DEVICE

Номер: US20190189393A1
Принадлежит: BATTELLE MEMORIAL INSTITUTE

Apparatus include a plurality of electrode arrangements spaced apart from each other opposite an ion propagation axis and defining an ion transfer channel that extends along the ion propagation axis that tapers between an input end that is situated to receive ions and an output end that is situated to couple the received ions to an input end of an ion guide. Methods include positioning a plurality of electrode arrangements at oblique angles opposite an ion propagation axis so as to form a ion transfer channel that tapers between an input end and an output end, and coupling the output end of the ion transfer channel to an input end of an ion optical element so as to direct ions in the ion transfer channel into the ion optical element. Related systems are also disclosed. 1. An apparatus , comprising:a plurality of electrode arrangements spaced apart from each other opposite an ion propagation axis and defining an ion transfer channel that extends along the ion propagation axis that tapers between an input end that is situated to receive ions and an output end that is situated to couple the received ions to an input end of an ion guide;wherein the electrode arrangements are arranged in respective electrode arrangement surfaces;wherein at least one of the electrode arrangements includes a plurality of RF electrodes extending in the direction of the ion propagation axis in the surface of the at least one electrode arrangement so that RF electrodes are situated to direct the ions away from the at least one electrode arrangement;wherein the at least one electrode arrangement includes a plurality of traveling wave electrode sets interspersed between the RF electrodes and extending in the direction of the ion propagation axis in the surface of the at least one electrode arrangement so that the traveling wave electrode sets are situated to direct the ions towards the output end of the ion transfer channel.2. The apparatus of claim 1 , wherein the received ions are coupled at ...

Подробнее
13-07-2017 дата публикации

HEAT-SPREADING BLANKING SYSTEM FOR HIGH THROUGHPUT ELECTRON BEAM APPARATUS

Номер: US20170200581A1
Принадлежит:

An electron beam apparatus addresses blanking issues resulting from sinking high-power heat onto an aperture diaphragm by evenly spreading heat on the aperture diaphragm. The apparatus can include an aperture diaphragm and a deflector that deflects the electron beam on the aperture diaphragm. The electron beam is directed at the aperture diaphragm in a pattern around the aperture. The pattern may be a circle, square, or polygon. The pattern also may include a variable locus relative to the aperture. 1. An electron beam apparatus comprising:an aperture diaphragm defining an aperture through which an electron beam is projected;a lens configured to focus the electron beam toward the aperture;a deflector configured to deflect the electron beam on the aperture diaphragm, wherein the deflector includes a plurality of plates; anda plurality of power supply modules, wherein the power supply modules are configured to bias the plates such that the electron beam is directed at the aperture diaphragm in a pattern around an entirety of the aperture.2. The electron beam apparatus of claim 1 , wherein the deflector includes four of the plates and the electron beam apparatus includes four of the power supply modules.3. The electron beam apparatus of claim 1 , wherein the deflector includes at least eight of the plates.4. The electron beam apparatus of claim 1 , further comprising a stage configured to hold a wafer in a path of the electron beam.5. The electron beam apparatus of claim 1 , wherein a focus of the electron beam is a distance from a center of the aperture.6. The electron beam apparatus of claim 1 , wherein the lens is a gun lens and wherein the electron beam apparatus further comprises:a plate defining a beam limiting aperture;a condenser lens; andan objective lens.7. The electron beam apparatus of claim 1 , wherein the power supply modules are configured to bias the plates such that the electron beam is directed at the aperture diaphragm and is continuously scanned ...

Подробнее
27-06-2019 дата публикации

Ion Beam Quality Control Using A Movable Mass Resolving Device

Номер: US20190198292A1
Принадлежит:

A system and method for optimizing a ribbon ion beam in a beam line implantation system is disclosed. The system includes a mass resolving apparatus having a resolving aperture, in which the resolving aperture may be moved in the X and Z directions. Additionally, a controller is able to manipulate the mass analyzer and quadrupole lenses so that the crossover point of desired ions can also be moved in the X and Z directions. By manipulating the crossover point and the resolving aperture, the parameters of the ribbon ion beam may be manipulated to achieve a desired result. Movement of the crossover point in the X direction may affect the mean horizontal angle of the beamlets, while movement of the crossover point in the Z direction may affect the horizontal angular spread and beam current. 1. A beam line ion implantation system , comprising:an ion source;a quadrupole lens;a mass analyzer, wherein ions of a desired species exiting the mass analyzer cross at a crossover point;a collimator disposed after the crossover point; anda mass resolving device, having a resolving aperture, disposed between the mass analyzer and the collimator;wherein the mass resolving device is movable in a Z direction, the Z direction defined as a central trajectory of the ions travelling between the mass analyzer and the collimator.2. The beam line ion implantation system of claim 1 , wherein the mass resolving device is movable in an X direction claim 1 , the X direction defined as a direction of a width of the resolving aperture claim 1 , such that a center of the resolving aperture moves in the X direction.3. The beam line ion implantation system of claim 2 , wherein the width of the resolving aperture is adjustable claim 2 , and the width of the resolving aperture is adjusted independent of movement of the center of the resolving aperture.4. The beam line ion implantation system of claim 3 , wherein the mass resolving device comprises a first portion and a second portion claim 3 , which ...

Подробнее
29-07-2021 дата публикации

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

Номер: US20210233736A1
Принадлежит:

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit forms plural and parallel images of one single electron source by deflecting plural beamlets of a parallel primary-electron beam therefrom, and one objective lens focuses the plural deflected beamlets onto a sample surface and forms plural probe spots thereon. A movable condenser lens is used to collimate the primary-electron beam and vary the currents of the plural probe spots, a pre-beamlet-forming means weakens the Coulomb effect of the primary-electron beam, and the source-conversion unit minimizes the sizes of the plural probe spots by minimizing and compensating the off-axis aberrations of the objective lens and condenser lens. 148-. (canceled)49. An apparatus for manipulating beamlets of a multi-beam apparatus , the apparatus comprising:a charged particle source configured to generate a charged particle beam having a source crossover; direct a plurality of beamlets generated by the multi-beam apparatus from the charged particle beam through a primary optical axis of the multi-beam apparatus using at least two different deflection angles, and', 'facilitate forming a plurality of images of the source crossover on a sample; and, 'a plurality of electron optics elements configured toa plurality of beam-limit openings configured to limit currents of the plurality of beamlets.50. The apparatus of claim 49 , further comprising an objective lens above the sample claim 49 , and wherein the plurality of electron optics elements is further configured to:direct the plurality of beamlets to approach a front focal point of the objective lens.51. The apparatus of claim 50 , wherein the plurality of electron optics elements is further configured to direct the plurality of beamlets to approach or pass through the front focal point of the objective lens with different deflection angles claim 50 , wherein the deflection angles of the ...

Подробнее
05-08-2021 дата публикации

METHOD AND SYSTEM FOR THE REMOVAL AND/OR AVOIDANCE OF CONTAMINATION IN CHARGED PARTICLE BEAM SYSTEMS

Номер: US20210237129A1
Принадлежит:

A charged particle beam system is disclosed, comprising: 1. A charged particle beam system , comprising:a beam generator module, comprising a charged particle beam generator for generating a beam of charged particles, said charged particle beam generator being arranged in said beam generator module;a charged particle optical column arranged in a vacuum chamber, wherein said charged particle optical column is arranged for projecting said beam of charged particles onto a target, and wherein said charged particle optical column comprises a charged particle optical element for influencing said beam of charged particles;a source for providing cleaning agent;a conduit connected to said source and arranged for introducing said cleaning agent towards said charged particle optical element;a second aperture element comprising a plurality of apertures for letting through a plurality of charged particle beams from said beam of charged particles, said second aperture element is arranged between said charged particle beam generator and said charged particle optical element; anda restriction element provided between said charged particle beam generator and said second aperture element for restricting a flow path between the beam generator module and said second aperture element or a downstream module comprising said second aperture element.2. The charged particle beam system according to claim 1 , wherein said restriction element is movably connected to said beam generator module and arranged for abutting said second aperture element or a module comprising said second aperture element by means of gravity and/or a spring force.3. The charged particle beam system according to claim 2 , wherein said restriction element is connected to a first wall of said beam generator module claim 2 , said restriction element at least partly surrounding a perimeter of an opening in said first wall for passage of said beam of charged particles claim 2 , wherein said restriction element comprises an ...

Подробнее
28-07-2016 дата публикации

Charged Particle Beam Device

Номер: US20160217967A1
Принадлежит: Hitachi High Technologies Corp

In a charged particle beam device including an objective lens that focuses a charged particle beam; a first deflector that deflects the charged particle beam to emit the charged particle beam to a sample from a direction different from an ideal optical axis of the objective lens; and a second deflector that deflects a charged particle emitted from the sample, a charged particle focusing lens to focus the charged particle emitted from the sample is disposed between the sample and the second deflector and strengths of the objective lens and the charged particle focusing lens are controlled, according to deflection conditions of the first deflector.

Подробнее
13-08-2015 дата публикации

CHARGED PARTICLE BEAM APPARATUS

Номер: US20150228443A1
Принадлежит:

A charged particle beam apparatus includes a charged particle beam source which irradiates a sample with a charged particle beam, an electromagnetic lens, a lens control electric source for controlling strength of a convergence effect of the electromagnetic lens; and a phase compensation circuit which is connected to the lens control electric source in parallel with the electromagnetic lens, and controls a lens current at the time of switching the strength of the convergence effect of the electromagnetic lens such that the lens current monotonically increases or monotonically decreases. 1. A charged particle beam apparatus , comprising:a charged particle beam source which irradiates a sample with a charged particle beam;an electromagnetic lens;a lens control electric source for controlling strength of a convergence effect of the electromagnetic lens; anda phase compensation circuit which is connected to the lens control electric source in parallel with the electromagnetic lens, and controls a lens current at the time of switching the strength of the convergence effect of the electromagnetic lens such that the lens current monotonically increases or monotonically decreases.2. The charged particle beam apparatus according to claim 1 , further comprising:a detector which detects secondary charged particles occurring due to irradiating the sample with the charged particle beam;an image forming unit which forms an image based on a signal of the detector;a standby time control unit which controls a standby time from switching the strength of the convergence effect of the electromagnetic lens to starting image generation of the sample; anda standby time adjustment memory which stores a plurality of control parameters of the charged particle beam apparatus corresponding to the standby time and a control value of the electromagnetic lens.3. The charged particle beam apparatus according to claim 2 ,wherein the standby time control unit sets the standby time to be within a ...

Подробнее
13-08-2015 дата публикации

METHOD AND APPARATUS FOR CONTROL OF COHERENT SYNCHROTRON RADIATION EFFECTS DURING RECIRCULATION WITH BUNCH COMPRESSION

Номер: US20150228444A1
Принадлежит:

A modulated-bending recirculating system that avoids CSR-driven breakdown in emittance compensation by redistributing the bending along the beamline. The modulated-bending recirculating system includes a) larger angles of bending in initial FODO cells, thereby enhancing the impact of CSR early on in the beam line while the bunch is long, and 2) a decreased bending angle in the final FODO cells, reducing the effect of CSR while the bunch is short. The invention describes a method for controlling the effects of CSR during recirculation and bunch compression including a) correcting chromatic aberrations, b) correcting lattice and CSR-induced curvature in the longitudinal phase space by compensating T, and c) using lattice perturbations to compensate obvious linear correlations x-dp/p and x′-dp/p. 1. A modulated-bending recirculating system for a charged particle beam comprising:a plurality of focusing and defocusing (FODO) cells arrayed in a recirculating arc including initial FODO cells and final FODO cells;each of said FODO cells including at least one dipole magnet and a quadrupole magnet; andsaid initial FODO cells include larger bend angles than the bend angles in said final FODO cells.2. The modulated-bending recirculating system of claim 1 , wherein each of said FODO cells are of uniform length.3. The modulated-bending recirculating system of claim 1 , wherein each of said FODO cells includes a length correlated to the dipole angle.4. The modulated-bending recirculating system of claim 1 , wherein said final FODO cells are of shorter length than the length of said initial FODO cells.5. A method for controlling coherent synchrotron radiation (CSR) effects on a charged particle beam during recirculation with bunch compression comprising:providing a plurality of focusing and defocusing (FODO) cells arrayed in a recirculating arc including initial FODO cells and final FODO cells;providing a larger bending angle in said initial FODO cells to enhance the impact of CSR ...

Подробнее
13-08-2015 дата публикации

CHARGED PARTICLE BEAM WRITING APPARATUS, AND CHARGED PARTICLE BEAM WRITING METHOD

Номер: US20150228455A1
Принадлежит: NuFlare Technology, Inc.

A charged particle beam writing apparatus includes an emission unit to emit a charged particle beam, a stage to mount thereon a target object to be written, an objective lens to focus the charged particle beam on a surface of the target object, a chamber to house the stage, a measurement unit to measure a partial pressure of a predetermined gas in the chamber in a state where a pressure inside the chamber is controlled to be lower than an atmospheric pressure, and an adjustment unit to adjust a focus position for focusing the charged particle beam on the target object, based on the partial pressure of the predetermined gas. 1. A charged particle beam writing apparatus comprising:an emission unit configured to emit a charged particle beam;a stage configured to mount thereon a target object to be written;an objective lens configured to focus the charged particle beam on a surface of the target object;a chamber configured to house the stage;a measurement unit configured to measure a partial pressure of a predetermined gas in the chamber in a state where a pressure inside the chamber is controlled to be lower than an atmospheric pressure; andan adjustment unit configured to adjust a focus position for focusing the charged particle beam on the target object, based on the partial pressure of the predetermined gas.2. The apparatus according to claim 1 , wherein the predetermined gas includes ozone (O).3. The apparatus according to claim 1 , wherein a pattern is written on the target object while the predetermined gas is being flowed in the chamber.4. The apparatus according to claim 1 , wherein the adjustment unit adjusts the focus position by using an offset value.5. The apparatus according to claim 1 , further comprising:a storage device configured to store a correlation table; and{'sub': '3', 'an offset acquisition unit configured to read the correlation table from the storage device, and acquire an offset value for focusing which corresponds to the partial pressure ...

Подробнее
04-08-2016 дата публикации

PARTICLE BEAM MICROSCOPE AND METHOD FOR OPERATING A PARTICLE BEAM MICROSCOPE

Номер: US20160225579A1
Автор: Preikszas Dirk
Принадлежит:

A method for operating a particle beam microscope includes: setting a potential of a particle source; setting a potential of an object; directing a particle beam onto the object; focusing the particle beam using a particle-optical lens; providing a dependence between a value of an excitation of the particle-optical lens and a value of the potential of the object; changing a manipulated variable with the aid of an actuating element actuatable by a user; and setting the excitation of the particle-optical lens in a manner dependent on the manipulated variable. In a first mode of operation, the potential of the object is set on the basis of the excitation of the particle-optical lens in accordance with the dependence between the value of the excitation of the particle-optical lens and the value of the potential of the object. 1. A method , comprising:setting an electric potential of a particle source of a particle beam microscope;setting an electric potential of an object;generating a particle beam of particles emitted by the particle source;directing the particle beam onto the object;using a particle-optical lens to focus the particle beam;providing a dependence between a value of an excitation of the particle-optical lens and a value of the electric potential of the object;using an actuating element to change a manipulated variable, the actuating element being actuatable by a user; andsetting the excitation of the particle-optical lens in a manner dependent on the manipulated variable,wherein, in a first mode of operation, the electric potential of the object is set on the basis of the excitation of the particle-optical lens in accordance with the dependence between the value of the excitation of the particle-optical lens and the value of the electric potential of the object.2. The method of claim 1 , comprising providing the dependence between the value of the excitation of the particle-optical lens and the value of the electric potential of the object so that the ...

Подробнее
02-07-2020 дата публикации

APPARATUS FOR GENERATING A MULTIPLICITY OF PARTICLE BEAMS, AND MULTI-BEAM PARTICLE BEAM SYSTEMS

Номер: US20200211810A1
Автор: Zeidler Dirk
Принадлежит:

An apparatus for generating a multiplicity of particle beams includes a particle source, a first multi-aperture plate with a multiplicity of openings, a second multi-aperture plate with a multiplicity of openings, a first particle lens, a second particle lens, a third particle lens , and a controller, which supplies each of the first particle lens, the second particle lens and the third particle lens with an adjustable excitation. 1. An apparatus , comprising:a particle source;a first multi-aperture plate comprising a multiplicity of openings;a second multi-aperture plate comprising a multiplicity of openings, the second multi-aperture plate disposed in a beam path of the apparatus between the particle source and the first multi-aperture plate;a first particle lens disposed in the beam path between the second and first multi-aperture plates;a second particle lens disposed in the beam path between the first particle lens and the first multi-aperture plate;a third particle lens disposed in the beam path between the first and second particle lenses; anda controller configured to supply the first particle lens with an adjustable excitation, to supply the second particle lens with an adjustable excitation, and to supply the third particle lens with an adjustable excitation.2. The apparatus of claim 1 , wherein the particle source is configured to generate particles that pass through the multiplicity of openings in the second multi-aperture plate during the operation of the apparatus.3. The apparatus of claim 2 , wherein the particles generated by the particle source strike the second multi-aperture plate as a divergent beam.4. The apparatus of claim 3 , wherein the controller is configured to set the excitations of the first claim 3 , the second and the third particle lenses so that particles that pass through the multiplicity of openings in the second multi-aperture plate pass through the multiplicity of openings in the first multi-aperture plate and define the ...

Подробнее
11-08-2016 дата публикации

METHOD FOR RAPID SWITCHING BETWEEN A HIGH CURRENT MODE AND A LOW CURRENT MODE IN A CHARGED PARTICLE BEAM SYSTEM

Номер: US20160233053A1
Автор: Miller Thomas G.
Принадлежит: FEI COMPANY

A method for rapid switching between operating modes with differing beam currents in a charged particle system is disclosed. Many FIB milling applications require precise positioning of a milled pattern within a region of interest (RoI). This may be accomplished by using fiducial marks near the RoI, wherein the FIB is periodically deflected to image these marks during FIB milling. Any drift of the beam relative to the RoI can then be measured and compensated for, enabling more precise positioning of the FIB milling beam. It is often advantageous to use a lower current FIB for imaging since this may enable higher spatial resolution in the image of the marks. For faster FIB milling, a larger beam current is desired. Thus, for optimization of the FIB milling process, a method for rapidly switching between high and low current operating modes is desirable. 1. A method for bulk processing and fine processing of structures in a target containing a region of interest using a focused ion beam system including an ion column and a multiplicity of beam-defining apertures , comprising:selecting a first beam-defining aperture to produce an ion beam having a first current;configuring electrode voltages in the ion column;directing the ion beam having the first current onto the target;selecting a second beam-defining aperture to produce an ion beam having a second current, the second current being greater than the first current;directing the ion beam having the second current onto the target without reconfiguring the electrode voltages in the ion column;deflecting the ion beam having the second current in a pre-determined pattern to perform bulk processing at the region of interestif the bulk processing is not complete, returning to the step of selecting a first beam-defining aperture and if the bulk processing is complete, then;selecting a third beam-defining aperture for producing an ion beam having a third current less than the first beam current;reconfiguring the electrode ...

Подробнее
09-08-2018 дата публикации

CONTINUOUSLY VARIABLE APERTURE

Номер: US20180226220A1
Принадлежит:

An apparatus for a transmission electron microscope includes a housing configured to be attached to the transmission electron microscope; a plunger received in the housing and movable relative to the housing; a first set of pieces coupled to the plunger, the first piece being configured to move relative to the housing in response to the plunger moving relative to the housing; and a second set of pieces positioned in a fixed spatial relationship relative to each other, the second set of pieces and the first set of pieces forming a perimeter of an opening, an extent of the opening being continuously variable by moving the first set of piece relative to the second set of pieces. 1. An apparatus for a transmission electron microscope , the apparatus comprising:a housing configured to be attached to the transmission electron microscope;a plunger received in the housing and movable relative to the housing;a first piece coupled to the plunger, the first piece being configured to move relative to the housing in response to the plunger moving relative to the housing;a second piece; anda third piece angled relative to the second piece, the first, second, and third pieces being arranged relative to each other to form a triangularly shaped opening.2. The apparatus of claim 1 , wherein an extent of the triangularly shaped opening is variable by moving the first piece relative to the second and third pieces.3. The apparatus of claim 1 , wherein claim 1 , when the housing is attached to the transmission electron microscope claim 1 , the triangularly shaped opening is in a plane that is perpendicular to a direction of travel of an electron beam of the transmission electron microscope.4. The apparatus of claim 3 , wherein the first claim 3 , second claim 3 , and third pieces are physically separated from each other along a direction that is parallel to the direction of travel of the electron beam.5. The apparatus of claim 1 , wherein the housing is configured to attach to the ...

Подробнее
10-08-2017 дата публикации

CHARGED PARTICLE BEAM IRRADIATION APPARATUS

Номер: US20170229281A1
Принадлежит:

A charged particle beam irradiation apparatus according to an embodiment includes: a first scanning electromagnet device configured to deflect a charged particle beam to a second direction that is substantially perpendicular to a first direction along which the charged particle beam enters, the first scanning electromagnet device having an aperture on an outlet side larger than that on an inlet side; and a second scanning electromagnet device configured to deflect the charged particle beam to a third direction that is substantially perpendicular to the first direction and the second direction, the second scanning electromagnet device having an aperture on an outlet side larger than that on an inlet side, the first scanning electromagnet device and the second scanning electromagnet device being disposed to be parallel with the first direction. 1. A charged particle beam irradiation apparatus comprising:a first scanning electromagnet device configured to deflect a charged particle beam to a second direction that is substantially perpendicular to a first direction along which the charged particle beam enters, the first scanning electromagnet device having an aperture on an outlet side larger than that on an inlet side; anda second scanning electromagnet device configured to deflect the charged particle beam to a third direction that is substantially perpendicular to the first direction and the second direction, the second scanning electromagnet device having an aperture on an outlet side larger than that on an inlet side,the first scanning electromagnet device and the second scanning electromagnet device being disposed to be parallel with the first direction.2. The apparatus according to claim 1 , wherein:the first scanning electromagnet device includes a first structure that is hollow, a first coil disposed on a first surface of the first structure, and a second coil disposed on a second surface of the first structure, the first surface and the second surface being ...

Подробнее
18-07-2019 дата публикации

PLASMA BEAM PENETRATION OF MILLIMETER SCALE HOLES WITH HIGH ASPECT RATIOS

Номер: US20190221402A1
Принадлежит:

An aluminum gas distribution plate refurbishment system combines a multi-beam inductively coupled plasma (AP-ICP) torch and vacuum discharge chuck. Plasma beams are employed to clean and restore to service the many gas flow passages in aluminum type gas distribution plates. Several parallel supersonic plasma beams of uniform density are produced from a single upper and lower AP-ICP plasma reactor arranged in totem pole that are driven by two pairs of opposing spiral planar RF induction RF antennas. These plasma beams are focused inside the gas flow passages to etch, heat, and deposit nanoparticles within. The vacuum discharge chuck includes a capacitively coupled plasma (CCP) reactor to generate a positive species discharge immediately beneath the gas distribution plates. This overcomes and undoes a Debye Sheathing effect, a electron-fed negative space charge blocking occurring above, and unknots any congested plasma beams in the gas flow passages. 1. A plasma device , comprising:a plasma reactor that produces at least one plasma beam;an extractor with an electric field that pulls the plasma beam from a nozzle in the plasma reactor and imparts a focus to the plasma beam while directing and focusing the plasma beam into an entrance of a through-hole on a first surface of a workpiece;an auxiliary plasma reactor that generates a capacitively coupled plasma (CCP) discharge adjacent to a second opposite surface near an exit of the through-hole;wherein, each plasma beam is enabled thereby to overcome Debye sheathing congestion and completely penetrate the through-hole from the entrance to the exit by the CCP discharge; andwherein deposition materials, etchants, solvents, and/or heat are thereby rendered deliverable inside the entire length of the through-hole from entrance to exit.2. The plasma device of claim 1 , wherein the plasma reactor comprises:an atmospheric pressure, inductively coupled plasma (AP-ICP) torch with an upper plasma reactor coupled to a lower plasma ...

Подробнее
16-08-2018 дата публикации

ROCK SAMPLE PREPARATION METHOD BY USING FOCUSED ION BEAM FOR MINIMIZING CURTAIN EFFECT

Номер: US20180231477A1
Принадлежит:

A process for the preparation and imaging of a sample of rock from an oil and gas reservoir is provided. A sample of reservoir rock may be obtained, such as from a core sample obtained using a core sampling tool inserted in a wellbore extending into an oil and gas reservoir. A photoresist may be deposited on the surface of reservoir rock sample to form a homogenous layer. The photoresist-coated surface of the reservoir rock sample may be imaged using a focused ion beam (FIB). The photoresist protects the pores and other surface features of the rock from damage or implantation by the FIB ion beam and thus minimizes the curtain effect in the resulting images. 1. A method of imaging a sample of rock from an oil and gas reservoir , comprising:depositing a photoresist on a surface of the rock sample;subjecting the photoresist-coated surface of the rock sample to a focused ion beam (FIB) to generate a digital image of the rock sample.2. The method of claim 1 , comprising preparing the rock sample from a core sample obtained from a wellbore extending into the oil and gas reservoir.3. The method of claim 1 , comprising removing the photoresist from the photoresist-coated surface of the rock sample.4. The method of claim 3 , where removing the photoresist comprises exposing the rock sample having the photoresist to an electron beam.5. The method of claim 1 , where the FIB comprises a gallium ion beam.6. The method of claim 1 , where subjecting the photoresist-coated surface of the rock sample to a focused ion beam (FIB) comprises milling a layer of the photoresist-coated surface of the rock sample via the focused ion beam.7. The method of claim 1 , where depositing the photoresist on a surface of the rock sample comprises spin coating the photoresist on the surface of the rock sample.8. The method of claim 1 , where the FIB system comprises a focused ion beam-scanning electron microscopy (FIB-SEM) system.9. The method of claim 1 , where the digital image comprises a two- ...

Подробнее
23-08-2018 дата публикации

METHOD AND SYSTEM FOR THE REMOVAL AND/OR AVOIDANCE OF CONTAMINATION IN CHARGED PARTICLE BEAM SYSTEMS

Номер: US20180236505A1
Принадлежит:

A charged particle beam system is disclosed, comprising: 1. A charged particle beam system , comprising:a beam generator module, comprising a charged particle beam generator for generating a beam of charged particles, said charged particle beam generator being arranged in said beam generator module;a charged particle optical column arranged in a vacuum chamber, wherein said charged particle optical column is arranged for projecting said beam of charged particles onto a target, and wherein said charged particle optical column comprises a charged particle optical element for influencing said beam of charged particles;a source for providing cleaning agent;a conduit connected to said source and arranged for introducing said cleaning agent towards said charged particle optical element;a second aperture element comprising a plurality of apertures for letting through a plurality of charged particle beams from said beam of charged particles, said second aperture element is arranged between said charged particle beam generator and said charged particle optical element; anda restriction element provided between said charged particle beam generator and said second aperture element for restricting a flow path between the beam generator module and said second aperture element or a downstream module comprising said second aperture element.2. The charged particle beam system according to claim 1 , wherein said restriction element is movably connected to said beam generator module and arranged for abutting said second aperture element or a module comprising said second aperture element by means of gravity and/or a spring force.3. The charged particle beam system according to claim 2 , wherein said restriction element is connected to a first wall of said beam generator module claim 2 , said restriction element at least partly surrounding a perimeter of an opening in said first wall for passage of said beam of charged particles claim 2 , wherein said restriction element comprises an ...

Подробнее
16-07-2020 дата публикации

Charged Particle Beam Lithography System

Номер: US20200227235A1
Автор: Kuo Tzu-Yi, Tseng Yu-Kuang
Принадлежит:

A collimated electron beam is illuminated to a grounded metal mask such that patterns on the mask can be transferred to a substrate identically. In a preferred embodiment, a linear electron source can be provided for enhancing lithographic throughput. The metal mask is adjacent to the substrate, but does not contact with substrate. 1. A lithography apparatus , comprising:a charged particle source for providing a charged particle beam;a charged particle optical element for collimating the charged particle beam onto a sample; anda grounded metallic mask between said charged particle source and the sample, wherein said metallic mask includes at least a pattern such that a portion of the charged particle beam is blocked by said metallic mask.2. The lithography apparatus according to claim 1 , further comprising a first maglev stage for mounting the sample and a second maglev stage for mounting said grounded metallic mask.3. The lithography apparatus according to claim 2 , wherein the metallic mask includes gold.4. The lithography apparatus according to claim 3 , wherein the pattern of said metallic mask has an upper opening and a lower opening larger than the upper opening claim 3 , and is coated with a film to enhance rigidity of the metallic mask.5. The lithography apparatus according to claim 4 , wherein said charged particle source provides electrons.6. The lithography apparatus according to claim 5 , wherein the charged particle optical element is telecentric.7. The lithography apparatus according to claim 6 , further comprising a booster below the condenser lens.8. The lithography apparatus according to claim 5 , wherein the charged particle optical element includes an upper condenser lens and a lower condenser lens adjacent to the metallic mask.9. The lithography apparatus according to claim 5 , wherein the charged particle optical element includes a linear condenser lens to focus the charged particle beam in a first direction and collimate the charged particle ...

Подробнее
25-08-2016 дата публикации

Micro-Electron Column Having An Electron Emitter Improving The Density Of An Electron Beam Emitted From A Nano Structure Tip

Номер: US20160247658A1
Принадлежит:

Disclosed is a micro-electron column including nanostructure tips each of which has a tubular, columnar, or blocky structure ranging in size from several nanometers to dozens of nanometers. In the micro-electron column, the nanostructure tips can easily emit electrons because a high electric field is generated at the end of the nanostructure tips when a voltage is applied to the nanostructure tips, and an induction electrode is disposed between the electron emitter and a source lens so as to help electrons emitted from the electron emitter to enter an aperture of a first lens electrode layer of the source lens, thereby realizing improved performance of the micro-electron column. In the micro-electron column, the size of the nanostructure tips may be larger than that of the aperture of a source lens. 1. A micro-electron column comprising an electron emitter , a source lens , a deflector , and a focus lens , whereinthe electron emitter comprises a plurality of nanostructure tips and emits electrons, andan induction electrode is disposed between the electron emitter and the source lens so as to help electrons emitted from the electron emitter to enter an aperture of a first lens electrode layer of the source lens.2. The micro-electron column of claim 1 , whereinthe aperture of the first lens electrode layer of the source lens is smaller in size than an aperture of a second lens electrode layer of the source lens based on the electron emitter.3. The micro-electron column of claim 2 , wherein the induction electrode comprises at least two electrode pieces.4. A multi-type micro-electron column comprising a plurality of unit micro-electron columns claim 1 , wherein each of the unit micro-electron columns is the micro-electron column according to .5. A multi-type micro-electron column comprising a plurality of unit micro-electron columns claim 2 , wherein each of the unit micro-electron columns is the micro-electron column according to .6. A multi-type micro-electron column ...

Подробнее
25-08-2016 дата публикации

Electrostatic Quadrupole Deflector for Microcolumn

Номер: US20160247659A1
Принадлежит:

Disclosed is an electrostatic quadrupole deflector for a microcolumn. The deflector includes an electron beam passage hole, deflecting electrodes to which a deflection voltage is applied, and floating electrodes to which the deflection voltage is not applied. The deflector is structurally stable and has a simple driving system. The deflector has good performance and characteristics. 1. An electrostatic quadrupole deflector for a microcolumn , comprising:an electron passage hole through which an electron beam passes;a plurality of deflecting electrodes that is arranged in a radial pattern around the electron passage to deflect or scan the electron beam;a plurality of floating electrodes that is arranged between the deflecting electrodes in an alternating manner in a radial pattern around the electron passage, wherein it is configured any voltage is not applied to the plurality of floating electrodes while the deflection voltage is applied to the deflecting electrodes; andthe electron passage hole and the floating electrodes and the floating electrodes are arranged as a layer.2. The deflector according to claim 1 , wherein the deflecting electrode is smaller than the floating electrode.3. The deflector according to claim 1 , wherein the deflecting electrodes are four in number and are symmetrically arranged.4. The deflector according to claim 3 , wherein an angle θ between both side edges (referred to as “arc angle”) of each deflecting electrode is 45° or smaller.5. The deflector according to claim 1 , wherein a gap between the deflecting electrode and the floating electrode is smaller than a size of the deflecting electrode claim 1 , and wherein a deflector is formed using an MEMS fabrication process.6. (canceled)7. The deflector according to claim 2 , wherein a gap between the deflecting electrode and the floating electrode is smaller than a size of the deflecting electrode claim 2 , and wherein a deflector is formed using an MEMS fabrication process.8. (canceled)9. ...

Подробнее
23-07-2020 дата публикации

METHOD AND SYSTEM FOR THE REMOVAL AND/OR AVOIDANCE OF CONTAMINATION IN CHARGED PARTICLE BEAM SYSTEMS

Номер: US20200230665A1
Принадлежит:

A charged particle beam system is disclosed, comprising: 1. A charged particle beam system , comprising:{'b': '5', 'a beam generator module, comprising a charged particle beam generator for generating a beam of charged particles, said charged particle beam generator being arranged in said beam generator module;'}a charged particle optical column arranged in a vacuum chamber, wherein said charged particle optical column is arranged for projecting said beam of charged particles onto a target, and wherein said charged particle optical column comprises a charged particle optical element for influencing said beam of charged particles;a source for providing cleaning agent;a conduit connected to said source and arranged for introducing said cleaning agent towards said charged particle optical element;a second aperture element comprising a plurality of apertures for letting through a plurality of charged particle beams from said beam of charged particles, said second aperture element is arranged between said charged particle beam generator and said charged particle optical element; anda restriction element provided between said charged particle beam generator and said second aperture element for restricting a flow path between the beam generator module and said second aperture element or a downstream module comprising said second aperture element.2. The charged particle beam system according to claim 1 , wherein said restriction element is movably connected to said beam generator module and arranged for abutting said second aperture element or a module comprising said second aperture element by means of gravity and/or a spring force.3. The charged particle beam system according to claim 2 , wherein said restriction element is connected to a first wall of said beam generator module claim 2 , said restriction element at least partly surrounding a perimeter of an opening in said first wall for passage of said beam of charged particles claim 2 , wherein said restriction element ...

Подробнее
01-08-2019 дата публикации

ROCK SAMPLE PREPARATION METHOD BY USING FOCUSED ION BEAM FOR MINIMIZING CURTAIN EFFECT

Номер: US20190234889A1
Принадлежит:

A process for the preparation and imaging of a sample of rock from an oil and gas reservoir is provided. A sample of reservoir rock may be obtained, such as from a core sample obtained using a core sampling tool inserted in a wellbore extending into an oil and gas reservoir. A photoresist may be deposited on the surface of reservoir rock sample to form a homogenous layer. The photoresist-coated surface of the reservoir rock sample may be imaged using a focused ion beam (FIB). The photoresist protects the pores and other surface features of the rock from damage or implantation by the FIB ion beam and thus minimizes the curtain effect in the resulting images. 1. A system , comprising:means for coating a surface of a rock sample, the rock sample having a photoresist deposited on the surface;a focused ion beam (FIB) system configured to receive the rock sample having the photoresist and generate a digital image of the rock sample.2. The system of claim 1 , where the FIB system comprises a gallium ion beam.3. The system of claim 1 , where the FIB system comprises a focused ion beam-scanning electron microscopy (FIB-SEM) system.4. The system of claim 1 , where the photoresist comprises SU-8 photoresist. This application is a continuation of and claims priority from U.S. Non-provisional application Ser. No. 15/685,732 filed Aug. 24, 2017, and titled “ROCK SAMPLE PREPARATION METHOD BY USING FOCUSED ION BEAM FOR MINIMIZING CURTAIN EFFECT,” which claims priority from U.S. Provisional Application No. 62/459,391 filed Feb. 15, 2017, and titled “ROCK SAMPLE PREPARATION METHOD BY USING FOCUSED ION BEAM FOR MINIMIZING CURTAIN EFFECT,” each of which are incorporated by reference in their entirety for purposes of United States patent practice.The present disclosure generally relates to oil and gas drilling. More specifically, embodiments of the disclosure relate to the analysis of rock from an oil and gas reservoir.Wells may be drilled into rocks to access fluids stored in ...

Подробнее
10-09-2015 дата публикации

Multi charged particle beam writing apparatus

Номер: US20150255249A1
Автор: Munehiro Ogasawara
Принадлежит: Nuflare Technology Inc

A multi charged particle beam writing apparatus includes an aperture member to form multiple beams, a blanking plate in which there are arranged a plurality of blankers to respectively perform blanking deflection for a corresponding beam in the multiple beams having passed through a plurality of openings of the aperture member, a blanking aperture member to block each beam having been deflected to be in OFF state by at least one of the plurality of blankers, a first grating lens, using the aperture member as gratings, to correct spherical aberration of the charged particle beam, and a correction lens configured to correct high order spherical aberration produced by the first grating lens.

Подробнее
23-09-2021 дата публикации

Charged particle beam device for inspection of a specimen with a plurality of charged particle beamlets

Номер: US20210296088A1
Принадлежит: FEI Co

The invention relates to system and method of inspecting a specimen with a plurality of charged particle beamlets. The method comprises the steps of providing a specimen, providing a plurality of charged particle beamlets and focusing said plurality of charged particle beamlets onto said specimen, and detecting a flux of radiation emanating from the specimen in response to said irradiation by said plurality of charged particle beamlets.

Подробнее
30-07-2020 дата публикации

OBJECTIVE LENS ARRANGEMENT USABLE IN PARTICLE-OPTICAL SYSTEMS

Номер: US20200243296A1
Принадлежит:

An objective lens arrangement includes a first, second and third pole pieces, each being substantially rotationally symmetric. The first, second and third pole pieces are disposed on a same side of an object plane. An end of the first pole piece is separated from an end of the second pole piece to form a first gap, and an end of the third pole piece is separated from an end of the second pole piece to form a second gap. A first excitation coil generates a focusing magnetic field in the first gap, and a second excitation coil generates a compensating magnetic field in the second gap. First and second power supplies supply current to the first and second excitation coils, respectively. A magnetic flux generated in the second pole piece is oriented in a same direction as a magnetic flux generated in the second pole piece. 151- (canceled)52. An electron microscopy system for inspection of an object , comprising:a beamlet-generating arrangement comprising at least one electron source and a multi-aperture plate and configured to generate a plurality of primary electron beamlets, wherein a number of the primary electron beamlets is greater 25;a primary electron beam path configured to direct the plurality of primary electron beamlets onto an object arranged in an object plane of the electron microscopy system;a secondary electron beam path configured to supply a plurality of secondary electron beamlets from the object plane to a detector, the secondary electron beam lets emanating from a surface of the object arranged in an object plane;an objective lens arrangement configured to focus the primary electron beamlets in the object plane, wherein the objective lens is traversed by the primary electron beam path and the secondary electron beam path, wherein the objective lens arrangement comprises a first magnetic pole piece having an inner portion defining a bore; anda beam path splitting arrangement provided in the primary electron beam path between the beamlet generating ...

Подробнее
15-09-2016 дата публикации

Scanning electron microscope capable of controlling beam spot and measurement method using the same

Номер: US20160268098A1

A scanning electron microscope capable of controlling the spot of an electron beam and a measurement method using the same. The scanning electron microscope includes electron magnets disposed in a path in which an electron beam irradiated to a sample moves from the electron beam source of the scanning electron microscope to a sample and configured to control and irradiate the spot of the electron beam in a linear electron beam having a different horizontal to vertical ratio. A control unit controls a ratio and direction of the spot of the electron beam by controlling a supply voltage of the electron magnets.

Подробнее
06-08-2020 дата публикации

CHARGED PARTICLE BEAM APPARATUS

Номер: US20200251305A1
Принадлежит:

The present invention provides apparatuses to inspect small particles on the surface of a sample such as wafer and mask. The apparatuses provide both high detection efficiency and high throughput by forming Dark-field BSE images. The apparatuses can additionally inspect physical and electrical defects on the sample surface by form SE images and Bright-field BSE images simultaneously. The apparatuses can be designed to do single-beam or even multiple single-beam inspection for achieving a high throughput. 18-. (canceled)9. A charged particle beam apparatus , comprising:an incidence side detector provided with a through hole;a deflector configured to scan a surface of a sample at an oblique angle of incidence with a primary electron beam passing through the through hole;wherein the incidence side detector is configured to detect backscattered electrons generated from scanning and traveling towards an incidence side of the primary electron beam; andan electrode adjacent to a path of the primary electron beam, the electrode positioned along a path of the backscattered electrons, intersecting a first virtual axis, wherein the first virtual axis is perpendicular to a sample plane and intersecting an illuminated area of the surface, and closer than the incidence side detector to an illuminated area of the surface;wherein the electrode is configured to attract secondary electrons generated from the surface to prevent the secondary electrons from otherwise hitting the incidence side detector, andthe charged particle beam apparatus is configured to generate a dark-field backscattered electron image from the backscattered electrons detected by the incidence side detector.10. The charged particle beam apparatus according to claim 9 , further comprising a reflection side detector configured to detect backscattered electrons generated from the scanning and traveling towards a reflection side from the primary electron beam.11. The charged particle beam apparatus according to claim ...

Подробнее
22-08-2019 дата публикации

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

Номер: US20190259573A1
Принадлежит:

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit changes a single electron source into a virtual multi-source array, a primary projection imaging system projects the array to form plural probe spots on the sample, and a condenser lens adjusts the currents of the plural probe spots. In the source-conversion unit, the image-forming means is on the upstream of the beamlet-limit means, and thereby generating less scattered electrons. The image-forming means not only forms the virtual multi-source array, but also compensates the off-axis aberrations of the plurality of probe spots. 124.-. (canceled)25. A charged-particle beam apparatus , comprising:a charged particle source configured to provide a primary beam;an image forming unit configured to form a plurality of images of the charged particle source using a plurality of beamlets of the primary beam;a first projection system including a transfer lens and an objective lens, wherein the transfer lens is configured to focus the plurality of beamlets, and wherein the objective lens is configured to receive the focused plurality of beamlets and form a plurality of probe spots on a sample from the plurality of beamlets;a second projection system configured to focus a plurality of secondary beams generated by the plurality of probe spots on the sample;a beam separator configured to separate the plurality of beamlets and the plurality of secondary beams; anda detection device with a plurality of detection elements configured to receive the plurality of secondary beams;wherein the second projection system includes an anti-rotation magnetic lens configured to eliminate a rotation of the plurality of secondary beams on the detection device. This application claims the benefit of priority of U.S. provisional application No. 62/130,819 entitled to Ren et al. filed Mar. 10, 2015 and entitled “Apparatus of Plural Charged-Particle Beams”, the ...

Подробнее
21-09-2017 дата публикации

ION IMPLANTATION APPARATUS AND MEASUREMENT DEVICE

Номер: US20170271127A1
Автор: Ishibashi Kazuhisa
Принадлежит:

An angle measurement device includes: a slit through which an ion beam is incident, and a width direction of which is orthogonal to a beam traveling direction of the ion beam toward a wafer; and a plurality of electrode bodies which are provided at positions away from the slit in the beam traveling direction, and each of which includes a beam measurement surface that is a region which is exposed to the ion beam having passed through the slit. The plurality of electrode bodies are disposed such that the beam measurement surfaces of the electrode bodies are arranged in order in the width direction of the slit and the beam measurement surfaces adjacent to each other in the width direction of the slit deviate from each other in the beam traveling direction.

Подробнее
08-10-2015 дата публикации

FOCUSING A CHARGED PARTICLE SYSTEM

Номер: US20150287568A1
Принадлежит:

A charged particle beam focusing apparatus includes a charged particle beam generator configured to project simultaneously at least one non-astigmatic charged particle beam and at least one astigmatic charged particle beam onto locations on a surface of a specimen, thereby causing released electrons to be emitted from the locations. The apparatus also includes an imaging detector configured to receive the released electrons from the locations and to form images of the locations from the released electrons. A processor analyzes the image produced by the at least one astigmatic charged particle beam and in response thereto adjusts a focus of the at least one non-astigmatic charged particle beam. 120-. (canceled)21. A method comprising:producing simultaneously at least one non-astigmatic charged particle beam and at least one astigmatic charged particle beam;forming, from the at least one non-astigmatic charged particle beam, a corresponding set of images on a surface;forming, from the at least one non-astigmatic charged particle beam, a corresponding set of images on a surface; andfocusing, by a processor, the at least one non-astigmatic charged particle beam using the set of images that correspond to the at least one astigmatic charged particle beam.22. The method of claim 21 , wherein producing simultaneously the at least one non-astigmatic charged particle beam and the at least one astigmatic charged particle beam comprises:projecting a plurality of charged particle beams through a set of apertures in the multi-aperture array to produce the at least one astigmatic charged particle beam; andprojecting the plurality of charged particle beams through another set of apertures in the multi-aperture array to produce the at least one non-astigmatic charged particle beam, wherein the set of apertures to produce the at least one astigmatic charged particle beam are in a position further from an optical axis than the other set of apertures to produce the at least one non- ...

Подробнее
25-11-2021 дата публикации

CHARGED PARTICLE BEAM DEVICE AND METHOD FOR INSPECTING AND/OR IMAGING A SAMPLE

Номер: US20210366683A1
Принадлежит:

A charged particle beam device for imaging and/or inspecting a sample is described. The charged particle beam device includes a beam emitter for emitting a primary charged particle beam; a retarding field device for retarding the primary beam before impinging on the sample, the retarding field device including an objective lens and a proxy electrode; and a first detector for off-axial backscattered particles between the proxy electrode and the objective lens. The charged particle beam device is adapted for guiding the primary beam along an optical axis to the sample for releasing signal particles. The proxy electrode includes one opening allowing a passage of the primary charged particle beam and of the signal particles, wherein the one opening is sized to allow a passage of charged particles backscattered from the sample at angles from 0° to 20° or above relative to the optical axis. Further, a method for imaging and/or inspecting a sample with a charged particle beam device is described. 2. The charged particle beam device according to claim 1 , wherein the one opening is sized to allow a passage of charged particles backscattered from the sample at angles from 0° to 45° or above relative to the optical axis.3. The charged particle beam device according to claim 1 , wherein the first detector is an in-lens detector with a hole allowing a passage of the primary charged particle beam and has an annular detection surface sized for detecting at least charged particles backscattered from the sample at angles between 15° and 30°.4. The charged particle beam device according to claim 3 , wherein the annular detection surface is segmented and includes at least four detection segments.5. The charged particle beam device according to claim 1 , wherein the first detector is configured to act as a further electrode arranged between the objective lens and the proxy electrode for influencing at least one of the primary charged particle beam and the signal particles.6. The ...

Подробнее
03-09-2020 дата публикации

Charged particle multi-beam device

Номер: US20200279718A1
Автор: Shinichi Okada
Принадлежит: Ebara Corp

A charged particle multi-beam device includes a charged particle source, a collimator lens, a multi-light-source forming unit, and a reduction projection optical system. The multi-light-source forming unit has first to third porous electrodes disposed side by side in an optical axis direction. A plurality of holes for causing the multi-beams to pass is formed in each of the first to third porous electrodes. The first porous electrode and the third porous electrode have the same potential and the second porous electrode has potential different from the potential of the first porous electrode and the third porous electrode. A diameter of the holes on the second porous electrode is formed larger further away from an optical axis such that a surface on which the multi-light sources are located is formed in a shape convex to the charged particle source side.

Подробнее
19-09-2019 дата публикации

Transmission Electron Microscopy

Номер: US20190287759A1
Принадлежит:

A transmission electron microscope is provided for imaging a sample. The microscope has a stage to hold a sample and an electron beam column to direct an electron beam onto a field of view on the sample. The electron beam column includes an electron beam source to generate an electron beam, and electron beam optics to converge the electron beam onto a field of view on the sample. The microscope also has a beam scanner to scan the electron beam across multiple fields of view on the sample. The microscope additionally has a detector to detect radiation emanating from the sample to generate an image. A controller is provided to analyze the detected radiation to generate an image of the sample. 1. A transmission electron microscope (TEM) for imaging a sample , the microscope comprising:a stage to hold a sample; an electron beam source to generate an electron beam,', 'electron beam optics to converge the electron beam as a substantially parallel electron beam onto the sample in a TEM mode, and', 'a beam scanner to scan the substantially parallel electron beam across multiple scanning areas on the sample;, 'an electron beam column to direct a substantially parallel electron beam onto the sample, the electron beam column comprisinga detector to detect radiation emanating from the sample to generate an image; anda controller to analyze the detected radiation to generate an image of the sample.2. A transmission electron microscope according to claim 1 , wherein the controller is adapted to control the beam scanner to sequentially scan the electron beam across multiple adjacent or overlapping fields of view on the sample claim 1 , without moving the stage between at least four of these scans.3. A transmission electron microscope according to claim 1 , wherein the controller is adapted to control the beam scanner to sequentially scan the electron beam across multiple adjacent or overlapping fields of view on the sample claim 1 , without moving the stage between at least nine ...

Подробнее
19-09-2019 дата публикации

Charged particle beam system, opto-electro simultaneous detection system and method

Номер: US20190287760A1
Автор: PENG Wang, Shuai Li, Wei He
Принадлежит: Focus eBeam Technology Beijing Co Ltd

Disclosed is a charged particle beam system, which includes: a particle source, a column and a specimen chamber with a first movable vacuum window. The particle source is configured to generate a charged particle beam which impinges the specimen to be detected placed in a specimen chamber. The column includes a deflection device for deflecting the charged particle beam and a focusing device for focusing the charged particle beam. The charged particle beam system is compatible with multiple external optical systems to achieve simultaneous detection or fast-switching detection of the specimen. An opto-electro simultaneous detection system and the method are also disclosed.

Подробнее
26-10-2017 дата публикации

Method and system for the removal and/or avoidance of contamination in charged particle beam systems

Номер: US20170304878A1
Принадлежит: Mapper Lithopraphy IP BV

A charged particle beam system is disclosed, comprising: a charged particle beam generator for generating a beam of charged particles; a charged particle optical column arranged in a vacuum chamber, wherein the charged particle optical column is arranged for projecting the beam of charged particles onto a target, and wherein the charged particle optical column comprises a charged particle optical element for influencing the beam of charged particles; a source for providing a cleaning agent; a conduit connected to the source and arranged for introducing the cleaning agent towards the charged particle optical element; wherein the charged particle optical element comprises: a charged particle transmitting aperture for transmitting and/or influencing the beam of charged particles, and at least one vent hole for providing a flow path between a first side and a second side of the charged particle optical element, wherein the vent hole has a cross section which is larger than a cross section of the charged particle transmitting aperture. Further, a method for preventing or removing contamination in the charged particle transmitting apertures is disclosed, comprising the step of introducing the cleaning agent while the beam generator is active.

Подробнее
26-09-2019 дата публикации

INTERFERENCE OPTICAL SYSTEM UNIT, CHARGED PARTICLE BEAM INTERFERENCE APPARATUS, AND METHOD FOR OBSERVING CHARGED PARTICLE BEAM INTERFERENCE IMAGE

Номер: US20190295816A1
Принадлежит:

An interference optical system unit includes at least one electromagnetic lens that forms an image of a charged particle beam, at least one charged particle beam biprism, and a support member for the electromagnetic lens and the charged particle beam biprism. The electromagnetic lens, the charged particle beam biprism, the support member, and a space to an image plane of the electromagnetic lens are integrally configured as one unit. The interference optical system unit is disposed to have an optical axis coaxialized with an optical axis of an imaging optical system of an upstream stage that is disposed on an upstream side of the unit in a flow direction of the charged particle beam. A focal length of the electromagnetic lens and a deflection angle of the charged particle beam given by the charged particle beam biprism are controlled to generate an interference fringe of the charged particle beam on the image plane of the electromagnetic lens. 1. An interference optical system unit comprising:at least one electromagnetic lens that forms an image of a charged particle beam;at least one charged particle beam biprism; anda support member for the electromagnetic lens and the charged particle beam biprism,wherein the electromagnetic lens, the charged particle beam biprism, the support member, and a space to an image plane of the electromagnetic lens are integrally configured as one unit,the interference optical system unit is disposed to have an optical axis coaxialized with an optical axis of an imaging optical system of an upstream stage, the imaging optical system being disposed on an upstream side of the unit in a flow direction of the charged particle beam, anda focal length of the electromagnetic lens and a deflection angle of the charged particle beam given by the charged particle beam biprism are controlled to generate an interference fringe of the charged particle beam on the image plane of the electromagnetic lens.2. The interference optical system unit ...

Подробнее
26-10-2017 дата публикации

High voltage electron beam system and method

Номер: US20170309442A1
Автор: Yosef Basson
Принадлежит: Applied Materials Israel Ltd

A high voltage inspection system that includes a vacuum chamber; electron optics that is configured to direct an electron beam towards an upper surface of a substrate; a substrate support module that comprises a chuck and a housing; wherein the chuck is configured to support a substrate; wherein the housing is configured to surround the substrate without masking the electron beam, when the substrate is positioned on the chuck during a first operational mode of the high voltage inspection system; and wherein the substrate, the chuck and the housing are configured to (a) receive a high voltage bias signal of a high voltage level that exceeds ten thousand volts, and (b) to maintain at substantially the high voltage level during the first operational mode of the high voltage inspection system.

Подробнее
10-11-2016 дата публикации

Method and System for Aberration Correction in an Electron Beam System

Номер: US20160329189A1
Принадлежит:

A scanning electron microscopy system is disclosed. The system includes an electron beam source configured to generate a primary electron beam. The system includes a sample stage configured to secure a sample. The system includes a set of electron-optical elements configured to direct at least a portion of the primary electron beam onto a portion of the sample. The set of electron-optical elements includes an upper deflector assembly and a lower deflector assembly. The upper deflect assembly is configured to compensate for chromatic aberration in the primary electron beam caused by the lower deflector assembly. In addition, the system includes a detector assembly positioned configured to detect electrons emanating from the surface of the sample. 1. A scanning electron microscopy apparatus comprising:an electron beam source configured to generate a primary electron beam;a sample stage configured to secure a sample;a set of electron-optical elements configured to direct at least a portion of the primary electron beam onto a portion of the sample, wherein the set of electron-optical elements includes at least an upper deflector assembly and a lower deflector assembly, wherein the upper deflect assembly is configured to compensate for chromatic aberration in the primary electron beam caused by the lower deflector assembly; anda detector assembly positioned configured to detect electrons emanating from the surface of the sample.2. The apparatus of claim 1 , wherein the upper deflector assembly is configured to control a spot size of the primary electron beam on the surface of the sample.3. The apparatus of claim 2 , wherein the upper deflector assembly is configured to control a spot size of the primary electron beam on the surface of the sample by generating an offset of the primary electron beam from an optical axis of the scanning electron microscopy apparatus claim 2 , wherein the offset is defined by an offset angle.4. The apparatus of claim 1 , wherein the upper ...

Подробнее
10-10-2019 дата публикации

FOCUSING MAGNET AND CHARGED PARTICLE IRRADIATION APPARATUS

Номер: US20190311878A1
Принадлежит: B Dot Medical Inc.

An embodiment of the invention is a focusing magnet including a coil pair arranged on both sides of a path of a charged particle beam. The coil pair generates an effective magnetic field region in which a magnetic field is oriented in a direction (z-axis) perpendicular to a traveling direction (x-axis) of a charged particle beam. In an xy-plane, an incident charged particle beam deflected at a deflection angle ϕ with respect to the x-axis at a deflection point Q is deflected by the effective magnetic field region, and irradiates an isocenter at an irradiation angle θ with respect to the x-axis; an arbitrary point P on a boundary on an exit side of the effective magnetic field region is at an equal distance rfrom the isocenter; a point P on a boundary on an incident side of the effective magnetic field region and the point P are on a radius rand an arc of a central angle (θ+ϕ); and when a distance between the deflection point Q and the isocenter is L, a distance R between the deflection point Q and the point P satisfies a relational equation (4). 1. A focusing magnet comprising a coil pair arranged on both sides of a path of a charged particle beam , wherein:when a current is input, the coil pair is configured to generate an effective magnetic field region in which a magnetic field is oriented in a direction (z-axis) perpendicular to a traveling direction (x-axis) of a charged particle beam, where an axis perpendicular to the x-axis and z-axis is assumed to be a y-axis; a charged particle beam which has been deflected at a deflection angle ϕ with respect to the x-axis at a deflection point Q and incident on the effective magnetic field region is deflected by the effective magnetic field region, and irradiates an isocenter at an irradiation angle θ with respect to the x-axis,', {'b': '2', 'sub': '1', 'an arbitrary point P on a boundary on an exit side of the charged particle beam of the effective magnetic field region is at an equal distance rfrom the isocenter,'}, {' ...

Подробнее
01-10-2020 дата публикации

Method of Controlling Transmission Electron Microscope and Transmission Electron Microscope

Номер: US20200312612A1
Автор: Yuji Kohno
Принадлежит: Jeol Ltd

A method of controlling a transmission electron microscope includes: causing a first magnetic field lens to generate a first magnetic field and causing a second magnetic field lens to generate a second magnetic field; causing the magnetic field applying unit to generate a magnetic field of a direction along an optical axis on a specimen mounting surface; and changing excitations of the first excitation coil and the second excitation coil to correct a deviation of a focal length of an objective lens due to the magnetic field generated by the magnetic field applying unit.

Подробнее
26-11-2015 дата публикации

PILLAR-SUPPORTED ARRAY OF MICRO ELECTRON LENSES

Номер: US20150340195A1
Принадлежит: KLA-TENCOR CORPORATION

One embodiment relates to a pillar-supported array of micro electron lenses. The micro-lens array includes a base layer on a substrate, the base layer including an array of base electrode pads and an insulating border surrounding the base electrode pads so as to electrically isolate the base electrode pads from each other. The micro-lens array further includes an array of lens holes aligned with the array of base electrode pads and one or more stacked electrode layers having openings aligned with the array of lens holes. The micro-lens array further includes one or more layers of insulating pillars, each layer of insulating pillars supporting a stacked electrode layer. Another embodiment relates to a method of fabricating a pillar-supported array of micro electron lenses. Other embodiments, aspects and features are also disclosed. 1. A pillar-supported array of micro electron lenses , the pillar-supported array comprising:a base layer on a substrate, the base layer including an array of base electrode pads;an insulating border surrounding each base electrode pads so as to electrically isolate the base electrode pads from each other;an array of lens holes aligned with the array of base electrode pads;a first stacked electrode layer having a first array of openings aligned with the array of lens holes; anda first layer of insulating pillars which support the first stacked electrode layer above the base layer.2. The pillar-supported array of claim 1 , further comprising:a second stacked electrode layer having openings aligned with the array of lens holes; anda second layer of insulating pillars which support the second stacked electrode layer above the first stacked electrode layer.3. The pillar-supported array of claim 2 , further comprising:a third stacked electrode layer having openings aligned with the array of lens holes; anda third layer of insulating pillars which support the third stacked electrode layer above the second stacked electrode layer.4. The pillar- ...

Подробнее
26-11-2015 дата публикации

APPARATUS AND METHOD OF APPLYING SMALL-ANGLE ELECTRON SCATTERING TO CHARACTERIZE NANOSTRUCTURES ON OPAQUE SUBSTRATE

Номер: US20150340201A1
Принадлежит:

An apparatus and methods for small-angle electron beam scattering measurements in a reflection or a backscattering mode are provided. The apparatus includes an electron source, electron collimation optics before a sample, electron projection optics after the sample, a sample stage capable of holding the sample, and a electron detector module. The electrons emitted from the source are collimated and positioned to impinge nanostructures on the sample. The signals resulting from the interactions between the impinging electrons and the nanostructures are further magnified by the electron projection optics to reach a sufficient angular resolution before recorded by the electron detector module. 1. A high angular resolution electron scattering apparatus for characterizing surface features in nanometers from a sample in either a reflection mode , or a backscattering mode or both , wherein the sample comprises an opaque substrate which is made of materials with a thickness that is impenetrable by electrons in KeV ranges , and the sample has a diameter of up to 40 cm or larger , the electron scattering apparatus comprising:a sample stage configured to hold the sample;an electron source configured to produce an electron beam;a plurality of electron collimation optics configured to receive the electron beam from the electron source and direct the electron beam to the sample stage;a plurality of electron projection optics; andan electron detector module, wherein the electron projection optics are configured to receive scattered/reflected electrons from the sample and direct the scattered electrons to the electron detector module, wherein a value of effective distance from the sample to the electron detector module is up to about 100 meters or more;{'sup': −4', '−5, 'wherein angular resolution is in a range from about 10rad to about 10rad, and the electron collimation optics and the electron projection optics are configured and operated in coordination to achieve the angular ...

Подробнее