Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 6767. Отображено 100.
01-03-2012 дата публикации

Wafer Chucking System for Advanced Plasma Ion Energy Processing Systems

Номер: US20120052599A1
Принадлежит: Advanced Energy Industries Inc

Systems, methods and apparatus for regulating ion energies in a plasma chamber and chucking a substrate to a substrate support are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.

Подробнее
24-05-2012 дата публикации

Process for etching silicon with selectivity to silicon-germanium

Номер: US20120129354A1
Автор: Vinh Luong
Принадлежит: Tokyo Electron Ltd

A method for performing a selective etching process is described. The method includes preparing a substrate having a silicon layer (Si) and a silicon-germanium (SiGe x ) layer, and selectively etching the silicon layer relative to the silicon-germanium layer using a dry plasma etching process.

Подробнее
31-05-2012 дата публикации

Plasma treatment apparatus

Номер: US20120132368A1
Принадлежит: HITACHI LTD

To improve durability of an electric discharge part of a dielectric barrier discharge system, a plasma treatment apparatus is configured so that a plasma source of a corona discharge system is installed in the vicinity of a plasma source of the dielectric barrier discharge system, a plasma generated by corona discharge is used as an auxiliary plasma, and a discharge sustaining voltage of a main plasma generated by the dielectric barrier discharge is reduced.

Подробнее
28-06-2012 дата публикации

Variable-Density Plasma Processing of Semiconductor Substrates

Номер: US20120164834A1
Принадлежит: Novellus Systems Inc

Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region.

Подробнее
23-08-2012 дата публикации

Uniformity tuning capable esc grounding kit for rf pvd chamber

Номер: US20120211354A1
Принадлежит: Applied Materials Inc

Embodiments of the invention generally relate to a grounding kit for a semiconductor processing chamber, and a semiconductor processing chamber having a grounding kit. More specifically, embodiments described herein relate to a grounding kit which creates an asymmetric grounding path selected to significantly reduce the asymmetries caused by an off center RF power delivery.

Подробнее
13-09-2012 дата публикации

Method and Apparatus for Treating Containers

Номер: US20120231182A1
Принадлежит: KAIATECH Inc

An apparatus for treating the interior of containers includes a chamber for holding a container and provides precursor materials via an annulus formed by coaxially arranged electrodes at which plasma is created upon application of voltage and the container is treated.

Подробнее
13-09-2012 дата публикации

Plasma generating apparatus and plasma etching method using the same

Номер: US20120231631A1
Автор: Hongseub KIM
Принадлежит: JEHARA CORPARATION

A plasma generating apparatus and a plasma etching method are provided. The apparatus includes a chamber, a barrier, a susceptor, and a Radio Frequency (RF) power. The chamber forms a reaction space isolated from the external. The barrier divides the chamber into an upper chamber and a lower chamber. The barrier has a plurality of through-holes through formed to communicate the upper chamber and the lower chamber. The susceptor is installed in the lower chamber. The RF power supplies a bias power to the susceptor.

Подробнее
13-09-2012 дата публикации

Sensor for measuring plasma parameters

Номер: US20120232817A1
Принадлежит: Individual

A method of measuring ion current between a plasma and an electrode in communication with the plasma is disclosed. A time-varying voltage at the electrode and a time- varying current through the electrode are measured. The method comprise recording, for each of a plurality of voltage values, v′, a plurality, n, of current values I(v′); and obtaining from the current and voltage values a value of the ion current. The electrode is insulated from the plasma by an insulating layer, so that the current values lack a DC component. The method includes performing a mathematical transform effective to: express the current and voltage values as a relationship between the real component of current through the electrode and the voltage, thereby eliminating a capacitive contribution to the current through the electrode; isolate from the real component of current through the electrode an isolated contribution attributable to an ion current and a resistive term, the contribution being free of any electron current contribution; and determine from the isolated contribution a value of ion current.

Подробнее
08-11-2012 дата публикации

Plasma Processing Chamber Having Electrodes for Cleaning Chamber

Номер: US20120279659A1
Автор: Rajinder Dhindsa
Принадлежит: Lam Research Corp

Plasma processing chamber having a bottom electrode assembly is disclosed. The assembly has an inner bottom electrode for supporting a substrate and an outer bottom electrode disposed outside of the inner bottom electrode. The outer bottom electrode defines a region for chamber cleaning, and the outer bottom electrode includes a conductive ring and an inductive coil placed under the conductive ring. Further included is a dielectric material disposed between the inner bottom electrode and the outer bottom electrode, and the dielectric material separates the inner bottom electrode from the outer bottom electrode. A switch is provided for connecting radio frequency (RF) power to either the inner bottom electrode or the outer bottom electrode. The chamber also includes a top electrode assembly with a top electrode. The top electrode is disposed above both the inner and outer bottom electrodes.

Подробнее
30-05-2013 дата публикации

Large area, atmospheric pressure plasma for downstream processing

Номер: US20130134878A1
Автор: Gary S. Selwyn
Принадлежит: APJet Inc

An arcless, atmospheric-pressure plasma generating apparatus capable of producing a large-area, temperature-controlled, stable discharge at power densities between about 0.1 W/cm 3 and about 200 W/cm 3 , while having an operating gas temperature of less than 50° C., for processing materials outside of the discharge, is described. The apparatus produces active chemical species, including gaseous metastables and radicals which may be used for polymerization (either free radical-induced or through dehydrogenation-based polymerization), surface cleaning and modification, etching, adhesion promotion, and sterilization, as examples. The invention may include either a cooled rf-driven electrode or a cooled ground electrode, or two cooled electrodes, wherein active components of the plasma may be directed out of the plasma and onto an external workpiece without simultaneously exposing a material to the electrical influence or ionic components of the plasma.

Подробнее
04-07-2013 дата публикации

Mixed mode pulsing etching in plasma processing systems

Номер: US20130168354A1
Автор: Keren Jacobs Kanarik
Принадлежит: Individual

A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times.

Подробнее
04-07-2013 дата публикации

Method and apparatus for plasma processing

Номер: US20130174105A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

A plasma processing apparatus is disclosed for minimizing the non-uniformity of potential distribution around wafer circumference. The apparatus includes a focus ring formed of a dielectric, and a conductor or a semiconductor having RF applied thereto. A surface voltage of the focus ring is determined to be not less than a minimum voltage for preventing reaction products caused by wafer processing from depositing thereon. The surface height, surface voltage, material, and structure of the focus ring are optimized so that the height of an ion sheath formed on the focus ring surface is either equal or has a height difference within an appropriate tolerance range to the height of the ion sheath formed on the wafer surface.

Подробнее
18-07-2013 дата публикации

Plasma processing apparatus

Номер: US20130180662A1
Принадлежит: Hitachi High Technologies Corp

In a plasma processing apparatus having a processing chamber, a sample stage, a sample, a dielectric-composed insulating film and an electrode, the sample stage can be divided into an upper member and a lower member, the upper member including the insulating film and an electrode, the apparatus includes a socket which is deployed inside a through hole of the upper member, and which is electrically connected to the electrode, a pin which is brought into contact with the socket by being inserted into the socket, and a seal member which is attached onto the socket in order to implement a hermetic sealing between the upper-member side and the lower-member side inside the through hole, the upper-member side being continuously linked to the decompressed processing chamber, the lower-member side being continuously linked to the substantially-atmospheric-pressure side which is the outside of the processing chamber.

Подробнее
15-08-2013 дата публикации

PLASMA REACTOR WITH TILTABLE OVERHEAD RF INDUCTIVE SOURCE

Номер: US20130206594A1
Принадлежит:

Correction of skew in plasma etch rate distribution is performed by tilting the overhead RF source power applicator about a tilt axis whose angle is determined from skew in processing data. Complete freedom of movement is provided by incorporating exactly three axial motion servos supporting a floating plate from which the overhead RF source power applicator is suspended. 1. A plasma reactor for processing a workpiece , comprising:a processing chamber enclosure comprising a chamber side wall and a chamber ceiling, and a workpiece holder inside within said processing chamber enclosure;a conductive RF enclosure overlying said chamber ceiling and comprising an RF enclosure side wall;a floating support plate within and separate from said conductive RF enclosure;plural RF plasma power applicators suspended from said floating support plate in a space below said floating support plate and above said chamber ceiling;plural actuators fixed with respect to said RF enclosure side wall and spaced about said RF enclosure side wall at periodic intervals, each one of said plural actuators comprising: (a) a motor-driven axially movable arm, (b) a rotatable joint coupled between said axially movable arm and a respective portion of said floating support plate.2. The plasma reactor of wherein said plural actuators constitute three actuators spaced at 120 degree intervals around said RF enclosure side wall claim 1 , whereby said actuators are able to tilt said floating support plate about a tilt axis oriented at any azimuthal angle θ.3. The plasma reactor of further comprising controller apparatus controlling each one of said plural actuators and programmed to compute axial motions of said plural actuators from desired values of said azimuthal angle θ of said tilt axis and a tilt angle α about said tilt axis.4. The plasma reactor of wherein: (a) said RF enclosure side wall comprises a support surface claim 3 , and (b) each of said plural actuators is mounted on said support surface and ...

Подробнее
24-10-2013 дата публикации

THREE-COIL INDUCTIVELY COUPLED PLASMA SOURCE WITH INDIVIDUALLY CONTROLLED COIL CURRENTS FROM A SINGLE RF POWER GENERATOR

Номер: US20130278141A1
Принадлежит:

An inductively coupled plasma reactor has three concentric RF coil antennas and a current divider circuit individually controlling currents in each of the three coil antennas by varying only two reactive elements in the current divider circuit. 1. A plasma reactor for processing a workpiece , comprising:a reactor chamber comprising a cylindrical side wall and a ceiling;an RF power generator and an impedance match coupled to said RF power generator;three coil antennas having respective driven ends and return ends, the return ends being connected to a common potential;a pair of current branches each comprising respective series and parallel reactance elements, the series reactance element of each of the current branches being coupled between said impedance match and the driven end of a respective one of said coil antennas, the parallel reactance element of each of the current branches being coupled in parallel with a respective one of said coil antennas;a third current branch coupled between said impedance match and the driven end of a third one of said three coil antennas; anda current apportionment controller governing reactances of said parallel reactance elements in accordance with a user-specified apportionment of currents among said coil antennas.2. The reactor of wherein said third current branch comprises a conductor.3. The reactor of wherein each one of said variable parallel reactance elements comprises a variable capacitor.4. The reactor of wherein each one of said series reactance elements comprises a capacitor.5. The reactor of wherein said current apportionment controller comprises:a look-up table storing a sequence of pairs of reactance values of said first and second variable parallel reactance elements.6. The reactor of wherein said sequence of pairs of values defines a linear programming sequence.7. The reactor of further comprising a user interface coupled to said current apportionment controller claim 6 , said user interface adapted to record a ...

Подробнее
21-11-2013 дата публикации

Contamination Removal Apparatus and Method

Номер: US20130306101A1
Принадлежит: Rave N P Inc

A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel.

Подробнее
09-01-2014 дата публикации

Plasma processing apparatus and method

Номер: US20140011365A1
Принадлежит: Hitachi High Technologies Corp

To improve processing uniformity by improving a working characteristic in an edge exclusion region. Provided is a plasma processing apparatus for processing a sample by generating plasma in a vacuum vessel to which a processing gas is supplied and that is exhausted to a predetermined pressure and by applying a radio frequency bias to a sample placed in the vacuum vessel, wherein a conductive radio frequency ring to which a radio frequency bias power is applied is arranged in a stepped part formed outside a convex part of the sample stage on which the wafer is mounted, and a dielectric cover ring is provided in the stepped part, covering the radio frequency ring, the cover ring substantially blocks penetration of the radio frequency power to the plasma from the radio frequency ring, and the radio frequency ring top surface is set higher than a wafer top surface.

Подробнее
16-01-2014 дата публикации

Processing apparatus

Номер: US20140014269A1
Принадлежит: Canon Anelva Corp

A processing apparatus includes a substrate supporting unit that supports a substrate in a processing space in which the substrate is processed, a first partitioning member that includes a ceiling portion having an opening and partitions the processing space from an outer space, and a second partitioning member that is attached to the first partitioning member so as to close the opening and partition the processing space from the outer space together with the first partitioning member. The second partitioning member is attached to the first partitioning member so that the second partitioning member is removable from the first partitioning member by moving the second partitioning member toward a space which a lower surface of the ceiling portion faces.

Подробнее
16-01-2014 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20140017900A1
Принадлежит: Tokyo Electron Ltd

A plasma etching apparatus performs plasma etching on a substrate having a resist pattern formed thereon and an outer edge portion where the substrate surface is exposed. The plasma etching apparatus includes a support part that supports the substrate, a cover member that covers the outer edge portion of the substrate and prevents plasma from coming around the outer edge portion, and a control unit that generates plasma by controlling high frequency power application and supply of a processing gas for etching, and uses the generated plasma to etch the substrate that is supported by the support part and has the outer edge portion covered by the cover member. After etching the substrate, the control unit generates plasma by controlling high frequency power application and supply of a processing gas for ashing, and uses the generated plasma to perform ashing on the resist pattern on the etched substrate.

Подробнее
23-01-2014 дата публикации

Spectral reflectometry window heater

Номер: US20140024142A1
Принадлежит: Texas Instruments Inc

A plasma processing tool for fabricating a semiconductor device on a semiconductor wafer includes an optical window disposed on a plasma chamber, remotely from a plasma region. The window is thermally connected to an electrical heater element capable of maintaining the window at a temperature of at least 30° C. A heater controller provides electrical power to the heater element. During operation of the plasma processing tool, the heater controller provides power to the heater element so as to maintain the window at a temperature of at least 30° C. during at least a portion of a plasma process step in which by-products are produced in the plasma chamber.

Подробнее
13-02-2014 дата публикации

Method and system for graphene formation

Номер: US20140044885A1
Автор: David A. Boyd

A method for forming graphene includes providing a substrate and subjecting the substrate to a reduced pressure environment. The method also includes providing a carrier gas and a carbon source and exposing at least a portion of the substrate to the carrier gas and the carbon source. The method further includes performing a surface treatment process on the at least a portion of the substrate and converting a portion of the carbon source to graphene disposed on the at least a portion of the substrate.

Подробнее
20-02-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140047705A1
Принадлежит: Lam Research Corp

An exemplary method for manufacturing a heating plate for a substrate support assembly includes forming holes in at least one sheet, printing a slurry of conductor powder, or pressing a precut metal foil, or spraying a slurry of conductor powder, on the at least one sheet to form the planar heater zones, the power supply lines, and power return lines. The holes in the at least one sheet are filled with a slurry of conductor powder to form power supply and power return vias. The sheets are then aligned, pressed, and bonded to form the heating plate.

Подробнее
27-02-2014 дата публикации

Phase And Frequency Control Of A Radio Frequency Generator From An External Source

Номер: US20140055034A1
Автор: David J. Coumou
Принадлежит: MKS Instruments Inc

Controlling a phase and/or a frequency of a RF generator. The RF generator includes a power source, a sensor, and a sensor signal processing unit. The sensor signal processing unit is coupled to the power source and to the sensor. The sensor signal processing unit controls the phase and/or the frequency of a RF generator.

Подробнее
27-02-2014 дата публикации

Systems and Methods for Depositing and Charging Solar Cell Layers

Номер: US20140057388A1
Автор: Jeong-Mo Hwang
Принадлежит: Amtech Systems Inc

Systems and methods of the present invention may be used to charge a layer (such as a passivation layer and/or antireflective layer) of a solar cell (e.g., wafer) with a positive or negative charge. The layer may retain the charge to improve operation of the solar cell. The charged layer may include any suitable dielectric material capable of retaining either a negative or a positive charge. Systems and methods of the present invention permit in-situ charging of a layer. Charging of a layer may be accomplished during or after deposition of the layer including after completing the whole solar cell process, in other words, on a finished cell.

Подробнее
03-04-2014 дата публикации

APPARATUS FOR TREATING SUBSTRATE

Номер: US20140090783A1
Принадлежит:

The present invention disclosed herein relates to a substrate treating apparatus, and more particularly, to an apparatus for treating a substrate using plasma. Embodiments of the present invention provide substrate treating apparatuses including a chamber having a treating space defined therein, a support member disposed in the chamber to support a substrate, a gas supply unit supplying a gas into the chamber, a plasma source generating plasma from the gas supplied into the chamber, a baffle disposed to surround the support member in the chamber and having through holes to exhaust a gas in the treating space, and a shielding unit preventing an electromagnetic field from an inside of the chamber to an outside of the chamber. 1. A substrate treating apparatus comprising:a chamber having a space defined therein;a support member disposed in the chamber to support a substrate;a gas supply unit supplying a gas into the chamber;a plasma source generating plasma from the gas supplied into the chamber;a baffle disposed to surround the support member in the chamber and having through holes to exhaust a gas in the treating space; anda shielding unit preventing an electromagnetic field from an inside of the chamber to an outside of the chamber.2. The substrate treating apparatus of claim 1 , wherein the shielding unit comprises a first shielding member surrounding a peripheral surface of the chamber.3. The substrate treating apparatus of claim 2 , wherein the first shielding member is provided at a height corresponding to the support member.4. The substrate treating apparatus of claim 2 , wherein the first shielding member is provided such that a bottom thereof surrounds the baffle.5. The substrate treating apparatus of claim 2 , further comprising a liner contacting an inner sidewall of the chamber and provided to surround the inside of the chamber.6. The substrate treating apparatus of claim 5 , wherein the first shielding member is provided between the liner and the inner ...

Подробнее
10-04-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140096909A1
Принадлежит: Lam Research Corp

A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches.

Подробнее
10-04-2014 дата публикации

System and Methods of Bimodal Automatic Power and Frequency Tuning of RF Generators

Номер: US20140097908A1
Принадлежит: MKS Instruments Inc

A radio frequency generator includes a power control module, a frequency control module and a pulse generating module. The power control module is configured to generate a power signal indicating power levels for target states of a power amplifier. The frequency control module is configured to generate a frequency signal indicating frequencies for the target states of the power amplifier. The pulse generating module is configured to (i) supply an output signal to the power amplifier, (ii) recall at least one of a latest power level or a latest frequency for one of the target states of the power amplifier, and (iii) adjust a current power level and a current frequency of the output signal from a first state to a second state based on the power signal, the frequency signal, and at least one of the latest power level and the latest frequency of the power amplifier.

Подробнее
01-01-2015 дата публикации

Multiple-mode plasma generation apparatus

Номер: US20150000844A1
Автор: Je-Ho Woo
Принадлежит: EQBESTECH Inc

The present invention relates to a multiple-mode plasma generation apparatus that can supply plasma for multiple processes in order to improve processing efficiency. The plasma generation apparatus may include a first plasma generation unit and a second plasma generation unit connected in series with the first plasma generation unit. Here, a gas is changed to plasma by a magnetic field generated by the first plasma generation unit and the second plasma generation unit, the first plasma generation unit is operated by a low-frequency power supply, and the second plasma generation unit is operated by a high-frequency power supply.

Подробнее
07-01-2021 дата публикации

Cleaning method and plasma processing apparatus

Номер: US20210001383A1
Автор: Masahiro Yamazaki
Принадлежит: Tokyo Electron Ltd

A cleaning method is provided. In the cleaning method, residues of elements of a group for a common semiconductor material in a chamber are removed with plasma of a halogen-containing gas. Residues of metal elements of groups 12 and 13 and groups 14 and 15 in the chamber are removed with plasma of a hydrocarbon-containing gas. A C-containing material in the chamber is removed with plasma of an O-containing gas. Further, the removing with the plasma of the halogen-containing gas, the removing with the plasma of the hydrocarbon-containing gas, and the removing with the plasma of the O-containing gas are performed in that order or the removing with the plasma of the hydrocarbon-containing gas, the removing with the plasma of the O-containing gas, and the removing with the plasma of the halogen-containing gas are performed in that order X times where X≥1.

Подробнее
05-01-2017 дата публикации

Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity

Номер: US20170002465A1
Автор: Shaikh Fayaz, Tan Taide
Принадлежит:

A chamber for use in implementing a deposition process includes a pedestal for supporting a semiconductor wafer. A silicon ring is disposed over the pedestal and surrounds the semiconductor wafer. The silicon ring has a ring thickness that approximates a semiconductor wafer thickness. The silicon ring has an annular width that extends a process zone defined over the semiconductor wafer to an extended process zone that is defined over the semiconductor wafer and the silicon ring. A confinement ring defined from a dielectric material is disposed over the pedestal and surrounds the silicon ring. A showerhead having a central showerhead area and an extended showerhead area is also included. The central showerhead area is substantially disposed over the semiconductor wafer and the silicon ring. The extended showerhead area is substantially disposed over the confinement ring. The annular width of the silicon ring enlarges a surface area of the semiconductor wafer that is exposed and shifts non-uniformity effects of deposition materials over the semiconductor wafer from an edge of the semiconductor wafer to an outer edge of the silicon ring. 1. A chamber for processing a semiconductor wafer , the processing of the semiconductor wafer includes performing deposition of a material over a surface of the semiconductor wafer , the chamber comprising ,a pedestal for supporting the semiconductor wafer;a silicon ring surrounding the semiconductor wafer and disposed over the pedestal, the silicon ring having a ring thickness that approximates a semiconductor wafer thickness, the silicon ring has an annular width that extends a process zone over the semiconductor wafer to an extended process zone that is over the semiconductor wafer and the silicon ring;a confinement ring surrounding the silicon ring and disposed on the pedestal, the confinement ring being defined from a dielectric material;a showerhead having a central showerhead area and an extended showerhead area, the central ...

Подробнее
05-01-2017 дата публикации

POWER DELIVERY SYSTEMS AND MANUFACTURING EQUIPMENT INCLUDING A VARIABLE VACUUM CAPACITOR

Номер: US20170004925A1
Принадлежит: Comet AG

A variable vacuum capacitor includes two pairs of electrodes ganged together in series such that no moving parts are required to connect electrically to any static pans. Two sets, or gangs, of movable electrodes are connected mechanically and electrically together such that they move together and such that they require no electrical connection to any other part of the device. The ganged arrangement means that the device can be constructed with a smaller diameter, but without significantly increasing the overall length of the device. The variable vacuum capacitor may be a component of e.g., a power delivery system for a plasma process, a power delivery system for surface treatment, semi-conductor manufacturing equipment, photovoltaic manufacturing equipment, and flat panel manufacturing equipment. 1. A power delivery system for a plasma process , comprising: a vacuum enclosure,', 'a first variable electrode assembly comprising one or more first static electrodes and one or more first mobile electrodes,', 'a second variable electrode assembly comprising one or more second static electrodes and one or more second mobile electrodes,', 'a first electrical connection terminal for providing an electrical connection to the one or more first static capacitor electrodes,', 'a second electrical connection terminal for providing an electrical connection to the one or more second static capacitor electrodes,', 'displacement means for displacing the first and/or second mobile electrodes relative to the first and/or second static electrodes respectively, along an axis of the vacuum capacitor,', 'wherein, in the variable vacuum capacitor,', 'the first and second electrode assemblies are ganged along the axis such that the first mobile electrode assembly is offset along the axis by a gang offset distance from the second electrode assembly, and', 'the variable vacuum capacitor comprises mobile electrode linkage means for providing a kinematic linkage between the one or more first ...

Подробнее
05-01-2017 дата публикации

PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20170004956A1
Принадлежит:

A plasma processing method according to an aspect includes: preparing a plasma processing apparatus including: a chamber; a lower electrode; an upper electrode; a focus ring surrounding a peripheral edge of the lower electrode; and an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode; placing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring; introducing process gas into the chamber; generating plasma of the process gas by applying high-frequency power across the upper electrode and the lower electrode; and leveling an interface of a plasma sheath on an upper portion of the substrate with that on an upper portion of the focus ring by generating a magnetic field by supplying a current to the annular coil. 1. A plasma processing method comprising: a chamber;', 'a lower electrode disposed in the chamber;', 'an upper electrode disposed in the chamber and facing the lower electrode;', 'a focus ring disposed in the chamber and surrounding a peripheral edge of the lower electrode; and', 'an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode;, 'preparing a plasma processing apparatus, the plasma processing apparatus comprisingplacing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring;introducing process gas into the chamber;applying high-frequency power across the upper electrode and the lower electrode to generate plasma of the process gas; andgenerating a magnetic field by supplying a current to the annular coil to level an interface of a plasma sheath on an upper portion of the substrate with the interface of the plasma sheath on an upper portion of the focus ring.2. The plasma processing method according to claim 1 ,wherein the leveling of the interface of the plasma sheath reduces the ...

Подробнее
07-01-2021 дата публикации

SUPPORT UNIT AND SUBSTRATE TREATING APPARATUS INCLUDING THE SAME

Номер: US20210005419A1
Принадлежит: SEMES CO., LTD.

A support unit provided in an apparatus for treating a substrate using plasma includes a dielectric plate on which the substrate is placed, an electrode plate disposed under the dielectric plate, a power supply rod that applies power to the electrode plate, and a flange that has a shape surrounding the power supply rod and that is spaced apart from the power supply rod. 1. A support unit provided in an apparatus for treating a substrate using plasma , the support unit comprising:a dielectric plate on which the substrate is placed;an electrode plate disposed under the dielectric plate;a power supply rod configured to apply power to the electrode plate; anda flange having a shape surrounding the power supply rod, the flange being spaced apart from the power supply rod.2. The support unit of claim 1 , wherein the flange is grounded.3. The support unit of claim 2 , wherein the flange is provided to surround part of the power supply rod and is moved in an up-down direction by a lifting member.4. The support unit of claim 3 , wherein the lifting member includes:a cylinder including a cam shaft movable in a first direction; anda roller shaft configured to make contact with the cam shaft, the roller shaft being movable in a second direction different from the first direction by movement of the cam shaft.5. The support unit of claim 4 , wherein the flange is coupled with the roller shaft.6. The support unit of claim 3 , wherein the lifting member includes:a rack connected with an actuator, the rack being movable in a first direction; anda pinion engaged with the rack, the pinion being movable in a second direction different from the first direction by movement of the rack.7. The support unit of claim 6 , wherein the lifting member further includes a lifting shaft connected to the pinion and extending in the second direction claim 6 , andwherein the flange is connected with the lifting shaft.8. The support unit of claim 6 , wherein the rack has a shape upwardly inclined with ...

Подробнее
07-01-2021 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATE

Номер: US20210005426A1
Автор: Lee Dongha
Принадлежит: SEMES CO., LTD.

An apparatus for treating a substrate includes a chamber having a treatment space therein, a support unit that supports the substrate in the treatment space, a gas supply unit that supplies, into the treatment space, a process gas used to treat the substrate, a plasma source that generates plasma by exciting the process gas supplied into the treatment space, heaters that heat the support unit for different regions of the substrate, a heater power supply that applies powers to the heaters, a plurality of heater cables that deliver the powers to the heaters, and variable capacitors configured be grounded, the variable capacitors being connected to the plurality of heater cables, respectively. 1. An apparatus for treating a substrate , the apparatus comprising:a chamber having a treatment space therein;a support unit configured to support the substrate in the treatment space;a gas supply unit configured to supply, into the treatment space, a process gas used to treat the substrate;a plasma source configured to generate plasma by exciting the process gas supplied into the treatment space;heaters configured to heat the support unit for different regions of the substrate;a heater power supply configured to apply powers to the heaters;a plurality of heater cables configured to deliver the powers to the heaters; andvariable capacitors configured to be grounded, the variable capacitors being connected to the plurality of heater cables, respectively.2. The apparatus of claim 1 , further comprising:a filter configured to pass the powers through the plurality of heater cables and interrupt introduction of RF power into the heater power supply,wherein the plurality of heater cables are connected between the filter and the heaters.3. The apparatus of claim 2 , wherein the variable capacitors configured to be grounded are connected to input terminals of the filter.4. The apparatus of claim 3 , wherein the filter includes a plurality of terminals claim 3 , andwherein the variable ...

Подробнее
07-01-2021 дата публикации

PLASMA ETCHING METHOD AND PLASMA PROCESSING DEVICE

Номер: US20210005427A1
Принадлежит:

A plasma etching method according to an exemplary embodiment comprises arranging a substrate on an electrostatic chuck in a region surrounded by a focus ring. The substrate, in a state of being held by the electrostatic chuck, is etched by means of ions from a plasma. The electrostatic chuck includes a plurality of electrodes including a first electrode and a second electrode. The first electrode extends under a central region of the substrate. The second electrode extends under an edge region of the substrate. A plurality of voltages are respectively applied to the plurality of electrodes, wherein the plurality of voltages are determined such that, in the state in which the substrate is held by the electrostatic chuck, the ions from the plasma are incident on both the central region and the edge region substantially vertically. 116-. (canceled)17. A plasma etching method , the method comprising: 'wherein the substrate support has a lower electrode and an electrostatic chuck installed on the lower electrode and is installed in a chamber of the plasma processing device, and the substrate is arranged on the electrostatic chuck and in a region surrounded by a focus ring;', 'mounting a substrate on a substrate support of a plasma processing device,'} 'wherein the plurality of electrodes includes a first electrode extending below a central region of the substrate and a second electrode extending below an edge region of the substrate, and the plurality of voltages are determined such that ions from plasma are substantially vertically incident on both the central region and the edge region while the substrate is held by the electrostatic chuck; and', 'determining a plurality of voltages respectively applied to a plurality of electrodes of the electrostatic chuck,'}etching the substrate with the ions from the plasma generated in the chamber while the plurality of voltages is respectively applied to the plurality of electrodes.18. The method of claim 17 , wherein the ...

Подробнее
02-01-2020 дата публикации

SUPPORT ASSEMBLY

Номер: US20200006054A1
Принадлежит:

A method and apparatus for removing native oxides from a substrate surface is provided. In one aspect, the apparatus comprises a support assembly. In one embodiment, the support assembly includes a shaft coupled to a disk-shaped body. The shaft has a vacuum conduit, a heat transfer fluid conduit and a gas conduit formed therein. The disk-shaped body includes an upper surface, a lower surface and a cylindrical outer surface. A thermocouple is embedded in the disk-shaped body. A flange extends radially outward from the cylindrical outer surface, wherein the lower surface of the disk-shaped body comprises one side of the flange. A fluid channel is formed in the disk-shaped body proximate the flange and lower surface. The fluid channel is coupled to the heat transfer fluid conduit of the shaft. A plurality of grooves are formed in the upper surface of the disk-shaped body, and are coupled by a hole in the disk-shaped body to the vacuum conduit of the shaft. A gas conduit is formed through the disk-shaped body and couples the gas conduit of the shaft to the cylindrical outer surface of the disk-shaped body. The gas conduit in the disk-shaped body has an orientation substantially perpendicular to a centerline of the disk-shaped body. 1. A processing system , comprising:a transfer chamber;a plurality of load lock chambers in selective communication with the transfer chamber; anda plurality of processing chambers coupled to and in selective communication with the transfer chamber, wherein one of the plurality of processing chambers comprises an etch chamber adapted to remove native oxides from a substrate prior to processing in a remainder of the plurality of processing chambers.2. The processing system of claim 1 , wherein one of the remainder of the plurality of processing chambers comprises a deposition chamber.3. The processing system of claim 2 , wherein the deposition chamber comprises a CVD chamber adapted to form a film on the substrate.4. The processing system of ...

Подробнее
02-01-2020 дата публикации

IN-SITU DEPOSITION AND ETCH PROCESS AND APPARATUS FOR PRECISION PATTERNING OF SEMICONDUCTOR DEVICES

Номер: US20200006080A1
Принадлежит:

A first material layer, a second material layer, and a photoresist layer may be formed over a substrate. The second material layer may be patterned by transfer of a lithographic pattern therethrough. A conformal spacer layer may be formed over the patterned second material layer in a chamber enclosure of an in-situ deposition-etch apparatus. Spacer films may be formed by anisotropically etching the conformal spacer layer in the chamber enclosure of the in-situ deposition-etch apparatus. The first material layer may be anisotropically etched using a combination of the patterned second material layer and the spacer films as an etch mask in the in-situ deposition-etch apparatus. A high fidelity pattern may be transferred into the first material layer with reduced line edge roughness, reduced line width roughness, and without enlargement of lateral dimensions of openings in the first material layer. 1. A method of patterning a structure , comprising:forming a first material layer, a second material layer, and a photoresist layer over a substrate;lithographically patterning the photoresist layer;forming a patterned second material layer by transferring a pattern in the photoresist layer through the second material layer using a first anisotropic etch process;depositing a conformal spacer layer over the patterned second material layer in a chamber enclosure of an in-situ deposition-etch apparatus;forming spacer films by anisotropically etching the conformal spacer layer in the chamber enclosure of the in-situ deposition-etch apparatus; andanisotropically etching portions of the first material layer located between the substrate and bottom surfaces of the spacer films using a combination of the patterned second material layer and the spacer films as an etch mask in the in-situ deposition-etch apparatus.2. The method of claim 1 , wherein a combination of the substrate claim 1 , the first material layer claim 1 , and the second material layer remain in the in-situ deposition ...

Подробнее
14-01-2021 дата публикации

SPUTTERING APPARATUS AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20210010127A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A sputtering apparatus including a chamber, a stage inside the chamber and configured to receive a substrate thereon, a first sputter gun configured to provide a sputtering source to an inside of the chamber, a first RF source configured to provide a first power having a first frequency to the first sputter gun, and a second RF source configured to provide a second power having a second frequency to the first sputter gun, the second frequency being lower than the first frequency may be provided. 1. A sputtering apparatus comprising:a chamber;a stage inside the chamber, the stage configured to receive a substrate thereon;a first sputter gun configured to provide a sputtering source to an inside of the chamber;a first RF source configured to provide a first power to the first sputter gun, the first power having a first frequency; anda second RF source configured to provide a second power to the first sputter gun, the second power having a second frequency lower than the first frequency.2. The sputtering apparatus of claim 1 , further comprising:a second sputter gun spaced apart from the first sputter gun, the second sputter gun configured to provide the sputtering source to the inside of the chamber;a third RF source configured to provide a third power to the second sputter gun, the third power having the first frequency; anda fourth RF source configured to provide a fourth power to the second sputter gun, the fourth power having the second frequency.3. The sputtering apparatus of claim 2 , whereinthe first sputter gun comprises a first target at a lower portion thereof,the second sputter gun comprises a second target at a lower portion thereof, andthe first target and the second target comprise a same material.4. The sputtering apparatus of claim 1 , further comprising:a second sputter gun adjacent to the first sputter gun, the second sputter gun configured to provide the sputtering source to the inside of the chamber,wherein the first RF source is further configured ...

Подробнее
27-01-2022 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHOD

Номер: US20220028664A1
Автор: Kim Daehyun
Принадлежит: SEMES CO., LTD.

A substrate treating apparatus is disclosed. The apparatus includes a process chamber having a treating space defined therein, a support unit for supporting a substrate in the treating space, a gas supply unit for supplying process gas into the treating space, and an RF power source for supplying an RF signal to excite the process gas to a plasma state, wherein the support unit includes an edge ring surrounding the substrate, a coupling ring disposed below the edge ring and including an electrode therein, and an edge impedance control circuit connected to the electrode wherein the edge impedance control circuit includes a harmonics control circuit unit for controlling harmonics caused by the RF power source, and an ion flux control circuit unit for controlling an ion flux in an edge region of the substrate. 1. A substrate treating apparatus comprising:a process chamber having a treating space defined therein;a support unit for supporting a substrate in the treating space;a gas supply unit for supplying process gas into the treating space; andan RF power source for supplying an RF signal to excite the process gas to a plasma state, an edge ring surrounding the substrate;', 'a coupling ring disposed below the edge ring and including an electrode therein; and', 'an edge impedance control circuit connected to the electrode,', a harmonics control circuit unit for controlling harmonics caused by the RF power source; and', 'an ion flux control circuit unit for controlling an ion flux in an edge region of the substrate., 'wherein the edge impedance control circuit includes], 'wherein the support unit includes2. The substrate treating apparatus of claim 1 , wherein the harmonics control circuit unit includes a first variable capacitor claim 1 ,wherein the ion flux control circuit unit includes a second variable capacitor.3. The substrate treating apparatus of claim 2 , wherein the ion flux control circuit unit further includes at least one band stop filter.4. The substrate ...

Подробнее
27-01-2022 дата публикации

PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220028666A1
Автор: Hirayama Masaki
Принадлежит:

A plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, a dielectric plate, an upper electrode, an introduction part, a driving shaft, and an actuator. The stage is provided in the processing container. The dielectric plate is provided above the stage via a space in the processing container. The upper electrode has flexibility, is provided above the dielectric plate, and provides a gap between the dielectric plate and the upper electrode. The introduction part is an introduction part of radio frequency waves that are VHF waves or UHF waves, is provided at a horizontal end portion of the space. The driving shaft is coupled to the upper electrode on a central axial line of the processing container. The actuator is configured to move the driving shaft in a vertical direction. 113-. (canceled)14. A plasma processing apparatus comprising:a processing container;a stage provided in the processing container;a dielectric plate provided above the stage via a space in the processing container;an upper electrode having flexibility and being provided above the dielectric plate, the upper electrode being configured to provide a gap between the dielectric plate and the upper electrode;an introduction part of radio frequency waves that are VHF waves or UHF waves, the introduction part being provided at a horizontal end portion of the space;a driving shaft that is a central axial line of the processing container extending in a vertical direction and is coupled to the upper electrode on the central axial line including a center of the stage; andan actuator configured to move the driving shaft in the vertical direction.15. The plasma processing apparatus of claim 14 , further comprising:an elastic member interposed between a peripheral edge portion of the dielectric plate and the processing container,wherein the peripheral edge portion of the dielectric plate is elastically supported between the processing container and the upper ...

Подробнее
09-01-2020 дата публикации

Toroidal Plasma Processing Apparatus with a Shaped Workpiece Holder

Номер: US20200010976A1
Принадлежит: PLASMABILITY LLC

A plasma processing apparatus includes a toroidal-shape plasma vessel comprising a process chamber. A magnetic core surrounds a portion of the toroidal-shape plasma vessel. An RF power supply having an output that is electrically connected to the magnetic core energizes the magnetic core, thereby forming a toroidal plasma loop discharge in the plasma chamber. A workpiece holder is positioned in the toroidal-shape plasma vessel and includes at least one face. A plasma guiding structure is shaped and dimensioned so as to constrain a section of plasma in the toroidal plasma loop to travel substantially perpendicular to a normal to the at least one face.

Подробнее
14-01-2016 дата публикации

Systems and methods for producing energetic neutrals

Номер: US20160013020A1
Принадлежит: Lam Research Corp

Systems and methods for producing energetic neutrals include a remote plasma generator configured to generate plasma in a plasma region. An ion extractor is configured to extract high energy ions from the plasma. A substrate support is arranged in a processing chamber and is configured to support a substrate. A neutral extractor and gas dispersion device is arranged between the plasma region and the substrate support. The neutral extractor and gas dispersion device is configured to extract energetic neutrals from the high energy ions, to supply the energetic neutrals to the substrate and to disperse precursor gas into the processing chamber.

Подробнее
14-01-2016 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20160013024A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
14-01-2016 дата публикации

Apparatus For Generating Plasma Using Dual Plasma Source And Apparatus For Treating Substrate Including The Same

Номер: US20160013029A1
Принадлежит:

The present invention relates to an apparatus for generating plasma using a dual plasma source and a substrate treatment apparatus including the same. A plasma generation apparatus according to an embodiment of the present invention includes: an RF power supply configured to supply an RF signal; a plasma chamber configured to provide a space in which plasma is generated; a first plasma source installed at one part of the plasma chamber to generate plasma; and a second plasma source installed at the other part of the plasma chamber to generate plasma, the second plasma source including: a plurality of insulating loops formed along a circumference of the plasma chamber, wherein a gas passage through which a process gas is injected and moved to the plasma chamber is provided in each insulating loop; and a plurality of electromagnetic field appliers coupled to the insulating loops and receiving the RF signal to excite the process gas moved through the gas passage to a plasma state. 1. A plasma generation apparatus comprising:an RF power supply configured to supply an RF signal;a plasma chamber configured to provide a space in which plasma is generated;a first plasma source installed at one part of the plasma chamber to generate plasma; anda second plasma source installed at the other part of the plasma chamber to generate plasma, the second plasma source comprising:a plurality of insulating loops formed along a circumference of the plasma chamber, wherein a gas passage through which a process gas is injected and moved to the plasma chamber is provided in each insulating loop; anda plurality of electromagnetic field appliers coupled to the insulating loops and receiving the RF signal to excite the process gas moving through the gas passage to a plasma state.2. The plasma generation apparatus of claim 1 , wherein the electromagnetic field applier comprises:a core formed of a magnetic material and surrounding the insulating loop; anda coil wound on the core.3. The plasma ...

Подробнее
14-01-2016 дата публикации

Substrate Processing Device and Method of Handling Particles Thereof

Номер: US20160013031A1
Принадлежит: PSK Inc

Provided are a substrate processing device and a method of handing particles thereof. The substrate processing device includes: a process chamber providing a space in which a substrate is processed; a substrate support unit arranged in the process chamber and supporting the substrate; a plasma chamber providing a space in which plasma is generated; a gas supply unit supplying a process gas to the plasma chamber; a plasma source installed in the plasma chamber, wherein the plasma source generates the plasma from the process gas; a radio frequency (RF) power supply providing the plasma source with an RF signal for generating the plasma; a baffle arranged on the substrate support unit, wherein the baffle evenly supplies the plasma to a processing space in the process chamber; a direct current (DC) power supply applying a DC voltage to the baffle; a discharge unit discharging a particle generated in the process chamber by substrate processing; and a control unit controlling the DC power supply and handing the particle to prevent the contamination of the substrate by the particle.

Подробнее
11-01-2018 дата публикации

COLLAR, CONICAL SHOWERHEADS AND/OR TOP PLATES FOR REDUCING RECIRCULATION IN A SUBSTRATE PROCESSING SYSTEM

Номер: US20180012733A1
Принадлежит:

A substrate processing system includes a processing chamber and a showerhead including a faceplate, a stem portion and a cylindrical base portion. A collar connects the showerhead to a top surface of the processing chamber. The collar defines a gas channel to receive secondary purge gas and a plurality of gas slits to direct the secondary purge gas from the gas channel in a radially outward and downward direction. A conical surface is arranged adjacent to the cylindrical base and around the stem portion of the showerhead. An inverted conical surface is arranged adjacent to a top surface and sidewalls of the processing chamber. The conical surface and the inverted conical surface define an angled gas channel from the plurality of gas slits to a gap defined between a radially outer portion of the cylindrical base portion and the sidewalls of the processing chamber. 1. A substrate processing system comprising:a processing chamber;a showerhead including a faceplate, a stem portion and a cylindrical base portion;a collar connecting the showerhead to a top surface of the processing chamber,wherein the collar defines a gas channel to receive secondary purge gas and a plurality of gas slits to direct the secondary purge gas from the gas channel in a radially outward and downward direction;a conical surface arranged adjacent to the cylindrical base and around the stem portion of the showerhead; andan inverted conical surface arranged adjacent to a top surface and sidewalls of the processing chamber,wherein the conical surface and the inverted conical surface define an angled gas channel from the plurality of gas slits to a gap defined between a radially outer portion of the cylindrical base portion and the sidewalls of the processing chamber.2. The substrate processing system of claim 1 , wherein the gas channel defines a flow path that has a constant width and that is parallel to a direction of the secondary purge gas flowing from the plurality of gas slits.3. The substrate ...

Подробнее
11-01-2018 дата публикации

Plasma processing-apparatus processing object support platform, plasma processing apparatus, and plasma processing method

Номер: US20180012784A1
Автор: Hideo Eto
Принадлежит: Toshiba Memory Corp

According to one embodiment, a plasma processing-apparatus processing object support platform includes a lower plate, an upper plate, and a variable condenser. The lower plate is electrically conductive. The upper plate is provided on the lower plate. A processing object is placed on an upper surface of the upper plate. The variable condenser is provided along a circumferential direction of the lower plate in a region at an upper outer circumferential vicinity of the lower plate. The region has an annular configuration. The variable condenser includes a first capacitance element and a second capacitance element disposed respectively on an inner circumferential side and an outer circumferential side in the region having the annular configuration. Mutually-different control voltages are suppliable to the first capacitance element and the second capacitance element.

Подробнее
10-01-2019 дата публикации

SUBSTRATE PROCESSING APPARATUS, STORAGE MEDIUM AND SUBSTRATE PROCESSING METHOD

Номер: US20190013181A1
Автор: Nishiwaki Kazuhiro
Принадлежит: ASM IP HOLDING B.V.

Examples of a substrate processing apparatus include a signal transmitter that outputs a command signal, and an RF generator that receives the command signal, starts to output traveling wave power simultaneously with a first transition of the command signal, measures a delay time, which is a time period after the first transition of the command signal until a predetermined power-applied state is achieved on a receiving side of the traveling wave power, and stops outputting the traveling wave power when the delay time elapses after a second transition of the command signal. 1. A substrate processing apparatus comprising:a signal transmitter that is configured to output a command signal; andan RF generator configured to receive the command signal, to start to output traveling wave power simultaneously with a first transition of the command signal, to measure a delay time, which is a time period after the first transition of the command signal until a predetermined power-applied state is achieved on a receiving side of the traveling wave power, and further configured to stop outputting the traveling wave power when the delay time elapses after a second transition of the command signal.2. The substrate processing apparatus according to claim 1 , wherein the delay time is a time period after the first transition of the command signal until the traveling wave power reaches a predetermined reference value.3. The substrate processing apparatus according to claim 2 , wherein when the traveling wave power exceeds the reference value for a predetermined period claim 2 , the RF generator is configured to designate a start time of the predetermined period as an end time of the delay time.4. The substrate processing apparatus according to claim 1 , wherein the delay time is a time period after the first transition of the command signal until reflected wave power to the RF generator falls below a predetermined reference value.5. The substrate processing apparatus according to ...

Подробнее
14-01-2021 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD FOR RELEASING SAMPLE

Номер: US20210013060A1
Принадлежит:

The invention provides a plasma processing apparatus which includes a processing chamber, a radio frequency power source to supply a radio frequency power for plasma generation, a sample stage equipped with an electrostatic chuck electrode of a sample, a DC power source to apply a DC voltage to the electrode, and a control unit to change the DC voltage from a predetermined value to almost 0 V when a predetermined time elapses since the supplying of the radio frequency power is stopped. The predetermined value is a predetermined value indicating that a potential of the sample when the DC voltage is almost 0 V becomes almost 0 V. The predetermined time is a time defined on the basis of a time when charged particles generated by the plasma processing disappear or a time when an afterglow discharge disappears. 1. A method for releasing a sample from a sample stage , in which the sample electrostatically adsorbed to the sample stage is subjected to a plasma processing and then released from the sample stage after the plasma processing , comprising:changing a DC voltage applied to an electrode for the electrostatic adsorption from a predetermined value to almost 0 V after a predetermined time elapses since supplying of a radio frequency power to generate plasma is stopped,wherein the predetermined time is a time defined on the basis of a time when charged particles generated by the plasma after the sample is subjected to the plasma processing disappear or a time when an afterglow discharge disappears, andwherein the predetermined value is a predetermined value indicating that a potential of the sample when the DC voltage is almost 0 V becomes almost 0 V.2. The method for releasing the sample according to claim 1 ,wherein, in a case where the number of electrodes is two, the DC voltage is applied to each of the electrodes such that an average value of a DC voltage applied to one electrode and a DC voltage applied to the other electrode becomes the predetermined value.3. ...

Подробнее
14-01-2021 дата публикации

ELECTROSTATIC CHUCK WITH SEAL SURFACE

Номер: US20210013080A1
Принадлежит:

Apparatuses and systems for pedestals are provided. An example pedestal may have a body with an upper annular seal surface that is planar, perpendicular to a vertical center axis of the body, and has a radial thickness, a lower recess surface offset from the upper annular seal surface, and a plurality of micro-contact areas (MCAs) protruding from the lower recess surface, each MCA having a top surface offset from the lower recess surface by a second distance less, and one or more electrodes within the body. The upper annular seal surface may be configured to support an outer edge of a semiconductor substrate when the semiconductor substrate is being supported by the pedestal, and the upper annular seal surface and the tops of the MCAs may be configured to support the semiconductor substrate when the semiconductor substrate is being supported by the pedestal. 1. A pedestal comprising: an upper annular seal surface that is planar, is perpendicular to a vertical center axis of the body, and has a radial thickness,', 'a lower recess surface that is offset from the upper annular seal surface by a first distance,', 'a plurality of micro-contact areas (MCAs) protruding from the lower recess surface, each MCA having a top surface that is offset from the lower recess surface by a second distance less than or equal to the first distance; and', the upper annular seal surface is configured to support an outer edge of a semiconductor substrate when the semiconductor substrate is being supported by the pedestal,', 'the upper annular seal surface and the top surfaces of the MCAs are configured to support the semiconductor substrate when the semiconductor substrate is being supported by the pedestal, and', 'the one or more electrodes are configured to electrically connect with one or more items selected from the group consisting of: a radio frequency (RF) power supply, an electrical ground, and a direct current (DC) power supply., 'one or more electrodes within the body, wherein], ...

Подробнее
09-01-2020 дата публикации

SURFACE MODIFIED DEPTH CONTROLLED DEPOSITION FOR PLASMA BASED DEPOSITION

Номер: US20200013616A1
Принадлежит:

A method for performing gap fill of a feature on a substrate includes the following operations: (a) moving the substrate into a process chamber; (b) performing a plurality of cycles of an ALD process; (c) purging process gases from the ALD process from the process chamber; (d) performing a plasma treatment on the substrate by introducing a fluorine-containing gas into the process chamber and applying RF power to the fluorine-containing gas to generate a fluorine plasma in the process chamber; (e) purging process gases from the plasma treatment from the process chamber; (f) repeating operations (b) through (e) until a predefined number of cycles has been performed. 1. A method for performing gap fill of a feature on a substrate , comprising:(a) moving the substrate into a process chamber;(b) performing a plurality of cycles of an atomic layer deposition process on the substrate in the process chamber;(c) purging process gases from the atomic layer deposition process from the process chamber;(d) performing a plasma treatment on the substrate by introducing a fluorine-containing gas into the process chamber and applying RF power to the fluorine-containing gas to generate a fluorine plasma in the process chamber;(e) purging process gases from the plasma treatment from the process chamber;(f) repeating operations (b) through (e) until a predefined plurality of cycles of operations (b) through (e) has been performed.2. The method of claim 1 , wherein the fluorine plasma passivates a portion of the feature on the substrate claim 1 , thereby inhibiting deposition by the atomic layer deposition process over the portion of the feature that is passivated.3. The method of claim 2 , wherein the portion of the feature that is passivated extends from a top of the feature down to a predefined target level in the feature.4. The method of claim 3 , wherein the predefined target level is controlled by one or more parameters of the plasma treatment.5. The method of claim 4 , wherein ...

Подробнее
03-02-2022 дата публикации

Gas supply unit and substrate processing apparatus including the gas supply unit

Номер: US20220033968A1
Принадлежит: ASM IP Holding BV

A substrate processing apparatus having an improved film processing uniformity is provided. The substrate processing apparatus includes a partition configured to provide a gas supply channel and a gas supply unit connected to the gas supply channel. A gas flow channel communicating with the gas supply channel is formed in the gas supply unit. A first through-hole is formed to penetrate through at least a part of the partition. A second through-hole is formed to penetrate through at least a part of the gas supply unit. The first through-hole communicates with the gas flow channel via the second through-hole. The second through-hole is arranged between a center and an edge of the gas flow channel, and is arranged spaced apart from the edge.

Подробнее
18-01-2018 дата публикации

AN IMPROVED SUBSTRATE SUPPORT

Номер: US20180016677A1
Принадлежит:

An apparatus for processing substrates is described. More particularly, embodiments of the present disclosure relate to an improved substrate support for heating and cooling substrates using turbulent flow during processing. By creating a turbulent flow within the channels, a greater amount of heat is transferred in a shorter period of time. The present design is cost effective and advantageously provides for a more uniform distribution of temperature transfer. In one embodiment, a substrate support assembly is disclosed. The substrate support assembly includes a electrostatic chuck with a surface that is in contact with a substrate and a support plate adjacent the electrostatic chuck. The support plate includes one or more channels, one or more end spaces, and one or more plugs. The substrate support assembly also includes a shaft coupled to the support plate. 1. A substrate support assembly comprising:an electrostatic chuck; one or more channels disposed within the support plate;', 'one or more end spaces disposed within the one or more channels; and', 'one or more plugs; and, 'a support plate coupled to the electrostatic chuck comprisinga shaft coupled to the support plate.2. The substrate support assembly of claim 1 , wherein the shaft comprises a plurality of connections disposed within the shaft.3. The substrate support assembly of claim 1 , further comprising one or more end plugs adjacent to the end spaces.4. The substrate support assembly of claim 1 , wherein the one or more plugs are disposed within the one or more channels.5. The substrate support assembly of claim 1 , wherein the one or more channels are disposed in a zig-zag pattern.6. The substrate support assembly of claim 1 , wherein the support plate further comprises one or more channel openings disposed near a center of the support plate.7. The substrate support assembly of claim 1 , further comprising a connecting plate disposed between the support plate and the shaft.8. A support plate adjacent ...

Подробнее
16-01-2020 дата публикации

PHOTORESIST REMOVAL METHOD USING RESIDUE GAS ANALYZER

Номер: US20200016635A1
Принадлежит:

A photoresist removal method is provided. The photoresist removal method includes analyzing the process status of each of a number of semiconductor substrate models undergoing a tested plasma ash process by a residue gas analyzer. The tested plasma ash processes for the semiconductor substrate models utilize a plurality of tested recipes. The photoresist removal method further includes selecting one of the tested recipes as a process recipe based on the analysis results from the residue gas analyzer and at least one expected performance criterion. In addition, the photoresist removal method includes performing a plasma ash process on a semiconductor substrate according to the process recipe to remove a photoresist layer from the semiconductor substrate. 1. A photoresist removal method , comprising:analyzing a process status of each of a plurality of semiconductor substrate models undergoing a tested plasma ash process by a residue gas analyzer, wherein the tested plasma ash processes for the semiconductor substrate models utilize a plurality of tested recipes;based on the analysis results from the residue gas analyzer and at least one expected performance criterion, selecting one of the tested recipes as a process recipe; andperforming a plasma ash process on a semiconductor substrate according to the process recipe to remove a photoresist layer from the semiconductor substrate.2. The photoresist removal method as claimed in claim 1 , wherein the analyzing comprises detecting an ion signal related to a selected type of gas molecule in a byproduct gas generated during the tested plasma ash process by the residue gas analyzer.3. The photoresist removal method as claimed in claim 1 , wherein the process status includes a time point at which a crust layer over the photoresist layer on the semiconductor substrate model is removed claim 1 , a time point at which removal of the photoresist layer ends claim 1 , and a period of over ash.4. The photoresist removal method as ...

Подробнее
21-01-2016 дата публикации

Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates

Номер: US20160020071A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure generally relate to methods for conditioning an interior wall surface of a remote plasma generator. In one embodiment, a method for processing a substrate is provided. The method includes exposing an interior wall surface of a remote plasma source to a conditioning gas that is in excited state to passivate the interior wall surface of the remote plasma source, wherein the remote plasma source is coupled through a conduit to a processing chamber in which a substrate is disposed, and the conditioning gas comprises an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof. The method has been observed to be able to improve dissociation/recombination rate and plasma coupling efficiency in the processing chamber, and therefore provides repeatable and stable plasma source performance from wafer to wafer.

Подробнее
21-01-2016 дата публикации

Method for etching high-k dielectric using pulsed bias power

Номер: US20160020108A1
Автор: Akiteru Ko, Alok Ranjan
Принадлежит: Tokyo Electron Ltd

A method of patterning a gate stack on a substrate is described. The method includes preparing a gate stack on a substrate, wherein the gate stack includes a high-k layer and a gate layer formed on the high-k layer. The method further includes transferring a pattern formed in the gate layer to the high-k layer using a pulsed bias plasma etching process, and selecting a process condition for the pulsed bias plasma etching process to achieve a silicon recess formed in the substrate having a depth less than 2 nanometer (nm).

Подробнее
21-01-2016 дата публикации

APPARATUS AND METHOD FOR REDUCING SUBSTRATE SLIDING IN PROCESS CHAMBERS

Номер: US20160020134A1
Принадлежит:

Methods and apparatus for processing a substrate are disclosed herein. In some embodiments, an apparatus for processing a substrate includes: a substrate support having a substrate supporting surface including an electrically insulating coating; a substrate lift mechanism including a plurality of lift pins configured to move between a first position disposed beneath the substrate supporting surface and a second position disposed above the substrate supporting surface; and a connector configured to selectively provide an electrical connection between the substrate support and the substrate lift mechanism before the plurality of lift pins reach a plane of the substrate supporting surface.

Подробнее
03-02-2022 дата публикации

ION IMPLANTATION SYSTEM AND LINEAR ACCELERATOR HAVING NOVEL ACCELERATOR STAGE CONFIGURATION

Номер: US20220037116A1
Автор: SINCLAIR Frank
Принадлежит: Applied Materials, Inc.

An ion implantation system, including an ion source and extraction system, arranged to generate an ion beam at a first energy, and a linear accelerator, disposed downstream of the ion source, the linear accelerator arranged to receive the ion beam as a bunched ion beam accelerate the ion beam to a second energy, greater than the first energy. The linear accelerator may include a plurality of acceleration stages, wherein a given acceleration stage of the plurality of acceleration stages comprises: a drift tube assembly, arranged to conduct the ion beam; a resonator, electrically coupled to the drift tube assembly; and an RF power assembly, coupled to the resonator, and arranged to output an RF signal to the resonator. As such, the given acceleration stage does not include a quadrupole element. 1. An ion implantation system , comprising:an ion source and extraction system, arranged to generate an ion beam at a first energy; and a drift tube assembly, arranged to conduct the ion beam;', 'a resonator, electrically coupled to the drift tube assembly; and', 'an RF power assembly, coupled to the resonator, and arranged to output an RF signal to the resonator, wherein the given acceleration stage does not include a quadrupole element., 'a linear accelerator, disposed downstream of the ion source, the linear accelerator arranged to receive the ion beam as a bunched ion beam accelerate the ion beam to a second energy, greater than the first energy, wherein the linear accelerator comprises a plurality of acceleration stages, wherein a given acceleration stage of the plurality of acceleration stages comprises2. The ion implantation system of claim 1 , the linear accelerator comprising at least three acceleration stages.3. The ion implantation system of claim 1 , wherein the plurality of acceleration stages do not include a quadrupole element.4. The ion implantation system of claim 1 , wherein the drift tube assembly comprises a first grounded drift tube claim 1 , an AC drift ...

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037118A1
Принадлежит:

The plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, an upper electrode, a dielectric plate, and a waveguide. The stage is provided in the processing container. The dielectric plate is provided above the stage with a space in the processing container interposed therebetween. The upper electrode is provided above the dielectric plate. The waveguide has an end and guides high frequency waves in a VHF band or a UHF band. The end is arranged to face the space to radiate high frequency waves to the space. The dielectric plate includes a conductive film. The conductive film is provided on an upper surface of the dielectric plate. The upper surface faces the upper electrode. The conductive film is electrically connected to the upper electrode. 119-. (canceled)20. A plasma processing apparatus , comprising:a processing container;a stage;an upper electrode;a dielectric plate; anda waveguide,wherein the stage is provided in the processing container,the dielectric plate is provided above the stage with a space in the processing container interposed therebetween,the upper electrode is provided above the dielectric plate,the waveguide has an end and guides high frequency waves in a VHF band or a UHF band,the end is arranged to face the space to radiate the high frequency waves to the space,the dielectric plate includes a conductive film,the conductive film is provided on an upper surface of the dielectric plate,the upper surface faces the upper electrode, andthe conductive film is electrically connected to the upper electrode.21. The apparatus of claim 20 , wherein the dielectric plate has a thickness distribution in a radial direction of the dielectric plate.22. The apparatus of claim 21 , wherein a thickness of the dielectric plate increases from a peripheral edge of the dielectric plate to a center of the dielectric plate.23. The apparatus of claim 20 , wherein a thickness of the dielectric plate increases from a ...

Подробнее
22-01-2015 дата публикации

PLASMA PROCESSING METHOD AND APPARATUS

Номер: US20150020970A1
Принадлежит:

Plasma processing of plural substrates is performed in a plasma processing apparatus, which is provided with a plasma processing chamber having an antenna electrode and a lower electrode for placing and retaining the plural substrates in turn within the plasma processing chamber, a gas feeder for feeding processing gas into the processing chamber, a vacuum pump for discharging gas from the processing chamber via a vacuum valve, and a solenoid coil for forming a magnetic field within the processing chamber. At least one of the plural substrates is placed on the lower electrode, and the processing gas is fed into the processing chamber. RF power is fed to the antenna electrode via a matching network to produce a plasma within the processing chamber in which a magnetic field has been formed by the solenoid coil. This placing of at least one substrate and this feeding of the processing gas are then repeated until the plasma processing of all of the plural substrates is completed. An end of seasoning is determined when a parameter including an internal pressure of the processing chamber has become stable to a steady value with plasma processing time. 1. A plasma processing apparatus comprising:a plasma processing chamber having an electrode for placing and retaining plural substrates in turn within said plasma processing chamber;a gas feeder for feeding processing gas into said processing chamber;a vacuum pump for evacuating gas from said processing chamber via a vacuum valve;an RF power supply for supplying RF power to said processing chamber via a matching network;a sensor for monitoring an internal pressure of said processing chamber;a database unit configured to store criterion values for determining an end of seasoning that is to be performed after wet cleaning;a computing unit configured to compare data collected by a collection unit with the criterion values stored in the database unit,wherein said computing unit is also configured to determine said end of ...

Подробнее
18-01-2018 дата публикации

METHOD FOR RF POWER DISTRIBUTION IN A MULTI-ZONE ELECTRODE ARRAY

Номер: US20180019102A1
Принадлежит:

Embodiments of systems and methods for RF power distribution in a multi-zone electrode array are described. A system may include a plasma source configured to generate a plasma field. Also, the system may include an RF power source coupled to the plasma source and configured to supply RF power to the plasma source. The system may also include a source controller coupled to the RF power source and configured to control modulation of the RF power supplied to the plasma source to enhance uniformity of a plasma field generated by the plasma source. 1. A system for plasma processing , comprising:a plasma source configured to generate a plasma field;a Radio Frequency (RF) power source coupled to the plasma source and configured to supply RF power to the plasma source; anda source controller coupled to the RF power source and configured to control modulation of the RF power supplied to the plasma source to enhance uniformity of a plasma field generated by the plasma source.2. The system of claim 1 , wherein the plasma source further comprises a first electrode assembly and a second electrode assembly.3. The system of claim 2 , wherein the first electrode assembly comprises a plurality of source electrodes.4. The system of claim 2 , wherein the number of source electrodes in the first electrode assembly is in the range of 1 to 100.5. The system of claim 3 , wherein the first electrode assembly is coupled to a first multiplexer.6. The system of claim 5 , wherein the first multiplexer comprise a switch for selectably applying RF power to the each of the plurality of source electrodes in the first electrode assembly independently.7. The system of claim 2 , wherein the second electrode assembly comprises a plurality of source electrodes.8. The system of claim 2 , wherein the number of source electrodes in the second electrode assembly is in the range of 1 to 100.9. The system of claim 7 , wherein the second electrode assembly is coupled to a second multiplexer.10. The system of ...

Подробнее
17-01-2019 дата публикации

CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING DISPLAY APPARATUS USING THE SAME

Номер: US20190019652A1
Принадлежит:

A chemical vapor deposition apparatus includes a chamber, a susceptor supporting a substrate, a backing plate to which power is applied, a diffuser providing a deposition gas, and a first insulator. The first insulator may include a first portion covering a top surface of the backing plate, and a second portion assembled with the first portion and covering a sidewall of the backing plate. 1. A chemical vapor deposition apparatus comprising:a chamber;a susceptor disposed in the chamber and supporting a substrate having an organic light-emitting diode;a backing plate disposed over the susceptor and spaced apart from the susceptor by a predetermined distance;a diffuser disposed between the backing plate and the susceptor and providing a deposition gas to the substrate; anda first insulator comprising: a first portion covering a top surface of the backing plate; and a second portion assembled with the first portion and covering a sidewall of the backing plate.2. The chemical vapor deposition apparatus of claim 1 , wherein each of the first portion and the second portion includes a plurality of assembled blocks.3. The chemical vapor deposition apparatus of claim 2 , wherein the first portion entirely covers the top surface of the backing plate.4. The chemical vapor deposition apparatus of claim 1 , wherein the first insulator includes at least one of polytetrafluoroethylene (PTFE) claim 1 , polychlorotrifluoroethylene (PCTFE) claim 1 , polyvinylidene fluoride (PVDF) claim 1 , or polyvinyl fluoride (PVF).5. The chemical vapor deposition apparatus of claim 1 , further comprising:a second insulator assembled with the first insulator and connected to the chamber and the diffuser.6. The chemical vapor deposition apparatus of claim 5 , wherein the second insulator has a frame shape exposing the diffuser and includes a plurality of assembled blocks.7. The chemical vapor deposition apparatus of claim 5 , wherein the second insulator includes ceramic and insulates the diffuser ...

Подробнее
21-01-2021 дата публикации

PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20210020407A1
Автор: KOSHIMIZU Chishio
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing method according to an exemplary embodiment includes preparing a substrate in a chamber of a plasma processing apparatus. The substrate is disposed on a substrate support in the chamber. The substrate support includes a lower electrode and an electrostatic chuck. The electrostatic chuck is provided on the lower electrode. The plasma processing method further includes applying a positive voltage to a conductive member when plasma is being generated in the chamber for plasma processing on the substrate. The conductive member extends closer to a grounded side wall of the chamber than the substrate. 1. A method of plasma processing comprising:preparing a substrate in a chamber of a plasma processing apparatus, wherein the substrate is disposed on a support in the chamber and the support includes a lower electrode and an electrostatic chuck provided on the lower electrode; andapplying a positive voltage to a conductive member extending closer to a grounded side wall of the chamber than the substrate, when plasma is being generated in the chamber for plasma processing on the substrate.2. The method according to claim 1 , wherein the substrate is disposed on the support and in an area surrounded by an edge ring claim 1 ,the method further comprises supplying a bias to the lower electrode when the plasma is being generated in the chamber for the plasma processing on the substrate,the edge ring is the conductive member,the bias is radio frequency bias power or a pulsed negative voltage which is periodically applied to the lower electrode, andthe positive voltage is applied to the edge ring in a period in which a potential of the substrate is equal to or greater than 0, and sets a potential of the edge ring to a potential higher than the potential of the substrate in the period.3. The method according to claim 1 , wherein the substrate is disposed on the support and in an area surrounded by an edge ring claim 1 ,the conductive member is electrically ...

Подробнее
21-01-2021 дата публикации

SUBSTRATE SUPPORT ASSEMBLY, SUBSTRATE PROCESSING APPARATUS, AND EDGE RING

Номер: US20210020408A1
Принадлежит:

There is provision of a substrate support assembly including an edge ring, a substrate support, and a thermal conductivity adjuster. The substrate support has a central portion that supports a substrate, and an outer peripheral portion that supports the edge ring arranged around the substrate. The thermal conductivity adjuster is in contact with a part of the edge ring in a circumferential direction, and a thermal conductivity of the thermal conductivity adjuster is different from a thermal conductivity of the edge ring. 1. A substrate support assembly comprising:an edge ring,a substrate support having a central portion that supports a substrate, and an outer peripheral portion that supports the edge ring arranged around the substrate; anda thermal conductivity adjuster that is in contact with a part of the edge ring in a circumferential direction, the thermal conductivity adjuster having a thermal conductivity different from a thermal conductivity of the edge ring.2. The substrate support assembly according to claim 1 , wherein in the substrate support assembly claim 1 , a size of an area having the thermal conductivity adjuster is different from a size of an area not having the thermal conductivity adjuster.3. The substrate support assembly according to claim 1 , whereinat least one of a back surface of the edge ring and an upper surface of the outer peripheral portion includes a recess; andthe thermal conductivity adjuster is configured by a space defined by the recess.4. The substrate support assembly according to claim 3 , wherein the recess is a groove or a step provided on the back surface of the edge ring or on the upper surface of the outer peripheral portion.5. The substrate support assembly according to claim 1 , whereinat least one of a back surface of the edge ring and an upper surface of the outer peripheral portion includes a recess; andthe thermal conductivity adjuster is configured by a material that is filled in the recess, the material having a ...

Подробнее
21-01-2021 дата публикации

Method for Reducing Residual Micro-Particles on Wafer Surfaces

Номер: US20210020466A1

A method for reducing residual micro-particles on wafer surfaces includes: providing a plasma reaction etching chamber and wafer in it, a semiconductor structure is arranged on the wafer, and the first metal layer is etched; then, forming a polymer shield layer on the surface of the wafer; afterwards, implanting a plasma source into the plasma reaction etching chamber to remove charges on the surface of the wafer; finally, stopping the implantation of the plasma source, and keeping the wafer standing. According to the method, a polymer gas source is deposited to form a shield layer on the surface of the wafer in the subsequent process, a macromolecular gas source is used in the subsequent electrostatic eliminating process to eliminate static electricity, so that particles are adsorbed and carried out of the etching chamber, and particle adhesion to the wafer is avoided in the charge removal process. 1. A method for reducing residual micro-particles on wafer surfaces , at least including the following steps:{'b': '1', 'Step : providing a plasma reaction etching chamber and a wafer located in the plasma reaction etching chamber, wherein the wafer is provided with a semiconductor structure which is in a process condition where a trench of a first metal layer is etched;'}{'b': '2', 'Step : forming a polymer shield layer on a surface of the wafer;'}{'b': '3', 'Step : implanting a plasma source into the plasma reaction etching chamber to remove charges on the surface of the wafer; and'}{'b': '4', 'Step : stopping the implantation of the plasma source, and keeping the wafer standing.'}21. The method for reducing residual micro-particles on wafer surfaces according to claim 1 , wherein the first metal layer of the semiconductor structure is etched in Step with a barrier layer containing TiN.3. The method for reducing residual micro-particles on wafer surfaces according to claim 2 , wherein the first metal layer of the semiconductor structure is etched as follows: 1 claim 2 ...

Подробнее
22-01-2015 дата публикации

SYSTEMS, METHODS, AND APPARATUS FOR MINIMIZING CROSS COUPLED WAFER SURFACE POTENTIALS

Номер: US20150024515A1
Принадлежит:

This disclosure describes systems, methods, and apparatus for reducing a DC bias on a substrate surface in a plasma processing chamber due to cross coupling of RF power to an electrode coupled to the substrate. This is brought about via tuning of a resonant circuit coupled between the substrate and ground based on indirect measurements of harmonics of the RF field level at a surface of the substrate. The resulting reduction in DC bias allows a lower ion energy than possible without this resonant circuit and tuning thereof. 1. A system for reducing a DC voltage on a substrate of a plasma processing chamber below a minimum otherwise established by cross-coupling from an RF power source , the system comprising:an RF field level sensor arranged adjacent to, but not touching, a substrate being processed in the plasma processing chamber; couple to one or more RF power sources for a plasma processing chamber;', 'receive a primary RF frequency from the one or more RF power sources;', 'receive RF field level measurements from the RF field level sensor; and', 'preclude the passage of measurements of at least the primary RF frequency;, 'a harmonics filter configured toa first tunable resonant circuit configured to couple between the substrate and ground; receive measurements from the harmonics filter other than those of at least the primary RF frequency; and', 'determine whether the first resonant circuit is to be tuned to a higher or lower resonant frequency in order to minimize the measurements from the harmonics filter; and, 'a surface voltage minimum tracker coupled to the harmonics filter and configured toa controller circuit coupled between the surface voltage minimum tracker and the first resonant circuit and configured to generate one or more control signals to increase or decrease a first resonant frequency of the first resonant circuit based on instructions from the surface voltage minimum tracker.2. The system of claim 1 , wherein the first resonant circuit is an LC ...

Подробнее
28-01-2016 дата публикации

PLASMA CVD DEVICE AND PLASMA CVD METHOD

Номер: US20160024657A1
Принадлежит: Toray Industries, Inc.

The present invention relates to a plasma CVD device provided with a vacuum chamber, and a plasma CVD electrode unit and a substrate-holding mechanism inside the vacuum chamber. The plasma CVD electrode unit is provided with an anode, a cathode that faces the anode at a distance, and a first gas supply nozzle for supplying gas so as to pass through the plasma-generation space between the anode and cathode. The substrate-holding mechanism is disposed at a position where the gas passing through the plasma-generation space impinges. The length of the anode in the gas-supply direction and the length of the cathode in the gas-supply direction are both longer than the distance between the anode and the cathode. Thus, a plasma CVD device that makes it possible to increase gas decomposition efficiency and achieve high film deposition rate is provided. 1. A plasma CVD device comprising a plasma CVD electrode unit and a substrate-holding mechanism in a vacuum chamber , wherein the plasma CVD electrode unit comprises:an anode;a cathode facing the anode at a distance; anda first gas supply nozzle supplying a gas through a plasma-generation space between the anode and the cathode,the substrate-holding mechanism being provided at a position to contact the gas passing through the plasma-generation space, wherein a gas-supply directional length of the anode and a gas-supply directional length of the cathode are longer than a distance between the anode and cathode.2. The plasma CVD device according to claim 1 , wherein the cathode has a plasma-generation surface on a side facing to the anode and a magnet inside which forms a magnetron magnetic field on the plasma-generation surface.3. The plasma CVD device according to claim 1 , wherein the cathode is constituted by two or more arrayed metal cylindrical electrodes in the gas-supply direction and a plurality of magnets are inserted inside the metal cylindrical electrode.4. The plasma CVD device according to claim 1 , wherein the ...

Подробнее
22-01-2015 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20150024609A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
26-01-2017 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20170025289A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

The present invention provides a plasma processing apparatus having a radio frequency power supply supplying time-modulated radio frequency power which is controllable widely with high precision, and a plasma processing method using the plasma processing apparatus. The plasma processing apparatus includes: a vacuum chamber; a first radio frequency power supply for generating plasma in the vacuum chamber; a sample holder disposed in the vacuum chamber, on which a sample is placed; and a second radio frequency power supply supplying radio frequency power to the sample holder, wherein at least one of the first radio frequency power supply and the second radio frequency power supply supplies time-modulated radio frequency power, one of parameters of controlling the time-modulation has two or more different control ranges, and one of the control ranges is a control range for a high-precision control. 1. A plasma processing apparatus , comprising:a vacuum chamber in which a sample is plasma treated;a first radio frequency power supply supplying a first radio frequency power to generate plasma in the vacuum chamber;a sample holder on which the sample is placed;a second radio frequency power supply supplying a second radio frequency power to the sample holder,a D/A converter converting a digital signal into an analog signal; andan A/D converter converting an analog signal into a digital signal;wherein the D/A converter converts a set parameter for time modulation of the first radio frequency power into a first analog value corresponding to the set parameter and being a value of a first control range and a second analog value corresponding to the set parameter and being a value of a second control range wider than the first control range;a signal processing unit configured to select a first digital value that the first analog value is converted by the A/D converter or a second digital value that the second analog value is converted by the A/D converter based on a signal to ...

Подробнее
28-01-2016 дата публикации

Method and apparatus for esc charge control for wafer clamping

Номер: US20160027620A1
Принадлежит: Tokyo Electron Ltd

A plasma processing method and apparatus are provided in which current spikes associated with application of a voltage to an electrostatic chuck (ESC) are minimized or reduced when the processing plasma is present. According to an example, the voltage is applied to the ESC after the processing plasma is struck, however the voltage is ramped or increased in a step-wise manner to achieve the desired final ESC voltage. In an alternate embodiment, the ESC voltage is at least partially applied before striking of the plasma for processing the wafer. By reducing current spikes associated with application of the voltage to the ESC during the presence of the processing plasma, transfer or deposition of particles on the wafer can be reduced.

Подробнее
28-01-2016 дата публикации

SYSTEMS AND METHODS FOR ELECTRICAL AND MAGNETIC UNIFORMITY AND SKEW TUNING IN PLASMA PROCESSING REACTORS

Номер: US20160027667A1
Принадлежит:

In some embodiments, a plasma processing apparatus includes a processing chamber to process a substrate; a mounting surface defined within the processing chamber to support a substrate disposed within the processing chamber; a showerhead disposed within the processing chamber and aligned so as to face the mounting surface, the showerhead defining a plurality of orifices to introduce a process gas into the processing chamber toward a substrate disposed within the processing chamber; and one or more magnets supported by the showerhead and arranged so that a radial component of a magnetic field applied by each of the one or more magnets has a higher flux density proximate a first region corresponding to an edge surface region of a substrate when disposed within the processing chamber than at a second region corresponding to an interior surface region of a substrate when disposed within the processing chamber. 1. A method for at least one of adjusting or controlling process rate uniformity across a substrate within a plasma chamber , comprising:orienting poles or polar equivalents of one or more magnets of a plasma chamber along a plane disposed above and non-orthogonal to a surface of the substrate to reduce one or more processing rate non-uniformities identified during an evaluation phase; andsubsequently operating the plasma chamber to process the substrate.2. The method according to claim 1 , wherein the orienting comprises radially arranging the one or more magnets on a surface of a showerhead disposed opposite the substrate.3. The method according to claim 2 , wherein the one or more magnets are electromagnets claim 2 , and wherein the orienting further comprises energizing less than all of the electromagnets while operating the plasma chamber.4. The method according to claim 3 , wherein the plasma chamber is a twin plasma chamber claim 3 , the method further including:operating a shared vacuum pump coupled to adjacent plasma chambers to reduce a pressure in each ...

Подробнее
23-01-2020 дата публикации

PRE-CONDITIONED CHAMBER COMPONENTS

Номер: US20200024725A1
Принадлежит:

Embodiments of the disclosure generally relate to a process kit including a shield serving as an anode in a physical deposition chamber. The shield has a cylindrical band, the cylindrical band having a top and a bottom, the cylindrical band sized to encircle a sputtering surface of a sputtering target disposed adjacent the top and a substrate support disposed at the bottom, the cylindrical band having an interior surface. A texture is disposed on the interior surface. The texture has a plurality of features. A film is provided on a portion of the features. The film includes a porosity of about 2% to about 3.5%. 1. A process kit for a plasma processing chamber , comprising: an array of features formed in a surface of the body that is exposed to a plasma when in use in the processing chamber, the features having an opening in the surface;', 'the features having a profile, the profile having a geometric centerline extending away from the top of the body through the opening, the geometric centerline forming an obtuse angle with the vertical centerline of the body; and', 'a film formed on portions of the features, wherein the film includes a porosity of about 2% to about 3.5%., 'a conductive body having an orientation when the body is in use in the processing chamber that defines a top of the body and a vertical centerline, the body having2. The process kit of claim 1 , wherein the features further comprise:an overhanging portion defined on a side of the opening closest the top of the body, wherein a greater portion of the profile resides above an imaginary line extending perpendicularly through the vertical centerline and intersecting a tip of the overhanging portion.3. The process kit of claim 1 , wherein the conductive body is one of a deposition ring claim 1 , a cover ring claim 1 , or a cylindrical shield.4. The process kit of claim 1 , wherein the film is comprised of a dielectric material.5. The process kit of claim 1 , wherein the conductive body is configured as ...

Подробнее
25-01-2018 дата публикации

PLASMA UNIFORMITY CONTROL BY GAS DIFFUSER HOLE DESIGN

Номер: US20180025890A1
Принадлежит:

Embodiments of a method of depositing a thin film on a substrate is provided that includes placing a substrate on a substrate support that is mounted in a processing region of a processing chamber, flowing a process fluid through a plurality of gas passages in a diffuser plate toward the substrate supported on the substrate support, wherein the diffuser plate has an upstream side and a downstream side and the downstream side has a substantially concave curvature, and each of the gas passages are formed between the upstream side and the downstream side, and creating a plasma between the downstream side of the diffuser plate and the substrate support. 1. A method of depositing a thin film on a substrate , comprising:placing a substrate on a substrate support that is mounted in a processing region of a processing chamber;flowing a process fluid through a plurality of gas passages in a diffuser plate toward the substrate supported on the substrate support, wherein the diffuser plate has an upstream side and a downstream side and the downstream side has a substantially concave curvature, and each of the gas passages are formed between the upstream side and the downstream side; andcreating a plasma between the downstream side of the diffuser plate and the substrate support.2. The method of claim 1 , wherein each of the gas passages comprise a hollow cathode cavity in fluid communication with the downstream side.3. The method of claim 2 , wherein a volume claim 2 , a surface area claim 2 , or a density of each of the gas passages varies across the diffuser plate to obtain a desired thin film thickness and property uniformity.4. The method of claim 1 , wherein the diffuser plate is rectangular.5. The method of claim 1 , wherein the diffuser plate size is at least 1 claim 1 ,200 claim 1 ,000 mm.6. The method of claim 1 , wherein each of the gas passages comprise:an orifice hole having a first diameter; anda hollow cathode cavity that is downstream of and in fluid ...

Подробнее
28-01-2021 дата публикации

APPARATUS FOR PROCESSING SUBSTRATE

Номер: US20210025060A1
Автор: TABATA Masahiro
Принадлежит: TOKYO ELECTRON LIMITED

An apparatus for processing a substrate is provided. The apparatus includes a processing apparatus and a controller. The processing apparatus includes a chamber. The controller includes a memory and a processor coupled to the memory. The memory stores computer-executable instructions for controlling the processor to control a process of the processing apparatus. The process includes first forming a first film in a first region of the substrate in the chamber by chemical vapor deposition. The process further includes second forming a second film in a second region of the substrate in the chamber by atomic layer deposition. The first forming and the second forming are performed without moving the substrate out of the chamber. 1. An apparatus for processing a substrate , the apparatus comprising:a chamber; anda controller comprising a memory and a processor coupled to the memory, wherein first forming, by chemical vapor deposition and by using a fluorocarbon plasma generated from a gas containing carbon, a first film in a first region of the substrate in a chamber of a processing apparatus, and', 'second forming, by introducing a precursor of material adsorbed with the substrate into the chamber, introducing modifying gas into the chamber, and generating plasma from the modifying gas, a second film in a second region of the substrate in the chamber, wherein, 'the memory stores computer-executable instructions for controlling the processor to perform a process comprisingthe first forming and the second forming are performed without moving the substrate out of the chamber.2. The apparatus according to claim 1 , further comprising:an antenna controlled by the controller, anda lower electrode arranged opposite to the antenna and configured to hold the substrate thereon, the lower electrode being controlled by the controller, wherein applying a voltage to the lower electrode during the chemical vapor deposition, and', 'applying a voltage to the antenna in a modification ...

Подробнее
10-02-2022 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE SUPPORT UNIT

Номер: US20220044917A1
Принадлежит:

The inventive concept relates to a substrate support unit provided in an apparatus for treating a substrate using plasma. In an embodiment, the substrate support unit includes a dielectric plate on which the substrate is placed, a lower electrode that is disposed under the dielectric plate and that has a first diameter, a power supply rod that applies RF power to the lower electrode and has a second diameter, and a ground member disposed under the lower electrode and spaced apart from the lower electrode by a first gap by an insulating member, the ground member including a plate portion having a through-hole formed therein through which the power supply rod passes, in which the through-hole has a third diameter. 1. A substrate support unit provided in an apparatus for treating a substrate using plasma , the substrate support unit comprising:a dielectric plate on which the substrate is placed;a lower electrode disposed under the dielectric plate, the lower electrode having a first diameter;a power supply rod configured to apply RF power to the lower electrode, the power supply rod having a second diameter; anda ground member disposed under the lower electrode and spaced apart from the lower electrode by a first gap by an insulating member, the ground member including a plate portion having a through-hole formed therein through which the power supply rod passes, wherein the through-hole has a third diameter,wherein the lower electrode includes a deformation portion extending downward from a center of a lower surface of the lower electrode and having a decreasing diameter toward the bottom, and the power supply rod is coupled to an end portion of the deformation portion.2. The substrate support unit of claim 1 , wherein the ground member further includes a guide portion extending upward from an inner diameter of the through-hole by a predetermined length and spaced apart from the power supply rod by a second gap.3. The substrate support unit of claim 2 , wherein the ...

Подробнее
23-01-2020 дата публикации

Apparatuses and methods for avoiding electrical breakdown from rf terminal to adjacent non-rf terminal

Номер: US20200027700A1
Принадлежит: Lam Research Corp

An isolation system includes an input junction coupled to one or more RF power supplies via a match network for receiving radio frequency (RF) power. The isolation system further includes a plurality of channel paths connected to the input junction for distributing the RF power among the channel paths. The isolation system includes an output junction connected between each of the channel paths and to an electrode of a plasma chamber for receiving portions of the distributed RF power to output combined power and providing the combined RF power to the electrode. Each of the channel paths includes bottom and top capacitors for blocking a signal of the different type than that of the RF power. The isolation system avoids a risk of electrical arcing created by a voltage difference between an RF terminal and a non-RF terminal when the terminals are placed proximate to each other.

Подробнее
23-01-2020 дата публикации

Low Temperature High-Quality Dielectric Films

Номер: US20200027726A1
Принадлежит:

Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3. 1. A method of processing a substrate , the method comprising:flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck,maintaining the substrate at a pressure in a range of about 0.1 mTorr and about 10 Torr and at a temperature in a range of about −50° C. to about 150° C.; andgenerating a plasma at a substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate, the dielectric film having a refractive index in a range of about 1.5 to about 3.2. The method of claim 1 , further comprising applying a second RF bias to the electrostatic chuck to generate the plasma at the substrate level.3. The method of claim 2 , wherein the second RF bias is provided at a power in a range of about 10 Watts to about 3000 Watts and at a frequency in a range of about 350 KHz to about 100 MHz.4. The method of claim 3 , wherein the second RF bias is provided at a power in a range of about 800 Watts to about 1200 Watts at a frequency of about 2 MHz.5. The method of claim 1 , wherein the first RF bias is provided at a power in a range of about 10 Watts to about 3000 Watts and at a frequency in a range of about 350 KHz to about 100 MHz.6. The method of claim 5 , wherein the first RF bias is provided at a power in ...

Подробнее
28-01-2021 дата публикации

SHOWERHEAD

Номер: US20210027988A1
Принадлежит: Sumitomo Electric Industries, Ltd.

A showerhead provided in a chamber of a semiconductor manufacturing apparatus and facing a wafer holder includes: a plate-shaped ceramic substrate; a plurality of through holes penetrating the ceramic substrate in the direction of the thickness of the ceramic substrate; and a plurality of radio frequency conductors embedded in a plurality of zones, respectively, of the ceramic substrate, as seen on the side of a surface of the ceramic substrate facing the wafer holder. 1. A showerhead provided in a chamber of a semiconductor manufacturing apparatus and facing a wafer holder , comprising:a plate-shaped ceramic substrate;a plurality of through holes penetrating the ceramic substrate in a direction of a thickness of the ceramic substrate; anda plurality of radio frequency conductors embedded in a plurality of zones, respectively, of the ceramic substrate, as seen on a side of a surface of the ceramic substrate facing the wafer holder.2. The showerhead according to claim 1 , wherein the plurality of conductors are embedded in the ceramic substrate at different positions claim 1 , respectively claim 1 , as seen in the direction of the thickness of the ceramic substrate.3. The showerhead according to claim 1 , further comprising: a lead-out circuit embedded in the ceramic substrate at a position different from that of at least one of the plurality of conductors as seen in the direction of the thickness of the ceramic substrate claim 1 , and electrically connected to the at least one conductor; and a terminal portion disposed at a circumferential portion of the ceramic substrate and electrically connected to the at least one conductor via the lead-out circuit.4. The showerhead according to claim 1 , further comprising a resistive claim 1 , heat generating element embedded in the ceramic substrate.5. The showerhead according to claim 4 , wherein the resistive claim 4 , heat generating element is embedded in the ceramic substrate at a position different from that of at least ...

Подробнее
28-01-2021 дата публикации

FOCUS RING AND SUBSTRATE TREATING APPARATUS COMPRISING THE SAME

Номер: US20210027995A1
Автор: Lee Dongmok, Lee Sang-Kee
Принадлежит:

Provided is a focus ring and a substrate treating apparatus having the focus ring. The substrate treating apparatus includes a process chamber for providing a process treating space for a substrate, a chuck for supporting the substrate and a focus ring arranged to surround an edge of the chuck, wherein the focus ring includes a plurality of layers having different properties, wherein a bonding surface between the plurality of layers is formed in a predetermined pattern. 1. A substrate treating apparatus comprising:a process chamber for providing a process treating space for a substrate;a chuck for supporting the substrate; anda focus ring arranged to surround an edge of the chuck,wherein the focus ring includes a plurality of layers having different properties,wherein a bonding surface between the plurality of layers is formed in a predetermined pattern.2. The substrate treating apparatus of claim 1 ,wherein the plurality of layers comprises,a protective layer that is a top layer among the plurality of layers and made of a material having an etching resisting property, andan electrostatic power generating layer that is arranged under the protective layer and made of material generating an electrostatic power.3. The substrate treating apparatus of claim 2 ,{'sub': 2', '3', '2', '3, 'wherein the protective layer is made of a material of silicon carbide (SiC), alumina (AlO), yttria (YO) or aluminum nitride (AlN).'}4. The substrate treating apparatus of claim 2 ,wherein the electrostatic power generating layer is made of a material of silicon (Si).5. The substrate treating apparatus of claim 2 ,wherein the electrostatic power generating layer is made of a material having a higher dielectric constant than the protective layer.6. The substrate treating apparatus of claim 2 ,wherein the electrostatic power generating layer is one layer or includes a plurality of layers having different dielectric constants.7. The substrate treating apparatus of claim 1 ,wherein the bonding ...

Подробнее
23-01-2020 дата публикации

HIGH POWER ION BEAM GENERATOR SYSTEMS AND METHODS

Номер: US20200029418A1
Принадлежит:

Provided herein are high energy ion beam generator systems and methods that provide low cost, high performance, robust, consistent, uniform, low gas consumption and high current/high-moderate voltage generation of neutrons and protons. Such systems and methods find use for the commercial-scale generation of neutrons and protons for a wide variety of research, medical, security, and industrial processes. 1. A neutron generator system comprising: a) an accelerator that produces an ion beam; b) a gas target positioned to be contacted by the ion beam; c) a target aperture separating said accelerator and said gas target; and d) a reverse gas jet , at said target aperture , that increases pressure differential across said aperture.2. The system of claim 1 , wherein said reverse gas jet comprises a nozzle that diverges after it converges.3. The system of claim 1 , wherein said reverse gas jet comprises a nozzle aperture of approximately ⅜ inch.4. The system of claim 3 , wherein said reverse gas jet comprises a throat gap of less than 0.01 inch.5. The system of claim 4 , wherein said reverse gas jet comprises a nozzle angle of 12.5 degrees.6. A method of increasing a pressure differential across a target aperture of a neutron generator comprising employing a reverse gas jet at said target aperture claim 4 , wherein said neutron generator comprises: i) an accelerator that produces an ion beam claim 4 , ii) a gas target to be contacted by the ion beam claim 4 , and said target aperture claim 4 , and wherein said target aperture separates said accelerator and said gas target.7. The method of claim 6 , wherein said reverse gas jet comprises a nozzle that diverges after it converges.8. The method of claim 6 , wherein said reverse gas jet comprises a nozzle aperture of approximately ⅜ inch.9. The method of claim 8 , wherein said reverse gas jet comprises a throat gap of less than 0.01 inch.10. The method of claim 9 , wherein said reverse gas jet comprises a nozzle angle of 12.5 ...

Подробнее
23-01-2020 дата публикации

HIGH POWER ION BEAM GENERATOR SYSTEMS AND METHODS

Номер: US20200029419A1
Принадлежит:

Provided herein are high energy ion beam generator systems and methods that provide low cost, high performance, robust, consistent, uniform, low gas consumption and high current/high-moderate voltage generation of neutrons and protons. Such systems and methods find use for the commercial-scale generation of neutrons and protons for a wide variety of research, medical, security, and industrial processes. 1. A system comprising: a) a high energy ion beam generator device that produces a beam , and b) a damage mitigation component , the damage mitigation component comprising: i) a plurality of sensors positioned on said device and configured to monitor a plurality of regions of said device that may interact with said beam; and ii) control software in communication with said plurality of sensors and configured to generate an alert or alarm and adjust said device in response to said alert or alarm.2. The system of claim 1 , wherein one or more of said plurality of sensors measure temperature of a region of said device.3. The system of claim 1 , wherein one or more of said plurality of sensors measure coolant flow rate.4. The system of claim 1 , wherein said sensors are in continuous sensing mode.5. The system of claim 1 , wherein each sensor has associated therewith a threshold value that if exceeded generates said alert or alarm.6. The system of claim 1 , wherein said alert comprises a user warning.7. The system of claim 1 , wherein said alarm triggers a device shut down.8. The system of claim 1 , wherein said alarm is a latching alarm that requires a user to reset the device prior to further operation.9. The system of claim 1 , wherein said control software filters out EMI.10. The system of claim 9 , wherein said filtered EMI is under a predefined threshold duration or frequency.11. A method comprising detecting potential damage events to a high energy ion beam generator device using the system of .12. A system comprising: a) a high energy ion beam generator device ...

Подробнее
05-02-2015 дата публикации

Deposition of thick magnetizable films for magnetic devices

Номер: US20150034476A1
Принадлежит: Veeco Instruments Inc

A PVD chamber for growing a magnetic film of NiFe alloy at a growth rate of greater than 200 nm/minute produces a film exhibiting magnetic skew of less than plus or minus 2 degrees, magnetic dispersion of less than plus or minus 2 degrees, DR/R of greater than 2 percent and film stress of less than 50 MPa. NiFe alloy is sputtered at a distance of 2 to 4 inches, DC power of 50 Watts to 9 kiloWats and pressure of 3 to 8 milliTorr. The chamber uses a unique field shaping magnetron having magnets arranged in outer and inner rings extending about a periphery of the magnetron except in two radially opposed regions in which the inner and outer rings diverge substantially toward a central axis of the magnetron.

Подробнее
02-02-2017 дата публикации

Electrostatic Chuck Including Embedded Faraday Cage for RF Delivery and Associated Methods for Operation, Monitoring, and Control

Номер: US20170032935A1
Принадлежит:

A ceramic layer is attached to a top surface of a base plate using a bond layer. The ceramic layer has a top surface configured to support a substrate. At least one clamp electrode is positioned within an upper region of the ceramic layer. A primary radiofrequency (RF) power delivery electrode is positioned within the ceramic layer at a location vertically below the at least one clamp electrode such that a region of the ceramic layer between the primary RF power delivery electrode and the at least one clamp electrode is substantially free of other electrically conductive material. A plurality of RF power delivery connection modules is distributed in a substantially uniform manner about a perimeter of the ceramic layer. Each of the RF power delivery connection modules is configured to form an electrical connection from the base plate to the primary RF power delivery electrode at its respective location. 1. An electrostatic chuck , comprising:a base plate formed of an electrically conductive material;a ceramic layer attached to a top surface of the base plate using a bond layer disposed between the base plate and the ceramic layer, the ceramic layer having a top surface including an area configured to support a substrate;at least one clamp electrode positioned within the ceramic layer in an orientation substantially parallel to the top surface of the ceramic layer and at an upper location within the ceramic layer such that a region of the ceramic layer between the at least one clamp electrode and the top surface of the ceramic layer is substantially free of other electrically conductive material;a primary radiofrequency (RF) power delivery electrode positioned within the ceramic layer in an orientation substantially parallel to the top surface of the ceramic layer and at a location vertically below the at least one clamp electrode such that a region of the ceramic layer between primary RF power delivery electrode and the at least one clamp electrode is substantially ...

Подробнее
02-02-2017 дата публикации

Cold Plasma Annular Array Methods and Apparatus

Номер: US20170032944A1
Автор: Jacofsky Marc C.
Принадлежит: Plasmology4, Inc.

Methods and apparatus are described that use an array of two or more cold plasma jet ports oriented to converge at a treatment area. The use of an array permits greater tissue penetration by cold plasma treatments. This approach enables treatment of deeper infections of soft and hard tissues without surgical intervention. For example, this approach can treat sub-integumental infections, such as those common to joint replacements, without surgically opening the issues overlying the deeper infection. 1. An apparatus comprising:an annular structure having two or more cold plasma devices positioned to provide converging cold plasma jets that converge at a treatment area, wherein at least one of the two or more cold plasma devices is coupled to one or more harmonic high voltage RF power supplies.2. The apparatus of claim 1 , wherein the two or more cold plasma devices are positioned in a circumferential orientation.3. The apparatus of claim 1 , further configured to include a plurality of port locations claim 1 , wherein the two or more cold plasma devices occupy a subset of the plurality of port locations.4. The apparatus of claim 1 , wherein the annular structure is adjustable claim 1 , the annular structure being further configured to be lockable to ensure a predetermined alignment of the two or more cold plasma devices.5. The apparatus of claim 1 , wherein the two or more cold plasma devices are configured to generate two or more cold plasma jets that are diametrically opposed to one another claim 1 , the treatment area being located between the two or more cold plasma devices.6. The apparatus of claim 1 , wherein the two or more cold plasma devices are coupled to a common triggering mechanism to simultaneously activate the two or more cold plasma devices.7. The apparatus of claim 1 , wherein functionality settings of the two or more cold plasma devices are independently configurable to provide a desired treatment regime at the treatment area.8. The apparatus of ...

Подробнее
31-01-2019 дата публикации

An apparatus for atomic layer deposition

Номер: US20190032212A1
Принадлежит: BENEQ OY

The invention relates to an apparatus for subjecting a surface of a substrate to surface reactions of at least a first precursor and a second precursor according to the principles of atomic layer deposition. The apparatus comprises a reaction chamber (1) forming a reaction space (2) for receiving precursor gases reacting on the surface of the substrate. The apparatus further comprises a substrate support (3) for holding the substrate; a dielectric plate (4); and an electrode (7) coupled to a voltage source (8) to induce voltage to the electrode (7) for generating electric discharge to the reaction space (2). The dielectric plate (4) is arranged between the substrate support (3) and the electrode (7) and such that the reaction space (2) is arranged between the substrate support (3) and the dielectric plate (4).

Подробнее
04-02-2016 дата публикации

METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS

Номер: US20160035542A1
Принадлежит:

A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus includes forming a layer of an organic polymeric film on plasma or process gas exposed surfaces thereof. The method includes: (a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber; (b) purging the vacuum chamber after a flow of the first reactant has ceased; (c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and (d) purging the vacuum chamber to purge excess second reactant and reaction byproducts from the vacuum chamber. 1. A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus in which semiconductor substrates are processed by forming an organic polymeric film on plasma or process gas exposed surfaces of the vacuum chamber , the method comprising:(a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber and allowing the first reactant to adsorb onto plasma or process gas exposed surfaces of the vacuum chamber;(b) purging the vacuum chamber with a purge gas after a flow of the first reactant has ceased to purge excess first reactant from the vacuum chamber;(c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound wherein the first and second reactants react to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and(d) purging the vacuum chamber with the purge gas after a flow of the second reactant has ceased to purge excess second reactant and reaction byproducts from the vacuum chamber.2. The method of claim 1 , wherein the first reactant of diacyl chloride is selected from the group ...

Подробнее
04-02-2016 дата публикации

Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same

Номер: US20160035610A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An electrostatic chuck apparatus includes a base and a dielectric layer on the base. The dielectric layer includes a support surface opposite the base and a clamping electrode laterally extending along the support surface. The clamping electrode extends beyond an edge of the support surface such that the support surface is laterally recessed relative to the clamping electrode. The clamping electrode is configured to attract a substrate to the support surface by electrostatic force, and laterally extends along the support surface up to or beyond an edge of the substrate. Related electrostatic chuck assemblies, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same are also discussed.

Подробнее
01-02-2018 дата публикации

Sub-Pulsing During a State

Номер: US20180033596A1
Принадлежит:

A method for achieving sub-pulsing during a state is described. The method includes receiving a clock signal from a clock source, the clock signal having two states and generating a pulsed signal from the clock signal. The pulsed signal has sub-states within one of the states. The sub-states alternate with respect to each other at a frequency greater than a frequency of the states. The method includes providing the pulsed signal to control power of a radio frequency (RF) signal that is generated by an RF generator. The power is controlled to be synchronous with the pulsed signal. 1. A method comprising:generating a pulsed signal based on information regarding the pulsed signal, the pulsed signal having sub-states within a first state and having sub-states within a second state, the sub-states of the first state alternating with respect to each other at a frequency greater than a frequency of the first and second states and the sub-states of the second state alternating with respect to each other at a frequency greater than the frequency of the first and second states;providing the pulsed signal to control power of a first radio frequency (RF) signal that is generated by a first RF generator, the power controlled to be synchronous with the pulsed signal; andsupplying the first RF signal having the sub-states of the first state and having the sub-states of the second state to an impedance matching circuit.2. The method of claim 1 , wherein the information regarding the pulsed signal includes the frequency of the sub-states of the first state claim 1 , a duty cycle of the sub-states of the first state claim 1 , a time for which the first state is to occur claim 1 , the frequency of the sub-states of the second state claim 1 , a duty cycle of the sub-states of the second state claim 1 , and a time for which the second state is to occur.3. The method of claim 1 , further comprising receiving the information regarding the pulsed signal from a host computer or a second RF ...

Подробнее
01-02-2018 дата публикации

SUBSTRATE SUPPORT WITH INCREASING AREAL DENSITY AND CORRESPONDING METHOD OF FABRICATING

Номер: US20180033672A1
Принадлежит:

A substrate support for a substrate processing system is provided and includes a body and mesas. The mesas are distributed across and extending from and in a direction away from the body. The mesas are configured to support a substrate. Each of the mesas includes a surface area that contacts and supports the substrate. Areal density of the mesas monotonically increases as a radial distance from a center of the substrate support increases. 1. A substrate support for a substrate processing system , the substrate support comprising:a body; anda plurality of mesas distributed across and extending from and in a direction away from the body and are configured to support a substrate, each of the plurality of mesas includes a surface area that contacts and supports the substrate, and', 'areal density of the plurality of mesas monotonically increases as a radial distance from a center of the substrate support increases., 'wherein'}2. The substrate support of claim 1 , wherein the areal density of the plurality of mesas linearly increases with increase in the radial distance.3. The substrate support of claim 1 , wherein:the surface areas of the plurality of mesas are circular-shaped; anddiameters of the plurality of mesas monotonically increase with increase in the radial distance.4. The substrate support of claim 1 , wherein the plurality of mesas have a same height.5. The substrate support of claim 1 , wherein:the plurality of mesas are configured to provide uniform wear on a backside of the substrate; andthe backside of the substrate faces the surface areas of the plurality of mesas.6. The substrate support of claim 1 , wherein the plurality of mesas are cylindrically-shaped or semi-spherically-shaped.7. The substrate support of claim 1 , wherein:the substrate support includes a plurality of local regions;each of the plurality of local regions is defined by a local radius between (i) a center of the corresponding local region and (ii) centers of a set of the plurality of ...

Подробнее
04-02-2021 дата публикации

RADIO FREQUENCY POWER RETURN PATH

Номер: US20210032748A1
Принадлежит:

Embodiments presented herein are directed to radio frequency (RF) grounding in process chambers. In one embodiment, a dielectric plate is disposed between a chamber body and a lid of a process chamber. The dielectric plate extends laterally into a volume defined by the chamber body and the lid. A substrate support is disposed in the volume opposite the lid. The substrate support includes a support body disposed on a stem. The support body includes a central region and a peripheral region. The peripheral region is radially outward of the central region. The central region has a thickness less than a thickness of the peripheral region. A flange is disposed adjacent to a bottom surface of the peripheral region. The flange extends radially outward from an outer edge of the peripheral region. A bellows is disposed on the flange and configured to sealingly couple to the dielectric plate. 1. An apparatus , comprising:a chamber body and a lid defining a volume therein;a dielectric plate disposed between the chamber body and the lid, the dielectric plate extending laterally into the volume; a support body disposed on a stem, the support body including a central region and a peripheral region radially outward of the central region, the central region having a thickness less than a thickness of the peripheral region; and', 'a flange adjacent to a bottom surface of the peripheral region, the flange extending radially outward of an outer edge of the peripheral region; and, 'a substrate support disposed in the volume opposite the lid, the substrate support comprising2. The apparatus of claim 1 , further comprising a bellows disposed on the flange and configured to sealingly couple to the dielectric plate.3. The apparatus of claim 1 , further comprising a conductive rod extending through the stem.4. The apparatus of claim 3 , wherein the conductive rod is capable of coupling to ground.5. The apparatus of claim 1 , further comprising a ground plate disposed between the support body ...

Подробнее
04-02-2021 дата публикации

METHODS AND APPARATUS FOR DUAL CHANNEL SHOWERHEADS

Номер: US20210032753A1
Принадлежит:

Methods and apparatus for gas distribution in a process chamber leverage dual electrodes to provide RF power and an RF ground return in a single showerhead. In some embodiments, the apparatus includes a showerhead composed of a non-metallic material with a first gas channel and a second gas channel, the first gas channel and the second gas channel being independent of each other, and the first gas channel including a plurality of through holes from a top surface of the showerhead to a bottom surface of the showerhead and the second gas channel including a plurality of holes on the bottom surface of the showerhead connected to one or more gas inlets on a side of the showerhead, a first electrode embedded in the showerhead near a top surface of the showerhead, and a second electrode embedded in the showerhead near a bottom surface of the showerhead. 1. An apparatus for gas distribution in a process chamber , comprising:a showerhead composed of a non-metallic material with a first gas channel and a second gas channel, wherein the first gas channel and the second gas channel are independent of each other;a first electrode embedded in the showerhead near a top surface of the showerhead; anda second electrode embedded in the showerhead near a bottom surface of the showerhead.2. The apparatus of claim 1 , wherein the showerhead is comprised of a ceramic material.3. The apparatus of claim 2 , wherein the ceramic material is aluminum nitride or aluminum oxide.4. The apparatus of claim 1 , wherein the first electrode is configured to provide a radio frequency (RF) ground return path when installed in the process chamber.5. The apparatus of claim 1 , wherein the second electrode is configured to provide radio frequency (RF) power when installed in the process chamber.6. The apparatus of claim 1 , wherein at least one channel of the first gas channel extends from a first opening in the top surface of the showerhead and through the showerhead to a second opening at the bottom ...

Подробнее
17-02-2022 дата публикации

Plasma Etching Apparatus and Method

Номер: US20220051881A1
Принадлежит: SPTS Technologies Ltd

A plasma etching apparatus for etching a semiconductor substrate comprises: a plasma chamber; a plasma generation device for sustaining a plasma within the plasma chamber; a substrate support disposed within the plasma chamber for supporting the semiconductor substrate, the substrate support comprising an electrically conductive structure; a power supply for providing an RF electrical signal having an RF power to the electrically conductive structure; and an annular dielectric ring structure comprising a backside surface, the backside surface comprising an electrically conductive coating; wherein the electrically conductive structure is spaced apart from and extends under the electrically conductive coating so that when RF power is provided to the electrically conductive structure the RF power couples to the electrically conductive coating. Associated methods are also disclosed.

Подробнее
17-02-2022 дата публикации

ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20220051902A1
Автор: Tanaka Koki
Принадлежит: TOKYO ELECTRON LIMITED

An etching method includes: (a) providing a substrate that contains silicon, on a support; (b) etching the substrate with plasma generated from a first gas that includes a fluorine-containing gas, to form an etching shape having a bottom; (c) generating plasma from a second gas that includes a hydrogen fluoride (HF) gas, to selectively form a condensed or solidified layer of HF at the bottom of the etching shape; and (d) etching the bottom with the plasma generated from the second gas, by supplying a bias power to the support. During (c) and (d), a temperature of the substrate is maintained to be 0° C. or lower. 1. An etching method comprising:(a) providing a substrate that contains silicon, on a support;(b) etching the substrate with plasma generated from a first gas that includes a fluorine-containing gas, to form an etching shape having a bottom;(c) generating plasma from a second gas that includes a hydrogen fluoride (HF) gas, to selectively form a condensed or solidified layer of HF at the bottom of the etching shape; and(d) etching the bottom with the plasma generated from the second gas, by supplying a bias power to the support,wherein during (c) and (d), a temperature of the substrate is maintained to be 0° C. or lower.2. The etching method according to claim 1 , wherein (c) and (d) are performed at the same time.3. The etching method according to claim 1 , wherein (c) and (d) are performed when an opening diameter of the etching shape is 200 nm or less claim 1 , and an aspect ratio is 20 or more.4. The etching method according to claim 1 , wherein after (a) claim 1 , the temperature of the substrate is set to −40° C. or lower.5. The etching method according to claim 1 , wherein (d) etches the bottom with the plasma generated from the second gas or plasma generated from a rare gas.6. The etching method according to claim 1 , wherein the etching is performed by attracting ions in the plasma generated from the second gas into the bottom claim 1 , and causing ...

Подробнее
31-01-2019 дата публикации

Plasma treatment apparatus, semiconductor manufacturing apparatus, and manufacturing method of semiconductor device

Номер: US20190035636A1
Принадлежит: Toshiba Memory Corp

A plasma treatment apparatus includes a discharge device generating plasma under atmospheric pressure, and a nonmetallic tube capable of advancing the plasma generated in the discharge device. The discharge device includes a discharge body with an internal space, and the plasma being generated in the internal space. The nonmetallic tube is connected to the discharge body, and includes a material different from a material of the discharge body. The plasma is released from the nonmetallic tube to an environment under atmospheric pressure.

Подробнее
30-01-2020 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20200035445A1
Принадлежит:

A plasma processing apparatus includes: a processing chamber in which a sample is subjected to plasma treatment; a radio frequency power supply configured to supply radio frequency power that generates plasma; a sample stage on which the sample is placed; and an ultraviolet light source configured to apply an ultraviolet ray. The apparatus further includes a controller configured to control the ultraviolet light source such that before the radio frequency power is supplied into the processing chamber, a pulse-modulated ultraviolet ray is applied into the processing chamber. 1. A plasma processing apparatus comprising:a processing chamber in which a sample is subjected to plasma treatment;a radio frequency power supply configured to supply radio frequency power that generates plasma;a sample stage on which the sample is placed;an ultraviolet light source configured to apply an ultraviolet ray; anda controller configured to control the ultraviolet light source such that before the radio frequency power is supplied into the processing chamber, a pulse-modulated ultraviolet ray is applied into the processing chamber.2. A plasma processing apparatus comprising:a processing chamber in which a sample is subjected to plasma treatment;a radio frequency power supply configured to supply radio frequency power that generates plasma;a sample stage on which the sample is placed;an ultraviolet light source configured to apply an ultraviolet ray; anda controller configured to control the radio frequency power supply and the ultraviolet light source such that the radio frequency power is supplied into the processing chamber and a pulse-modulated ultraviolet ray is applied into the processing chamber.3. The plasma processing apparatus according to claim 1 ,wherein the sample stage includes an electrode to which a direct current voltage is applied, the sample being electrostatically chucked by the direct current voltage, andwherein the controller is configured to control the ...

Подробнее
30-01-2020 дата публикации

Ceramic Layer for Electrostatic Chuck Including Embedded Faraday Cage for RF Delivery and Associated Methods

Номер: US20200035455A1
Принадлежит:

A ceramic layer is attached to a top surface of a base plate using a bond layer. The ceramic layer has a top surface configured to support a substrate. At least one clamp electrode is positioned within an upper region of the ceramic layer. A primary radiofrequency (RF) power delivery electrode is positioned within the ceramic layer at a location vertically below the at least one clamp electrode such that a region of the ceramic layer between the primary RF power delivery electrode and the at least one clamp electrode is substantially free of other electrically conductive material. A plurality of RF power delivery connection modules is distributed in a substantially uniform manner about a perimeter of the ceramic layer. Each of the RF power delivery connection modules is configured to form an electrical connection from the base plate to the primary RF power delivery electrode at its respective location. 1. A top segment of an electrostatic chuck , comprising:a ceramic layer having a stepped configuration including a central region and a peripheral region, the central region having a top surface that includes an area configured to support a substrate, the peripheral region configured to circumscribe the central region, the peripheral region having a top surface non-planar with the top surface of the central region;a primary radiofrequency (RF) power delivery electrode positioned within the central region of the ceramic layer;a perimeter RF power delivery electrode positioned within the peripheral region of the ceramic layer; anda plurality of RF power delivery connection modules disposed with the ceramic layer, each of the plurality of RF power delivery connection modules connecting to both the primary RF power delivery electrode and the perimeter RF power delivery electrode, each of the plurality of RF power delivery connection modules positioned near an outer radial perimeter of the central region of the ceramic layer and including an electrical contact exposed at a ...

Подробнее
30-01-2020 дата публикации

Spatially variable wafer bias power system

Номер: US20200035459A1
Принадлежит: Eagle Harbor Technologies Inc

A plasma deposition system comprising a wafer platform, a second electrode, a first electrode, a first high voltage pulser, and a second high voltage pulser. In some embodiments, the second electrode may be disposed proximate with the wafer platform. In some embodiments, the second electrode can include a disc shape with a central aperture; a central axis, an aperture diameter, and an outer diameter. In some embodiments, the first electrode may be disposed proximate with the wafer platform and within the central aperture of the second electrode. In some embodiments, the first electrode can include a disc shape, a central axis, and an outer diameter. In some embodiments, the first high voltage pulser can be electrically coupled with the first electrode. In some embodiments, the second high voltage pulser can be electrically coupled with the second electrode.

Подробнее
30-01-2020 дата публикации

ELECTROSTATIC CHUCK

Номер: US20200035468A1
Принадлежит:

According to one embodiment, an electrostatic chuck includes a ceramic dielectric substrate, a base plate, and a first electrode layer. The ceramic dielectric substrate has a first major surface and a second major surface. The first electrode layer is provided inside the ceramic dielectric substrate and connected to a high frequency power supply. The first electrode layer is provided between the first major surface and the second major surface. The first electrode layer has a first surface and a second surface. The first electrode layer includes a first region including the first surface, a second region including the second surface, and a third region positioned between the first region and the second region. A porosity of the first region is lower than a porosity of the third region. 1. An electrostatic chuck , comprising:a ceramic dielectric substrate having a first major surface and a second major surface, an object to be chucked being placed on the first major surface, the second major surface being on a side opposite to the first major surface;a base plate supporting the ceramic dielectric substrate; andat least one first electrode layer provided inside the ceramic dielectric substrate and connected to a high frequency power supply,the first electrode layer being provided between the first major surface and the second major surface in a Z-axis direction, the Z-axis direction being from the base plate toward the ceramic dielectric substrate,the first electrode layer having a first surface and a second surface, the first surface being on the first major surface side, the second surface being on a side opposite to the first surface,the first electrode layer including a first region, a second region, and a third region, the first region including the first surface, the second region including the second surface, the third region being positioned between the first region and the second region in the Z-axis direction,a porosity of the first region being lower than a ...

Подробнее
30-01-2020 дата публикации

ELECTROSTATIC CHUCK

Номер: US20200035469A1
Принадлежит:

According to one embodiment, an electrostatic chuck includes a ceramic dielectric substrate, a base plate, and a first electrode layer. The ceramic dielectric substrate has a first major surface and a second major surface. The first electrode layer is provided inside the ceramic dielectric substrate and connected to a high frequency power supply. The first electrode layer is provided between the first major surface and the second major surface. The first electrode layer has a first surface and a second surface. A surface roughness of the second surface is larger than a surface roughness of the first surface. 1. An electrostatic chuck , comprising:a ceramic dielectric substrate having a first major surface and a second major surface, an object to be chucked being placed on the first major surface, the second major surface being on a side opposite to the first major surface;a base plate supporting the ceramic dielectric substrate; andat least one first electrode layer provided inside the ceramic dielectric substrate and connected to a high frequency power supply,the first electrode layer being provided between the first major surface and the second major surface in a Z-axis direction, the Z-axis direction being from the base plate toward the ceramic dielectric substrate,the first electrode layer having a first surface and a second surface, the first surface being on the first major surface side, the second surface being on a side opposite to the first surface,a surface roughness of the second surface being larger than a surface roughness of the first surface.2. The chuck according to claim 1 , whereinthe first electrode layer is supplied with power from the second surface side,a distance along the Z-axis direction between the first surface and the first major surface is constant, anda distance along the Z-axis direction between the second surface and the first surface at an end portion of the first electrode layer is shorter than a distance along the Z-axis direction ...

Подробнее
30-01-2020 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20200035496A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a chamber having a gas inlet and a gas outlet; a plasma generator; and a controller configured to cause: (a) providing a substrate including a silicon-containing film and a mask formed on the film; (b) etching the silicon-containing film through the mask to the first depth, thereby forming a recess in the silicon-containing film; (c) forming a protection film at least on the mask and a side wall of the recess formed on the silicon-containing film after (a); and (d) etching the silicon containing film through the mask to a second depth, the second depth being greater than the first depth.

Подробнее