Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 7339. Отображено 100.
12-01-2012 дата публикации

Method and apparatus for removing photoresist

Номер: US20120006486A1
Принадлежит: Lam Research Corp

A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.

Подробнее
09-02-2012 дата публикации

Plasma reactor

Номер: US20120034135A1
Автор: Philip John Risby
Принадлежит: GASPLAS AS

A reaction vessel has a reaction chamber, and two or more plasma nozzles coupled to the reactor chamber. Each plasma nozzle has a microwave plasma generator powered by a magnetron, and a feed tube for directing a flow of material via the plasma generator to a respective inlet to the reaction chamber whereby the plasma generator at least partly ionises the material to form a plasma prior to entry of the at least partly ionised material into the reaction chamber. The plasma-generating region of each nozzle is separated from the reactor chamber at a distance between 0.005 to 1 m.

Подробнее
12-07-2012 дата публикации

Plasma cvd apparatus

Номер: US20120174864A1
Принадлежит: Kobe Steel Ltd

The disclosed plasma CVD apparatus ( 1 ) is provided with a vacuum chamber ( 3 ); a pair of deposition rollers ( 2, 2 ) disposed within the vacuum chamber ( 3 ) that are connected to both poles of an AC power supply and around which a substrate (W) is wound; a gas-supplying device ( 5 ) that supplies process gas containing a source gas to a deposition zone (D) which is a portion of or all of the region that is on one side of a line linking the centers of rotation of the pair of deposition rollers ( 2, 2 ); and a magnetic-field-generating device ( 7 ) that, by means of the AC power supply being applied to each of the deposition rollers ( 2, 2 ), forms a magnetic field that causes the source gas in a predetermined region to become plasma. The magnetic-field-generating device ( 7 ) causes the source gas in the region adjacent to the surface of the portion of the pair of deposition rollers ( 2, 2 ) located within the deposition zone (D) to become plasma, forming a plasma region (P). The substrate (W) is wound around the pair of deposition rollers ( 2, 2 ) so as to pass through the plasma region (P).

Подробнее
19-07-2012 дата публикации

Semiconductor processing system and methods using capacitively coupled plasma

Номер: US20120180954A1
Принадлежит: Applied Materials Inc

Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.

Подробнее
26-07-2012 дата публикации

Plasma generation system and plasma generation method

Номер: US20120187086A1
Принадлежит: Toyo Advanced Technologies Co Ltd

A plasma generation system and related method for generating plasma in a cavity of a narrow tube, the system including: a first electrode including a conductive member covered with an insulator or dielectric, the first electrode being inserted into the cavity of the narrow tube to generate the plasma; a power supply to apply an alternating voltage or pulse voltage to the first electrode; and a second electrode located outside the narrow tube and connected to the power supply, the power supply applying the alternating voltage or pulse voltage between the first electrode and the second electrode, wherein the conductive member is made of a wire, a portion of the narrow tube is provided between the first electrode and the second electrode, and the second electrode is arranged and shaped so that a discharge is unevenly performed in a circumferential direction of the first electrode.

Подробнее
26-07-2012 дата публикации

Electrostatic remote plasma source

Номер: US20120187844A1
Принадлежит: Advanced Energy Industries Inc

This disclosure describes systems, methods, and apparatus for capacitively coupling energy into a plasma to ignite and sustain the plasma within a remote plasma source. The power is provided by a first electrode that at least partially surrounds or is surrounded by a second electrode. The second electrode can be grounded or floating. First and second dielectric components can be arranged to separate one or both of the electrodes from the plasma and thereby DC isolate the plasma from one or both of the electrodes.

Подробнее
06-09-2012 дата публикации

Plasma system and method of producing a functional coating

Номер: US20120222617A1
Принадлежит: Individual

A plasma system has at least one inductively coupled high-frequency plasma jet source having a burner body delimiting a plasma generating space, having an outlet orifice for the plasma jet, and a chamber communicating with the plasma jet source through the outlet orifice, having a substrate situated in the chamber, where it is exposed to the plasma jet. The substrate is situated on a substrate electrode to which an electric voltage may be applied. In addition, a method of producing a functional coating on the substrate using such a plasma system is also described. In a preferred embodiment, during operation of the plasma system, both the plasma jet and the electric voltage on the substrate electrode are pulsed and/or a pressure gradient is maintained between the interior of the plasma jet source and the interior of the chamber.

Подробнее
13-09-2012 дата публикации

Method and Apparatus for Treating Containers

Номер: US20120231182A1
Принадлежит: KAIATECH Inc

An apparatus for treating the interior of containers includes a chamber for holding a container and provides precursor materials via an annulus formed by coaxially arranged electrodes at which plasma is created upon application of voltage and the container is treated.

Подробнее
20-09-2012 дата публикации

Methods for etch of sin films

Номер: US20120238102A1
Принадлежит: Applied Materials Inc

A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

Подробнее
11-10-2012 дата публикации

E-Beam Enhanced Decoupled Source for Semiconductor Processing

Номер: US20120258601A1
Принадлежит: Lam Research Corp

A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes an electrode disposed within the processing chamber separate from the substrate support. The system also includes a power supply electrically connected to the electrode. The power supply is defined to supply electrical power to the electrode so as to liberate electrons from the electrode into the processing chamber.

Подробнее
29-11-2012 дата публикации

Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead

Номер: US20120301616A1
Принадлежит: Intermolecular Inc

A multi-zone, combinatorial, single wafer showerhead is used to concurrently develop hardware, materials, unit processes, and unit process sequences. The multi-zone, combinatorial, single wafer showerhead utilizes showerhead pucks to perform process sequences on isolated regions of a single substrate. The showerhead pucks are designed so that they are easily interchangeable to allow the characterization of the interaction between hardware characteristics, process parameters, and their influence on the result of the process sequence.

Подробнее
27-12-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120325777A1
Принадлежит: Panasonic Corp

A base material is placed on a base material placement face of a base material placement table. An inductively coupled plasma torch unit is structured with a cylindrical chamber structured with a cylinder made of an insulating material and provided with a rectangular slit-like plasma jet port, and lids closing opposing ends of the cylinder, a gas jet port that supplies gas into the cylindrical chamber, and a solenoid coil that generates a high frequency electromagnetic field in the cylindrical chamber. By a high frequency power supply supplying a high frequency power to the solenoid coil, plasma is generated in the cylindrical chamber, and the plasma is emitted from the plasma jet port to the base material. While relatively shifting the plasma torch unit and the base material placement table, a base material surface can be subjected to heat treatment.

Подробнее
10-01-2013 дата публикации

Plasma processing apparatus

Номер: US20130008609A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode disposed to face each other, a high frequency power supply unit for applying a high frequency power to either the first electrode or the second electrode, a processing gas supply unit for supplying a processing gas to a processing space, and a main dielectric member provided at a substrate mounting portion on a main surface of the first electrode. A focus ring is attached to the first electrode to cover a peripheral portion of the main surface of the first electrode and a peripheral dielectric member is provided in a peripheral portion on the main surface of the first electrode so that an electrostatic capacitance per unit area applied between the first electrode and the focus ring is smaller than that applied between the first electrode and the substrate by the main dielectric member.

Подробнее
14-02-2013 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20130037755A1
Автор: Stanford R. Ovshinsky
Принадлежит: Stanford R. Ovshinsky

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus avoids deposition on windows that couple microwave energy to deposition species. The apparatus includes a microwave applicator with one or more conduits that carry deposition species. The applicator transfers microwave energy to the deposition species to energize them to a reactive state. The conduits physically isolate deposition species that would react or otherwise combine to form a thin film material at the point of microwave power transfer and deliver the microwave-excited species to a deposition chamber. Supplemental material streams may be delivered to the deposition chamber without passing through the microwave applicator and may combine with deposition species exiting the conduits to form a thin film material. Precursors for the microwave-excited deposition species include fluorinated forms of silicon. Precursors for supplemental material streams include hydrogenated forms of silicon.

Подробнее
28-03-2013 дата публикации

Microwave processing apparatus and method for processing object to be processed

Номер: US20130075389A1
Автор: Mitsutoshi ASHIDA
Принадлежит: Tokyo Electron Ltd

A microwave processing apparatus includes a processing chamber which accommodates an object; a microwave introducing unit for generating microwaves used to process the object and introducing the microwaves into the processing chamber; and a control unit for controlling the microwave introducing unit. The microwave introducing unit includes microwave sources to generate the microwaves and introduces parts of the microwaves into the processing chamber simultaneously, and the control unit controls the microwave sources such that arbitrary combinations of the microwave sources alternately repeat a simultaneous microwave generating state and a microwave non-generating state during a state of processing the object.

Подробнее
04-04-2013 дата публикации

Transformer-coupled rf source for plasma processing tool

Номер: US20130082599A1
Автор: Kamal Hadidi, Rajesh Dorai

A RF source and method are disclosed which inductively create a plasma within an enclosure without an electric field or with a significantly decreased creation of an electric field. A ferrite material with an insulated wire wrapped around its body is used to efficiently channel the magnetic field through the legs of the ferrite. This magnetic field, which flows between the legs of the ferrite can then be used to create and maintain a plasma. In one embodiment, these legs rest on a dielectric window, such that the magnetic field passes into the chamber. In another embodiment, the legs of the ferrite extend into the processing chamber, thereby further extending the magnetic field into the chamber. This ferrite can be used in conjunction with a PLAD chamber, or an ion source for a traditional beam line ion implantation system.

Подробнее
16-05-2013 дата публикации

Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel

Номер: US20130118589A1
Автор: Chaolin Hu, XING Chen
Принадлежит: MKS Instruments Inc

An assembly for adjusting gas flow patterns and gas-plasma interactions including a toroidal plasma chamber. The toroidal plasma chamber has an injection member, an output member, a first side member and a second side member that are all connected. The first side member has a first inner cross-sectional area in at least a portion of the first side member and a second inner cross-sectional area in at least another portion of the first side member, where the first inner cross-sectional area and the second inner-cross-sectional area being different. The second side member has a third inner cross-sectional area in at least a portion of the second side member and a fourth inner cross-sectional area in at least another portion of the second side member, where the third inner cross-sectional area and the fourth inner-cross-sectional area being different.

Подробнее
30-05-2013 дата публикации

Large area, atmospheric pressure plasma for downstream processing

Номер: US20130134878A1
Автор: Gary S. Selwyn
Принадлежит: APJet Inc

An arcless, atmospheric-pressure plasma generating apparatus capable of producing a large-area, temperature-controlled, stable discharge at power densities between about 0.1 W/cm 3 and about 200 W/cm 3 , while having an operating gas temperature of less than 50° C., for processing materials outside of the discharge, is described. The apparatus produces active chemical species, including gaseous metastables and radicals which may be used for polymerization (either free radical-induced or through dehydrogenation-based polymerization), surface cleaning and modification, etching, adhesion promotion, and sterilization, as examples. The invention may include either a cooled rf-driven electrode or a cooled ground electrode, or two cooled electrodes, wherein active components of the plasma may be directed out of the plasma and onto an external workpiece without simultaneously exposing a material to the electrical influence or ionic components of the plasma.

Подробнее
01-08-2013 дата публикации

Plasma processing device

Номер: US20130192759A1
Принадлежит: EMD Corp, Osaka University NUC

A plasma processing device according to the present invention includes a plasma processing chamber, a plasma producing chamber communicating with the plasma processing chamber, a radio-frequency antenna for producing plasma, a plasma control plate for controlling the energy of electrons in the plasma, as well as an operation rod and a moving mechanism for regulating the position of the plasma control plate. In this plasma processing device, the energy distribution of the electrons of the plasma produced in the plasma producing chamber can be controlled by regulating the distance between the radio-frequency antenna 16 and the plasma control plate by simply moving the operation rod in its longitudinal direction by the moving mechanism. Therefore, a plasma process suitable for the kind of gas molecules to be dissociated and/or their dissociation energy can be easily performed.

Подробнее
14-11-2013 дата публикации

Etch remnant removal

Номер: US20130298942A1
Принадлежит: Applied Materials Inc

Methods of removing residual polymer from vertical walls of a patterned dielectric layer are described. The methods involve the use of a gas phase etch to remove the residual polymer without substantially disturbing the patterned dielectric layer. The gas phase etch may be used on a patterned low-k dielectric layer and may maintain the low dielectric constant of the patterned dielectric layer. The gas phase etch may further avoid stressing the patterned low-k dielectric layer by avoiding the use of liquid etchants whose surface tension can upset delicate low-K features. The gas phase etch may further avoid the formation of solid etch by-products which cars also deform the delicate features.

Подробнее
30-01-2014 дата публикации

Three Dimensional Metal Deposition Technique

Номер: US20140027274A1

A plasma processing apparatus is disclosed. The plasma processing apparatus includes a source configured to generate a plasma in a process chamber having a plasma sheath adjacent to the front surface of a workpiece, and a plasma sheath modifier. The plasma sheath modifier controls a shape of a boundary between the plasma and the plasma sheath so a portion of the shape of the boundary is not parallel to a plane defined by a front surface of the workpiece facing the plasma. A metal target is affixed to the back surface of the plasma sheath modifier so as to be electrically insulated from the plasma sheath modifier and is electrically biased such that ions exiting the plasma and passing through an aperture in the plasma sheath modifier are attracted toward the metal target. These ions cause sputtering of the metal target, allowing three dimensional metal deposition of the workpiece.

Подробнее
06-03-2014 дата публикации

Plasma processing apparatus and cleaning method for removing metal oxide film

Номер: US20140060572A1
Принадлежит: Tokyo Electron Ltd

In a plasma processing apparatus, a mounting table is provided in a processing chamber, and a remote plasma generating unit is configured to generate an excited gas by exiting a hydrogen-containing gas. The remote plasma generating unit has an outlet for discharging the excited gas. A diffusion unit is provided to correspond to the outlet of the remote plasma generating unit and serves to receive the excited gas flowing from the outlet and diffuse the hydrogen active species having a reduced amount of hydrogen ions. An ion filter is disposed between the diffusion unit and the mounting table while being separated from the diffusion unit. The ion filter serves to capture the hydrogen ions contained in the hydrogen active species diffused by the diffusion unit and allow the hydrogen active species having a further reduced amount of hydrogen ions to pass therethrough the mounting table.

Подробнее
27-03-2014 дата публикации

Baffle and method for treating surface of the baffle, and substrate treating apparatus and method for treating surface of the apparatus

Номер: US20140083612A1
Автор: Youngyeon Ji
Принадлежит: PSK Inc

Provided is a baffle. The baffle has holes for distributing a process gas excited in a plasma state. A surface of the baffle is treated by using a surface treating material containing an aromatic compound.

Подробнее
01-01-2015 дата публикации

Multiple-mode plasma generation apparatus

Номер: US20150000844A1
Автор: Je-Ho Woo
Принадлежит: EQBESTECH Inc

The present invention relates to a multiple-mode plasma generation apparatus that can supply plasma for multiple processes in order to improve processing efficiency. The plasma generation apparatus may include a first plasma generation unit and a second plasma generation unit connected in series with the first plasma generation unit. Here, a gas is changed to plasma by a magnetic field generated by the first plasma generation unit and the second plasma generation unit, the first plasma generation unit is operated by a low-frequency power supply, and the second plasma generation unit is operated by a high-frequency power supply.

Подробнее
01-01-2015 дата публикации

Method for Production of Optical Waveguides and Coupling and Devices Made from the Same

Номер: US20150001175A1
Автор: Rabiei Payam
Принадлежит:

Novel processing methods for production of high-refractive index contrast and low loss optical waveguides are disclosed. In one embodiment, a “channel” waveguide is produced by first depositing a lower cladding material layer with a low refractive index on a base substrate and a refractory metal layer. Then, an etch mask layer is deposited on the refractory layer, followed by selective etching of the refractory metal layer with a dry-etch tool with high selectivity to the etch mask layer. Then, the refractory metal layer is oxidized to form an oxidized refractory metal region, and a top cladding layer made of a second low refractive index material to encapsulate the oxidized refractory metal region. In another embodiment, a “ridge” waveguide is produced by using similar process steps with an added step of depositing a high-refractive-index material layer and an optional optically-transparent layer. 1. A method for producing a high-refractive index contrast and low loss optical waveguide , the method comprising the steps of:depositing a lower cladding material layer with a first low refractive index on a silicon base substrate;depositing or growing a refractory metal layer on top of the lower cladding material layer with the first low refractive index;forming an etch mask layer deposited on the refractory metal layer;selectively etching the refractory metal layer utilizing a dry-etching tool with high selectivity to the etch mask layer;oxidizing the refractory metal layer in high-temperature ambient oxygen, wherein the refractory metal layer subsequently forms an oxidized refractory metal region; anddepositing a top cladding layer made of a second low refractive index material to encapsulate the oxidized refractory metal region on top of the lower cladding material layer.2. The method for producing the high-refractive index contrast and low loss optical waveguide of claim 1 , wherein the oxidized refractory metal region is transparent for optical wavelength signals.3 ...

Подробнее
05-01-2017 дата публикации

Treatment-target modification device, treatment-target modification system, image forming system, and image forming method

Номер: US20170001453A1
Принадлежит: Ricoh Co Ltd

A treatment-target modification device is configured to modify a treatment target being conveyed, with discharge. The treatment-target modification device includes: a hydrophilization unit configured to perform hydrophilization treatment on the treatment target ( 20 ; and a measurement unit configured to measure two-dimensional distribution of a reflectance spectrum of light reflected from the hydrophilization-treated treatment target.

Подробнее
02-01-2020 дата публикации

Film formation method

Номер: US20200002809A1

A film formation method is provided with a step for disposing a non-electroconductive long thin tube 102 in a chamber 101 in which the internal pressure thereof is adjustable, generating a plasma inside the long thin tube 102 in a state in which a starting material gas including a hydrocarbon is supplied, and forming a diamond-like carbon film on an inner wall surface of the long thin tube 102. The long thin tube 102 is disposed in the chamber 101 in a state in which a discharge electrode 125 is disposed in one end part of the long thin tube 102 and the other end part is open. An alternating-current bias is intermittently applied between the discharge electrode 125 and a counter electrode 126 provided so as to be separated from the long thin tube 102.

Подробнее
13-01-2022 дата публикации

DEVICE FOR COATING CONTAINERS WITH A BARRIER LAYER, AND METHOD FOR HEATING A CONTAINER

Номер: US20220013334A1
Принадлежит:

The present invention relates to a device for coating containers with a barrier layer having at least one plasma chamber, which encloses at least one treatment space, in which at least one container with a container interior can be inserted and can be positioned on the treatment space, wherein a gas lance is provided which can be introduced into the container interior and which further acts as microwave antenna, with the plasma chamber being designed to be capable at least of partial evacuation and being designed to fill the container interior at least partially with a plasma and a process gas. The device is designed such that the container can be preheated by means of a plasma, more particularly by means of a microwave plasma, using a noble gas which can be introduced into the container interior through the gas lance. 1. A device for coating containers with a barrier layer having at least one plasma chamber , which includes at least one treatment space , and in which at least one container with a container interior can be inserted and positioned on the treatment space , wherein a gas lance is present which can be introduced into the container interior and which furthermore acts as microwave antenna , wherein the plasma chamber is formed to be capable of at least partial evacuation and is set up to fill the container interior at least partially with a plasma and a process gas , wherein the device is formed such that a pre-heating of the container can be carried out by means of a plasma , in particular by means of a microwave plasma , using a noble gas which can be introduced into the container interior via the gas lance.2. The device according to claim 1 , wherein the noble gas is taken from the group Ne claim 1 , Ar claim 1 , Kr and/or Xe; preferably only Ar claim 1 , optionally with residual air claim 1 , is used as noble gas.3. The device according to claim 1 , wherein a heating tunnel is present before the device in the path for conveying the container into it.4 ...

Подробнее
13-01-2022 дата публикации

METHOD FOR PRODUCING PLASTIC ELEMENT PROVIDED WITH FINE SURFACE ROUGHNESS

Номер: US20220013369A1
Принадлежит: NALUX CO., LTD.

A method for producing a plastic element provided with fine surface roughness is provided. In the method, etching of a surface of the plastic element is performed separately in a first step and in a second step, in the first step, fine roughness having a predetermined average value of pitch in the range from 0.05 to 1 micrometer is generated on the surface through reactive ion etching in an atmosphere of a first gas; and in the second step, an average value of depth of the fine roughness generated in the first step is adjusted to a predetermined value in the range from 0.15 to 1.5 micrometers while the predetermined average value of pitch is substantially maintained through reactive ion etching in an atmosphere of a second gas, reactivity to the plastic element of the second gas being lower than reactivity to the plastic element of the first gas. 1. A method for producing a plastic element provided with fine surface roughness , comprising:etching of a surface of the plastic element separately in a first step that is an early stage and in a second step that is a stage following the early stage, whereinin the first step, fine roughness having a predetermined average value of pitch in the range from 0.05 micrometers to 1 micrometer is generated on a surface of the plastic element through reactive ion etching in an atmosphere of a first gas; and whereinin the second step, an average value of depth of the fine roughness generated in the first step is adjusted to a predetermined value in the range from 0.15 micrometers to 1.5 micrometers while the predetermined average value of pitch of the fine roughness is substantially maintained through reactive ion etching in an atmosphere of a second gas, reactivity to the plastic element of the second gas being lower than reactivity to the plastic element of the first gas.2. The method for producing a plastic element provided with fine surface roughness according to claim 1 , wherein the first gas is sulfur hexafluoride (SF) claim ...

Подробнее
04-01-2018 дата публикации

SELECTIVE ATOMIC LAYER DEPOSITION WITH POST-DOSE TREATMENT

Номер: US20180005814A1
Принадлежит:

Methods and apparatuses for depositing films in high aspect ratio features and trenches using a post-dose treatment operation during atomic layer deposition are provided. Post-dose treatment operations are performed after adsorbing precursors onto the substrate to remove adsorbed precursors at the tops of features prior to converting the adsorbed precursors to a silicon-containing film. Post-dose treatments include exposure to non-oxidizing gas, exposure to non-oxidizing plasma, and exposure to ultraviolet radiation. 1. A method of processing a patterned substrate in a process chamber , the method comprising:(a) providing the patterned substrate having one or more features;(b) exposing the patterned substrate to a silicon-containing precursor under conditions allowing the silicon-containing precursor to adsorb onto surfaces of the one or more features, thereby forming an adsorbed layer of the silicon-containing precursor over the patterned substrate;(c) before exposing the patterned substrate to a reactant to form a silicon-containing film and after exposing the patterned substrate to the silicon-containing precursor, performing a post-dose treatment operation to preferentially remove the adsorbed layer at tops of the one or more features; and(d) exposing the patterned substrate to the reactant and igniting a first plasma to form the silicon-containing film over the patterned substrate.2. The method of claim 1 , wherein performing the post-dose treatment operation comprises exposing the patterned substrate to a gas selected from the group consisting of nitrogen claim 1 , argon claim 1 , hydrogen claim 1 , ammonia claim 1 , helium claim 1 , and CH claim 1 , wherein x is an integer between and including 1-5 and y is an integer between and including 4-16.3. The method of claim 2 , wherein performing the post-dose treatment operation further comprises igniting a second plasma at a plasma power less than about 6 kW.4. The method of claim 3 , wherein performing the post- ...

Подробнее
04-01-2018 дата публикации

ION TO NEUTRAL CONTROL FOR WAFER PROCESSING WITH DUAL PLASMA SOURCE REACTOR

Номер: US20180005852A1
Принадлежит:

The disclosed techniques relate to methods and apparatus for etching a substrate. A plate assembly divides a reaction chamber into a lower and upper sub-chamber. The plate assembly includes an upper and lower plate having apertures therethrough. When the apertures in the upper and lower plates are aligned, ions and neutral species may travel through the plate assembly into the lower sub-chamber. When the apertures are not aligned, ions are prevented from passing through the assembly while neutral species are much less affected. Thus, the ratio of ion flux:neutral flux may be tuned by controlling the amount of area over which the apertures are aligned. In certain embodiments, one plate of the plate assembly is implemented as a series of concentric, independently movable injection control rings. Further, in some embodiments, the upper sub-chamber is implemented as a series of concentric plasma zones separated by walls of insulating material. 1. A plate assembly for a reaction chamber comprising a plasma source , the plate assembly comprising:a first plate; anda second plate comprising at least two substantially concentric plate sections that are independently rotatable with respect to the first plate, wherein the first plate and second plate have apertures extending through the thickness of each plate, and wherein the first plate and second plate are substantially parallel and vertically aligned with one another such that either (i) the first plate is above the second plate, or (ii) the first plate is below the second plate.2. The plate assembly of claim 1 , wherein the second plate comprises at least three substantially concentric plate sections.30204. The plate assembly of claim 1 , wherein at least some of the apertures in at least one of the plates of the plate assembly have an aspect ratio between about .-..4. The plate assembly of claim 1 , wherein at least one of the plates of the plate assembly has an open area between about 40-60%.5. The plate assembly of ...

Подробнее
02-01-2020 дата публикации

SUPPORT ASSEMBLY

Номер: US20200006054A1
Принадлежит:

A method and apparatus for removing native oxides from a substrate surface is provided. In one aspect, the apparatus comprises a support assembly. In one embodiment, the support assembly includes a shaft coupled to a disk-shaped body. The shaft has a vacuum conduit, a heat transfer fluid conduit and a gas conduit formed therein. The disk-shaped body includes an upper surface, a lower surface and a cylindrical outer surface. A thermocouple is embedded in the disk-shaped body. A flange extends radially outward from the cylindrical outer surface, wherein the lower surface of the disk-shaped body comprises one side of the flange. A fluid channel is formed in the disk-shaped body proximate the flange and lower surface. The fluid channel is coupled to the heat transfer fluid conduit of the shaft. A plurality of grooves are formed in the upper surface of the disk-shaped body, and are coupled by a hole in the disk-shaped body to the vacuum conduit of the shaft. A gas conduit is formed through the disk-shaped body and couples the gas conduit of the shaft to the cylindrical outer surface of the disk-shaped body. The gas conduit in the disk-shaped body has an orientation substantially perpendicular to a centerline of the disk-shaped body. 1. A processing system , comprising:a transfer chamber;a plurality of load lock chambers in selective communication with the transfer chamber; anda plurality of processing chambers coupled to and in selective communication with the transfer chamber, wherein one of the plurality of processing chambers comprises an etch chamber adapted to remove native oxides from a substrate prior to processing in a remainder of the plurality of processing chambers.2. The processing system of claim 1 , wherein one of the remainder of the plurality of processing chambers comprises a deposition chamber.3. The processing system of claim 2 , wherein the deposition chamber comprises a CVD chamber adapted to form a film on the substrate.4. The processing system of ...

Подробнее
02-01-2020 дата публикации

Method of manufacturing semiconductor devices

Номер: US20200006078A1

In a method of forming a groove pattern extending in a first axis in an underlying layer over a semiconductor substrate, a first opening is formed in the underlying layer, and the first opening is extended in the first axis by directional etching to form the groove pattern.

Подробнее
03-01-2019 дата публикации

APPARATUS AND METHOD FOR PLASMA SYNTHESIS OF CARBON NANOTUBES

Номер: US20190006151A1
Принадлежит: FGV Cambridge Nanosystems Limited

Apparatus and method for plasma synthesis of carbon nanotubes couple a plasma nozzle to a reaction tube/chamber. A process gas comprising a carbon-containing species is supplied to the plasma nozzle. Radio frequency radiation is supplied to the process gas within the plasma nozzle, so as to sustain a plasma within the nozzle in use, and thereby cause cracking of the carbon-containing species. The plasma nozzle is arranged such that an afterglow of the plasma extends into the reaction tube/chamber. The cracked carbon-containing species also pass into the reaction tube/chamber. The cracked carbon-containing species recombine within the afterglow, so as to form carbon nanotubes in the presence of a catalyst. 1. Apparatus for plasma synthesis of carbon nanotubes , comprising:a plasma nozzle coupled to a reaction tube or chamber;means for supplying a process gas to the plasma nozzle, the process gas comprising a carbon-containing species;means for supplying radio frequency radiation to the process gas within the plasma nozzle, so as to sustain a plasma within the nozzle in use, and thereby cause cracking of the carbon-containing species; andmeans for providing a catalyst;wherein the plasma nozzle is arranged such that an afterglow of the plasma extends into the reaction tube/chamber, the cracked carbon-containing species also pass into the reaction tube/chamber, and the cracked carbon-containing species recombine within the afterglow, so as to form carbon nanotubes in the presence of the catalyst.246-. (canceled)47. A method of synthesising carbon nanotubes , the method comprising:coupling a plasma nozzle to a reaction tube or chamber;supplying a process gas to the plasma nozzle, the process gas comprising a carbon-containing species;supplying radio frequency radiation to the process gas within the plasma nozzle, so as to sustain a plasma within the nozzle, and thereby cause cracking of the carbon-containing species; andproviding a catalyst;wherein the plasma nozzle is ...

Подробнее
03-01-2019 дата публикации

THE PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20190006153A1
Принадлежит:

In order to provide a plasma processing apparatus or method with improved processing uniformity, a plasma processing apparatus includes: a processing chamber which is disposed inside a vacuum container; a sample stage which is disposed inside the processing chamber and has a top surface for placing a wafer corresponding to a processing target thereon; an electric field forming part which forms an electric field supplied into the processing chamber; a coil which forms a magnetic field for forming plasma inside the processing chamber by an interaction with the electric field; and a controller which increases or decreases intensity of the plasma inside the processing chamber by repeatedly increasing or decreasing intensity of the magnetic field formed by the coil at a predetermined interval, wherein the wafer is processed while the plasma is repeatedly formed and diffused. 1. A plasma processing apparatus comprising:a processing chamber which is disposed inside a vacuum container;a sample stage which is disposed inside the processing chamber and has a top surface for placing a wafer corresponding to a processing target thereon;an electric field forming part which forms an electric field supplied into the processing chamber;a coil which forms a magnetic field for forming plasma inside the processing chamber by an interaction with the electric field; anda controller which increases or decreases intensity of the plasma inside the processing chamber by repeatedly increasing or decreasing intensity of the magnetic field formed by the coil at a predetermined interval,wherein the wafer is processed while the plasma is repeatedly formed and diffused.2. The plasma processing apparatus according to claim 1 ,wherein the controller decreases the intensity of the magnetic field of the coil after the plasma is formed and a change in intensity of the plasma falls within a predetermined range.3. The plasma processing apparatus according to claim 1 ,wherein the controller increases the ...

Подробнее
03-01-2019 дата публикации

SILICON GERMANIUM SELECTIVE OXIDATION PROCESS

Номер: US20190006175A1
Автор: TJANDRA Agus Sofian
Принадлежит:

Implementations described herein relate to selective oxidation processes for semiconductor device manufacturing. In one implementation, the process includes delivering a substrate having a semiconductor device comprising at least a silicon material and a silicon germanium material formed thereon to a process chamber. Process variables are determined based upon the germanium concentration of the silicon germanium material and a desired oxide thickness and a selective oxidation process is performed utilizing the determined process variables. 1. A selective oxidation method , comprising:heating a process region of a process chamber to a temperature less than about 700° C.;generating reactive species comprising hydrogen and oxygen in the process region; andexposing a substrate comprising at least a silicon material and a silicon germanium material to the reactive species to selectively oxidize the silicon germanium material preferentially to the silicon material.2. The method of claim 1 , wherein the silicon material and the silicon germanium material are simultaneously exposed to the reactive species.3216. The method of claim 2 , wherein the silicon germanium material is selectively oxidized at a rate of between times and times greater than an oxidation rate of the silicon material.4. The method of claim 1 , wherein the reactive species are hydroxyl radicals.5. The method of claim 1 , wherein the reactive species are hydroxide ions.6. The method of claim 1 , wherein the reactive species are hydrogen and oxygen radicals.7. The method of claim 1 , wherein the reactive species are hydrogen and oxygen ions.8. The method of claim 1 , wherein a ratio of oxygen to hydrogen is between 19:1 and 1:9.9. The method of claim 1 , further comprising:generating hydroxyl radicals remotely from the process chamber and delivering the hydroxyl radicals to the process region.10. The method of claim 1 , further comprising:generating hydrogen and oxygen radicals remotely from the process ...

Подробнее
08-01-2015 дата публикации

PLASMA PROCESSING DEVICE AND HIGH-FREQUENCY GENERATOR

Номер: US20150007940A1
Принадлежит: TOKYO ELECTRON LIMITED

Provided is a plasma processing device which processes an object to be processed using plasma. The plasma processing device includes: a processing container configured to perform a processing by the plasma therein; and a plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves. The plasma generation mechanism is configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator. The high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator. The signal has a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and has reduced different frequency components. 1. A plasma processing device which processes an object to be processed using plasma , the plasma processing device comprising:a processing container configured to perform a processing by the plasma therein; anda plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves, and the plasma generation mechanism being configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator,wherein the high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator, the signal having a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and having reduced different frequency components.2. The plasma processing device of claim 1 , wherein the high-frequency generator includes an isolator configured to transmit a frequency signal unidirectionally from the high-frequency ...

Подробнее
20-01-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220020569A1
Автор: Hirayama Masaki
Принадлежит:

In an example of an embodiment, a plasma processing apparatus includes a processing container, a stage, an upper electrode, an inlet, and a waveguide device. The stage is provided within the processing container. The upper electrode is provided above the stage, to interpose a space within the processing container. The inlet is configured to introduce high-frequency waves. The high-frequency waves are VHF waves or UHF waves. The inlet is provided at an end of the space in the lateral direction, and extends in a circumferential direction around a central axis of the processing container. The waveguide device is configured to supply high-frequency waves to the inlet. The waveguide device includes a resonator that provides a waveguide. The waveguide of the resonator extends in the circumferential direction around the central axis and extends in the direction in which the central axis extends to be connected to the inlet. 110-. (canceled)11. A plasma processing apparatus comprising:a processing container;a stage provided within the processing container;an upper electrode provided above the stage, to interpose a space within the processing container;an inlet configured to introduce high-frequency waves that are VHF waves or UHF waves, the inlet being provided at an end of the space in a lateral direction and extending in a circumferential direction around a central axis of the processing container; anda waveguide device configured to supply the high-frequency waves to the inlet,wherein the waveguide device includes a resonator that provides a waveguide, andthe waveguide of the resonator extends in the circumferential direction around the central axis and extends in a direction in which the central axis extends to be connected to the inlet.12. The plasma processing apparatus of claim 11 , wherein the waveguide has a tubular shape.13. The plasma processing apparatus of claim 12 , wherein the waveguide includes one end and another end in the direction in which the central ...

Подробнее
20-01-2022 дата публикации

SWITCHABLE DELIVERY FOR SEMICONDUCTOR PROCESSING SYSTEM

Номер: US20220020570A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing systems may include a processing chamber including a lid stack having an output manifold. The systems may include a gas panel. The systems may include an input manifold. The input manifold may fluidly couple the gas panel with the output manifold of the processing chamber. A delivery line may extend from the input manifold to the output manifold. The systems may include a first transmission line extending from a first set of precursor sources of the gas panel to the delivery line. The systems may include a second transmission line extending from a second set of precursor sources of the gas panel to the delivery line. The second transmission line may be switchably coupled between the delivery line and an exhaust of the semiconductor processing system. 1. A semiconductor processing system comprising:a processing chamber including a lid stack having an output manifold;a gas panel;an input manifold, the input manifold fluidly coupling the gas panel with the output manifold of the processing chamber, wherein a delivery line extends from the input manifold to the output manifold;a first transmission line extending from a first set of precursor sources of the gas panel to the delivery line; anda second transmission line extending from a second set of precursor sources of the gas panel to the delivery line, wherein the second transmission line is switchably coupled between the delivery line and an exhaust of the semiconductor processing system.2. The semiconductor processing system of claim 1 , wherein the first set of precursor sources comprises a process precursor and a carrier precursor claim 1 , and wherein the second set of precursor sources comprises a treatment precursor.3. The semiconductor processing system of claim 1 , further comprising:a remote plasma source coupled with the lid stack; anda third transmission line extending from the gas panel to the remote plasma source.4. The semiconductor processing system of claim 1 , ...

Подробнее
10-01-2019 дата публикации

POLARIZATION DIELECTRIC DISCHARGE SOURCE FOR IMS INSTRUMENT

Номер: US20190011400A1
Автор: Nacson Sabatino
Принадлежит:

An IMS ionizer comprising a wire, a second conductor, and a dielectric, when the first conductor and second conductor are energized to an ionization voltage, discharge ionization occurs. The dielectric is a glass element formed in a tubular shape defining an inner wall. The wire is formed in coils in contact with said inner wall. The second conductor is positioned to define an outer wall of the tube. The tube has an inlet end for receiving the sample, and an outlet end through which the sample exits after ionization. 1. An ionizing apparatus for use in ionizing molecules in a test sample to be analyzed using an ion-mobility spectrometer , the apparatus comprising:a first conductor, a second conductor, and a dielectric, the first conductor, second conductor, and dielectric being sized, shaped and mutually positioned such that when the first conductor and second conductor are energized to an ionization voltage, discharge ionization occurs at an interface between the first conductor and the dielectric;the dielectric comprising a glass element formed in a tubular shape so as to define an inner wall of a tube, the dielectric being positioned between the first conductor and the second conductor to insulate the first conductor from the second conductor;the first conductor comprising a wire formed in coils that are in contact with said inner wall, and being electrically connectable to an AC voltage pulse generator;the second conductor comprising a conducting layer positioned so as to define an outer wall of the tube, and being electrically connectable to the AC voltage pulse generator;the tube comprising an inlet end for receiving the sample, and an outlet end through which the sample exits after ionization.2. An apparatus as claimed in claim 1 , wherein the wire comprises a generally helically shaped wire defining a longitudinal axis claim 1 , and wherein said longitudinal axis is itself shaped generally helically so as to form said coils with said wire.3. An ionizing ...

Подробнее
12-01-2017 дата публикации

PULSED REMOTE PLASMA METHOD AND SYSTEM

Номер: US20170011889A1
Автор: Winkler Jereld Lee
Принадлежит:

A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber. 1. A method for providing excited species to a reaction chamber of a reactor , the method comprising the steps of:providing a first gas to a remote plasma unit;controlling a pressure of the remote plasma unit;forming a plasma in a remote plasma unit; andpulsing first excited species to the reaction chamber, while maintaining steady-state conditions for the remote plasma unit.2. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising the steps of:providing a second reactant to the remote plasma unit to form a second excited species; andpulsing the second excited species to the reaction chamber.3. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of controlling a pressure of the remote plasma unit comprises using a closed-loop upstream pressure controller.4. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of forming a plasma in a remote plasma unit comprises forming a plasma using a unit selected from the group consisting of inductively couple plasma unit and microwave unit.5. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of pulsing the first excited species to the reaction chamber comprises controlling a valve between the remote plasma unit and the reaction chamber.6. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of depositing material onto a surface of a substrate.7. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of ...

Подробнее
12-01-2017 дата публикации

PRETREATMENT METHOD FOR PHOTORESIST WAFER PROCESSING

Номер: US20170011906A1
Принадлежит:

Certain embodiments herein relate to methods and apparatus for processing a partially fabricated semiconductor substrate in a remote plasma environment. The methods may be performed in the context of wafer level packaging (WLP) processes. The methods may include exposing the substrate to a reducing plasma to remove photoresist scum and/or oxidation from an underlying seed layer. In some cases, photoresist scum is removed through a series of plasma treatments involving exposure to an oxygen-containing plasma followed by exposure to a reducing plasma. In some embodiments, an oxygen-containing plasma is further used to strip photoresist from a substrate surface after electroplating. This plasma strip may be followed by a plasma treatment involving exposure to a reducing plasma. The plasma treatments herein may involve exposure to a remote plasma within a plasma treatment module of a multi-tool electroplating apparatus. 1. A method of removing photoresist scum and electroplating metal into photoresist features , the method comprising: (i) at least one plasma treatment module comprising a plasma treatment chamber and a plasma generation chamber connected to the plasma treatment chamber; and', '(ii) at least one electroplating module comprising an electroplating chamber;', 'wherein the substrate comprises:', '(i) a metal seed layer, and', '(ii) a layer of photoresist over and directly in contact with the metal seed layer, wherein the layer of photoresist comprises photoresist features patterned therein, and wherein a bottom of the photoresist features comprise photoresist scum;, '(a) receiving a substrate in a multi-tool electroplating apparatus, the multi-tool electroplating apparatus comprising(b) generating a reducing plasma from a reducing plasma generation gas in the plasma generation chamber;(c) flowing the reducing plasma from the plasma generation chamber into the plasma treatment chamber to thereby expose the substrate to the reducing plasma, react the ...

Подробнее
14-01-2016 дата публикации

Systems and methods for producing energetic neutrals

Номер: US20160013020A1
Принадлежит: Lam Research Corp

Systems and methods for producing energetic neutrals include a remote plasma generator configured to generate plasma in a plasma region. An ion extractor is configured to extract high energy ions from the plasma. A substrate support is arranged in a processing chamber and is configured to support a substrate. A neutral extractor and gas dispersion device is arranged between the plasma region and the substrate support. The neutral extractor and gas dispersion device is configured to extract energetic neutrals from the high energy ions, to supply the energetic neutrals to the substrate and to disperse precursor gas into the processing chamber.

Подробнее
14-01-2016 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20160013024A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
14-01-2016 дата публикации

Substrate Processing Device and Method of Handling Particles Thereof

Номер: US20160013031A1
Принадлежит: PSK Inc

Provided are a substrate processing device and a method of handing particles thereof. The substrate processing device includes: a process chamber providing a space in which a substrate is processed; a substrate support unit arranged in the process chamber and supporting the substrate; a plasma chamber providing a space in which plasma is generated; a gas supply unit supplying a process gas to the plasma chamber; a plasma source installed in the plasma chamber, wherein the plasma source generates the plasma from the process gas; a radio frequency (RF) power supply providing the plasma source with an RF signal for generating the plasma; a baffle arranged on the substrate support unit, wherein the baffle evenly supplies the plasma to a processing space in the process chamber; a direct current (DC) power supply applying a DC voltage to the baffle; a discharge unit discharging a particle generated in the process chamber by substrate processing; and a control unit controlling the DC power supply and handing the particle to prevent the contamination of the substrate by the particle.

Подробнее
14-01-2016 дата публикации

Bottom-up peald process

Номер: US20160013043A1

The present disclosure relates to a method and apparatus for performing a plasma enhanced ALD (PEALD) process that provides for improved step coverage. The process introduces a precursor gas into a processing chamber comprising a semiconductor workpiece. The first gas is ionized to from a plurality of ionized precursor molecules. A bias voltage is subsequently applied to the workpiece. The bias voltage attracts the ionized precursor molecules to the workpiece, so as to provide anisotropic coverage of the workpiece with the precursor gas. A reactant gas is introduced into the processing chamber. A plasma is subsequently ignited from the reactant gas, causing the reactant gas to react with the ionized precursor molecules that have been deposited onto the substrate to form a deposited layer on the workpiece.

Подробнее
14-01-2016 дата публикации

Method of generating plasma in remote plasma source and method of fabricating semiconductor device using the same method

Номер: US20160013064A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided are a method of generating plasma and a method of fabricating a semiconductor device including the method, which may improve selectivity in an etching process and minimize damage to layers. The method of generating plasma includes generating first plasma by supplying at least one first process gas into a first remote plasma source (RPS) and applying first energy having a first power at a first duty ratio, and generating second plasma by supplying at least one second process gas into a second RPS and applying second energy having a second power at a second duty ratio.

Подробнее
15-01-2015 дата публикации

Microwave plasma processing apparatus and microwave supplying method

Номер: US20150015139A1
Принадлежит: Tokyo Electron Ltd

A microwave plasma processing apparatus includes a processing space; a microwave generator which generates microwaves for generating a plasma; a distributor which distributes the microwaves to a plurality of waveguides; an antenna installed in a processing container to seal the processing space and to radiate microwaves distributed by the distributor, to the processing space; and a monitor unit configured to monitor a voltage of each of the plurality of waveguides. A control unit acquires a control value of a distribution ratio of the distributor, which corresponds to a difference between a voltage monitor value of the monitor unit and a predetermined voltage reference value, from a storage unit that stores the difference and the control value corresponding to each other. The control unit is also configured to control the distribution ratio of the distributor, based on the acquired control value.

Подробнее
14-01-2021 дата публикации

EFFICIENT NANOSECOND PULSER WITH SOURCE AND SINK CAPABILITY FOR PLASMA CONTROL APPLICATIONS

Номер: US20210013011A1
Принадлежит:

Some embodiments include a high voltage, high frequency switching circuit. In some embodiments, the high voltage, high frequency switching circuit includes a high voltage switching power supply that produces pulses having a voltage greater than 1 kV and with frequencies greater than 10 kHz; a transformer having a primary side and secondary side; an output electrically coupled with the secondary side of the transformer; and a primary sink electrically coupled with the primary side of the transformer and in parallel with the high voltage switching power supply, the primary sink comprising at least one resistor that discharges a load coupled with the output, 1. A high voltage , high frequency switching circuit comprising:a high voltage switching power supply that produces pulses having a voltage greater than 1 kV and with frequencies greater than 10 kHz;a transformer having a primary side and secondary side;an output electrically coupled with the secondary side of the transformer; anda primary sink electrically coupled with the primary side of the transformer and in parallel with the high voltage switching power supply, the primary sink comprising at least one resistor that discharges a load coupled with the output.2. The high voltage claim 1 , high frequency switching circuit according to claim 1 , wherein the primary sink is configured to dissipate over about 1 kilowatt of average power.3. The high voltage claim 1 , high frequency switching circuit according to claim 1 , wherein the primary sink comprises at least on inductor in series with the at least one resistor.4. The high voltage claim 1 , high frequency switching circuit according to claim 1 , wherein the primary sink comprises a switch in series with the at least one resistor.5. The high voltage claim 1 , high frequency switching circuit according to claim 1 , wherein the output is coupled with a plasma load that is largely capacitive.6. The high voltage claim 1 , high frequency switching circuit according to ...

Подробнее
14-01-2021 дата публикации

Plasma Spreading Apparatus And System

Номер: US20210013013A1
Принадлежит:

A device and method of spreading plasma which allows for plasma etching over a larger range of process chamber pressures. A plasma source, such as a linear inductive plasma source, may be choked to alter back pressure within the plasma source. The plasma may then be spread around a deflecting disc which spreads the plasma under a dome which then allows for very even plasma etch rates across the surface of a substrate. The apparatus may include a linear inductive plasma source above a plasma spreading portion which spreads plasma across a horizontally configured wafer or other substrate. The substrate support may include heating elements adapted to enhance the etching. 1. A plasma etching process chamber , said plasma etching process chamber comprising:a plasma source, said plasma source comprising a first end and a second end, said first end comprising a gas input portion, said plasma source coupled to a process chamber at a second end;a process chamber;a constricting plate adapted to constrict the flow of plasma from said plasma source, said constricting plate at said second end of said plasma source, said constricting plate comprising an annulus;a spreading disc, said spreading disc adapted to spread the flow of plasma after the plasma has flowed through said constricting plate, said spreading disc disposed between said constricting plate and the substrate support, said spreading disc centered below said annulus of said constricting plate;a substrate support, said support adapted to support a substrate in the spread plasma flow, said substrate support residing within said process chamber, said substrate support centered below said spreading disc; anda vacuum system, said vacuum system adapted to evacuate said process chamber.2. The plasma etching process chamber of wherein said plasma source is a linear-inductive plasma source.3. The plasma etching process chamber of wherein said plasma source has a cylindrical plasma chamber.4. The plasma etching process chamber ...

Подробнее
09-01-2020 дата публикации

MICROWAVE OUTPUT DEVICE AND PLASMA PROCESSING DEVICE

Номер: US20200013587A1
Автор: KANEKO Kazushi, ONUMA Yuji
Принадлежит: TOKYO ELECTRON LIMITED

A device includes a microwave generation unit that averages the first measured values and the second measured values at a predetermined movement average time and a predetermined sampling interval, and controls the microwave such that a value obtained by subtracting the averaged second measured value from the averaged first measured value comes close to the setting power, and in which the predetermined movement average time is 60 μs or less, and a relationship of y≥78.178xis satisfied when the predetermined sampling interval is indicated by x, and the predetermined movement average time is indicated by y. 1. A microwave output device comprising:a microwave generation unit configured to generate a microwave having a center frequency, power, and a bandwidth respectively corresponding to a setting frequency, setting power, and a setting bandwidth for which instructions are given from a controller;an output portion configured to output the microwave propagating from the microwave generation unit;a first directional coupler configured to output parts of travelling waves propagating toward the output portion from the microwave generation unit;a second directional coupler configured to output parts of reflected waves returning to the output portion; anda measurement unit configured to determine first measured values indicating power levels of the travelling waves in the output portion on the basis of the parts of the travelling waves output from the first directional coupler, and determine second measured values indicating power levels of the reflected waves in the output portion on the basis of the parts of the reflected waves output from the second directional coupler,wherein the microwave generation unit averages the first measured values and the second measured values at a predetermined movement average time and a predetermined sampling interval, and controls the microwave such that a value obtained by subtracting the averaged second measured value from the averaged ...

Подробнее
03-02-2022 дата публикации

Silicide film nucleation

Номер: US20220033970A1
Принадлежит: Applied Materials Inc

Embodiments disclosed herein are directed to forming MOSFET devices. In particular, one or more pre-silicide treatments are performed on a substrate prior to the deposition of the metal-silicide layer to improve the density and performance of the metal-silicide layer in the MOSFETs. The metal-silicide formation formed with the pre-silicide treatment(s) can occur before or after the formation of metal gates during MOSFET fabrication.

Подробнее
21-01-2021 дата публикации

METHOD AND APPARATUS FOR PRE-TREATING A CATHETER

Номер: US20210016318A1
Автор: Fuchs Eliezer, Lam Amnon
Принадлежит:

A method for pre-treating a catheter prior to using the catheter in a medical procedure, and a related apparatus, are provided. The method comprises exposing, in a plasma chamber positioned in a medical care center, and under sterile conditions, intraluminal surfaces and extraluminal surfaces of the catheter to plasma. The plasma is electromagnetically-generated adjacently to the intraluminal surfaces and extraluminal surfaces, thereby rendering, at least temporarily, the intraluminal surfaces and extraluminal surfaces of the catheter hydrophilic. 115-. (canceled)16. A method for preparing a catheter for an installation procedure in a live subject by increasing a catheter's surface's wettability , the method comprising:providing the catheter, wherein the catheter is sterile and has an extraluminal surface and an intraluminal surface, the intraluminal surface defining an elongated internal lumen of the catheter;generating plasma adjacent to at least a portion of the intraluminal and extraluminal surfaces, by providing electromagnetic (EM) power to at least one internal electrode inside the elongated internal lumen of the catheter, wherein the electrode is detachable from the catheter; andunder sterile conditions, detaching the at least one internal electrode from the catheter, thereby providing the catheter with hydrophilic intraluminal and extraluminal surfaces along at least a portion thereof.17. The method of claim 16 , further comprising placing claim 16 , under sterile conditions claim 16 , the catheter in a sterile plasma chamber prior to the act of generating the plasma.18. The method of claim 17 , further comprising pumping gas from the plasma chamber and/or flowing gas into the plasma chamber.19. The method of claim 16 , further comprising positioning at least one external electrode outside the elongated internal lumen claim 16 , wherein the plasma is generated in the act of generating the plasma by a plasma-generating EM field between the internal electrode ...

Подробнее
21-01-2016 дата публикации

Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates

Номер: US20160020071A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure generally relate to methods for conditioning an interior wall surface of a remote plasma generator. In one embodiment, a method for processing a substrate is provided. The method includes exposing an interior wall surface of a remote plasma source to a conditioning gas that is in excited state to passivate the interior wall surface of the remote plasma source, wherein the remote plasma source is coupled through a conduit to a processing chamber in which a substrate is disposed, and the conditioning gas comprises an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof. The method has been observed to be able to improve dissociation/recombination rate and plasma coupling efficiency in the processing chamber, and therefore provides repeatable and stable plasma source performance from wafer to wafer.

Подробнее
19-01-2017 дата публикации

Method for Activating an Inner Surface of a Substrate Tube for the Manufacturing of an Optical-Fiber Preform

Номер: US20170018406A1
Принадлежит:

A method activates the inner surface of a substrate tube via plasma etching with a fluorine-containing etching gas. An exemplary method includes the steps of (i) supplying a supply flow of gas to the interior of a substrate tube, wherein the supply flow includes a main gas flow and a fluorine-containing etching gas flow, (ii) inducing a plasma via electromagnetic radiation to create a plasma zone within the substrate tube's interior, and (iii) longitudinally reciprocating the plasma zone over the length of the substrate tube between a reversal point near the supply side and a reversal point near the discharge side of the substrate tube. The flow of the fluorine-containing etching gas is typically provided when the plasma zone is near the supply side reversal point. 1. A method for activating an inner surface of a substrate tube for the manufacturing of an optical-fiber preform by means of plasma etching with a fluorine-containing etching gas , the plasma etching comprising the steps of:supplying a supply flow of gas to a central cavity of a substrate tube, wherein the supply flow comprises a main gas flow and a fluorine-containing etching gas flow;inducing a plasma by means of electromagnetic radiation in at least a part of the substrate tube to create a plasma zone in the central cavity of the substrate tube; andmoving the plasma zone back and forth in longitudinal direction over the length of the substrate tube between a reversal point located near the supply side and a reversal point located near the discharge side of the substrate tube;wherein the flow of the fluorine-containing etching gas is provided only when the plasma zone is present between the reversal point near the supply side and a pre-determined axial position located between the reversal point near the supply side and the reversal point near the discharge side.2. The method according to claim 1 , wherein the pre-determined axial position located between the reversal point near the supply side and the ...

Подробнее
19-01-2017 дата публикации

PLASMA INDUCED FLOW ELECTRODE STRUCTURE, PLASMA INDUCED FLOW GENERATION DEVICE, AND METHOD OF MANUFACTURING PLASMA INDUCED FLOW ELECTRODE STRUCTURE

Номер: US20170018409A1
Принадлежит:

In one embodiment, a plasma induced flow electrode structure has an electrode block, an insulating layer and an electrode layer. The electrode block has first and second surfaces and through holes penetrating between these first and second surfaces. The insulating layer is disposed on the first surface and inside the through holes. The electrode layer is disposed on the insulating layer of the first surface. 1. A plasma induced flow electrode structure comprising:an electrode block including a first and a second surface and a plurality of through holes penetrating between the first and second surfaces;an insulating layer disposed on the first surface and inside the through holes; andan electrode layer disposed on the insulating layer on the first surface.2. The plasma induced flow electrode structure of claim 1 ,wherein the electrode layer extends onto the insulating layer inside the through holes.3. The plasma induced flow electrode structure of claim 1 ,wherein the electrode layer is not disposed in a part of first areas on the first surface and around the through holes.4. The plasma induced flow electrode structure of claim 3 , further comprisinga second electrode layer disposed in a part of second areas on the second surface and around the through holes.5. The plasma induced flow electrode structure of claim 1 , further comprisingan insulating plate disposed between the insulating layer and the electrode layer, the insulating plate including third and fourth surfaces and a plurality of second through holes, the second through holes penetrating between the third and fourth surfaces and corresponding to the through holes.6. The plasma induced flow electrode structure of claim 5 ,wherein the electrode layer extends to inside the second through holes.7. The plasma induced flow electrode structure of claim 5 ,wherein the insulating plate includes projecting portions disposed around the second through holes and engaged with the through holes.8. The plasma induced flow ...

Подробнее
03-02-2022 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20220037124A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus for generating plasma from a processing gas using microwaves and performing plasma processing on a substrate is provided. The apparatus includes a processing chamber having a substrate support on which the substrate is placed; a plurality of microwave radiation units arranged at a central portion and an outer peripheral portion of a ceiling wall of the processing chamber and configured to radiate microwaves; and a controller configured to complete microwave radiation from the microwave radiation unit in the central portion upon completion of plasma processing of the substrate and then complete microwave radiation from the microwave radiation units in the outer peripheral portion.

Подробнее
16-01-2020 дата публикации

DEPOSITION METHOD

Номер: US20200017968A1
Принадлежит:

A deposition method includes: forming an adsorption inhibiting region on an adsorption site formed on a substrate, by causing the adsorption site to adsorb adsorption inhibiting radicals by a predetermined amount; causing an area on the adsorption site, on which the adsorption inhibiting region is not formed, to adsorb a raw material gas; and depositing a film of a reaction product on the adsorption site by causing the raw material gas adsorbed on the adsorption site to react with a reactant gas activated by a plasma. 1. A deposition method comprising:forming an adsorption inhibiting region on an adsorption site formed on a substrate, by causing the adsorption site to adsorb adsorption inhibiting radicals by a predetermined amount;causing an area on the adsorption site, on which the adsorption inhibiting region is not formed, to adsorb a raw material gas; anddepositing a film of a reaction product on the adsorption site by causing the raw material gas adsorbed on the adsorption site to react with a reactant gas activated by a plasma.2. The deposition method according to claim 1 , wherein the adsorption inhibiting radicals are generated by a remote plasma generator.3. The deposition method according to claim 1 , wherein the reactant gas is activated by an inductively coupled plasma.4. The deposition method according to claim 1 , wherein an amount of the raw material gas to be adsorbed is controlled by controlling an amount of the adsorption inhibiting radicals to be adsorbed claim 1 , in order to control a film density of the reaction product.5. The deposition method according to claim 4 , wherein the amount of the raw material gas to be adsorbed is decreased by increasing the amount of the adsorption inhibiting radicals to be adsorbed claim 4 , in order to increase the film density of the reaction product.6. The deposition method according to claim 4 , wherein the amount of the adsorption inhibiting radicals to be adsorbed is set such that the amount of the raw ...

Подробнее
03-02-2022 дата публикации

Plasma-assisted etching of metal oxides

Номер: US20220037163A1

The present disclosure describes methods and systems for plasma-assisted etching of a metal oxide. The method includes modifying a surface of the metal oxide with a first gas, removing a top portion of the metal oxide by a ligand exchange reaction, and cleaning the surface of the metal oxide with a second gas.

Подробнее
18-01-2018 дата публикации

Microwave control method

Номер: US20180019103A1
Принадлежит: Tokyo Electron Ltd

A microwave control method is used in a microwave plasma processing apparatus including a microwave generation unit, a waveguide for guiding a microwave generated by the microwave generation unit, a tuner for controlling a position of a movable short-circuiting plate, and a stub provided between the tuner and an antenna in the waveguide and insertable into an inner space of the waveguide. The method incudes detecting the position of the movable short-circuiting plate controlled by the tuner for the microwave outputted by the microwave generation unit, determining whether or not a difference between a reference position and the detected position of the movable short-circuiting plate is within a tolerable range, and controlling an insertion length of the stub into the inner space of the waveguide when it is determined that the difference between the position of the movable short-circuiting plate and the reference position is not within the tolerable range.

Подробнее
18-01-2018 дата публикации

PLASMA EQUIPMENT FOR TREATING POWDER

Номер: US20180019105A1
Принадлежит:

A powder plasma processing apparatus is disclosed. The powder plasma processing apparatus is a powder plasma processing apparatus of a circular surface discharge plasma module, and the apparatus includes a plate-like electrode layer serving as an external surface of the circular surface discharge plasma module, an insulating layer disposed on an internal surface of the plate-like electrode layer, and a plasma generating electrode disposed on the insulating layer, wherein the circular surface discharge plasma module rotates, an alternating voltage is applied to the plasma generating electrode and the plate-like electrode layer to generate plasma around the plasma generating electrode, and a powder for plasma processing is processed by the plasma within the circular surface discharge plasma module. 17-. (canceled)8. A method of processing a powder using a powder surface processing apparatus of a rotary cylindrical surface discharge plasma module: a plate-like electrode layer serving as an external surface of the cylindrical surface discharge plasma module;', 'an insulating layer disposed on an internal surface of the plate-like electrode layer; and', 'a plurality of plasma generating electrodes in the form of a bar which are disposed on the insulating layer at intervals,', 'wherein the cylindrical surface discharge plasma module is configured to rotate and the plate-like electrode layer, insulating layer, and plurality of plasma generating electrodes are configured to rotate with the cylindrical surface discharge plasma module, the cylindrical surface discharge plasma module is configured to apply an alternating voltage to the plurality of plasma generating electrodes and the plate-like electrode layer to generate plasma around the plurality of plasma generating electrodes, and the cylindrical surface discharge plasma module is configured to position a powder on the plasma generating electrodes and treat the surface of the powder by the generated plasma,', 'wherein ...

Подробнее
21-01-2021 дата публикации

RADICAL ASSIST IGNITION PLASMA SYSTEM AND METHOD

Номер: US20210020467A1
Автор: Sekiguchi Hiroo
Принадлежит:

Plasma-assisted methods and apparatus are disclosed. The methods and apparatus can be used to provide activated species formed in a remote plasma unit to a reaction chamber to assist ignition of a plasma within a reaction chamber coupled to the remote plasma unit. 1. A method of forming patterned features on a substrate , the method comprising the steps of:providing the substrate within a reaction chamber;using a remote plasma unit, forming first activated species;providing the first activated species to the reaction chamber for a first time interval; andafter initiating the step of providing the first activated species to the reaction chamber, forming second activated species within the reaction chamber for a second time interval,wherein the first time interval and the second time interval overlap.2. The method of claim 1 , further comprising a step of providing argon to the remote plasma unit.3. The method of claim 1 , wherein the substrate comprises features.4. The method of claim 3 , further comprising a step of trimming the features using the second activated species.5. The method of claim 4 , wherein the features comprise carbon.6. The method of claim 5 , wherein the features comprise one or more of photoresist claim 5 , spin-on carbon (SOC) material claim 5 , and carbon hard mask (CHM) material.7. The method of claim 1 , wherein the second reactive species are formed using a direct plasma.8. The method of claim 1 , wherein the step of forming the second reactive species comprises providing an oxygen-containing gas to the reaction chamber.9. The method of claim 8 , wherein the oxygen-containing gas comprises one or more gases selected from the group comprising O claim 8 , CO claim 8 , and NO.10. The method of claim 8 , wherein the oxygen-containing gas is not supplied to the remote plasma unit.11. A method of trimming features on a substrate claim 8 , the method comprising the steps of:providing the substrate within a reaction chamber;using a remote plasma ...

Подробнее
22-01-2015 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20150024609A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
16-01-2020 дата публикации

Plasma Source Having a Dielectric Plasma Chamber with Improved Plasma Resistance

Номер: US20200022246A1
Принадлежит: BARCLAYS BANK PLC

A plasma chamber of a plasma processing system is provided. The plasma chamber defines a plasma channel having a first side and a second side oppositely disposed along a length of the plasma channel. The plasma chamber comprises a first section and a second section constructed from a dielectric material and an interface that bonds together the first and second sections at between a first flange of the first section and a third flange of the second section and between a second flange of the first section and a fourth flange of the second section.

Подробнее
28-01-2021 дата публикации

DEVICES, SYSTEMS, AND METHODS FOR STERILIZATION, DISINFECTION, SANITIZATION AND DECONTAMINATION

Номер: US20210023250A1
Принадлежит:

A sterilization, disinfection, sanitization, or decontamination system having a chamber defining a region, and a generator for creating a free radical effluent with reactive oxygen, nitrogen, and other species and/or a vaporizer. A closed loop circulating system without a free-radical destroyer is provided for supplying the mixture of free radicals from the generator mixed with the hydrogen peroxide solution in the form of the effluent to the chamber. The system is used in sterilizing, disinfecting, sanitizing, or decontaminating items in the chamber or room and, with a wound chamber, in treating wounds on a body. The wound chamber may be designed to maintain separation from wounds being treated. Various embodiments can control moisture to reduce or avoid unwanted condensation. Some embodiments can be incorporated into an appliance having a closed space, such as a washing machine. Some embodiments may include a residual coating device that deposits a bactericidal coating on sterilized items. 150-. (canceled)51. A method for sterilizing or disinfecting at least one item , the method comprising:placing the at least one item into a chamber configured to contain the at least one item;activating a conditioning phase, the conditioning phase comprising activating a blower to circulate air in a closed loop to dry the chamber; pumping sterilant with a peristaltic pump from a sterilant reservoir to a nebulizer;', 'converting sterilant into a vapor with the nebulizer;', 'activating the blower to circulate air, including the vapor, in the closed loop between the nebulizer and the chamber;', 'activating an ozone generator to generate ozone;', 'activating the blower to circulate air, including the ozone, in the closed loop between the ozone generator and the chamber;', 'activating a purging phase, the purging phase comprising:', 'activating a valve to allow air to flow into the system through an inlet;', 'activating a valve to allow air to flow out of the system through an ...

Подробнее
28-01-2016 дата публикации

Processing systems and methods for halide scavenging

Номер: US20160027673A1
Принадлежит: Applied Materials Inc

Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.

Подробнее
25-01-2018 дата публикации

Edge Exclusion Control With Adjustable Plasma Exclusion Zone Ring

Номер: US20180025893A1
Автор: Kim Keechan, Kim Yansung
Принадлежит:

Systems and methods for edge exclusion control are described. One of the systems includes a plasma chamber. The plasma processing chamber includes a lower electrode having a surface for supporting a substrate. The lower electrode is coupled with a radio frequency (RF) power supply. The plasma processing chamber further includes an upper electrode disposed over the lower electrode. The upper electrode is electrically grounded. The plasma processing chamber includes an upper dielectric ring surrounding the upper electrode. The upper dielectric ring is moved using a mechanism for setting a vertical position of the upper dielectric ring separate from a position of the upper electrode. The system further includes an upper electrode extension surrounding the upper dielectric ring. The upper electrode extension is electrically grounded. The system also includes a lower electrode extension surrounding the lower dielectric ring. The lower electrode extension is arranged opposite the upper electrode extension. 1. A system for controlling a size of an edge exclusion region , comprising:an upper electrode;an upper plasma exclusion zone (PEZ) ring located besides the upper electrode;an upper electrode extension located besides the upper PEZ ring;a system controller configured to generate signals regarding a first position and a second position of the upper PEZ ring;an actuator; anda position controller coupled to the system controller and the actuator, wherein the position controller is configured to receive the signals from the system controller, wherein the position controller is configured to control the actuator based on the signals to achieve the first position and the second position, wherein the first and second positions are achieved independent of any movement of the upper electrode.2. The system of claim 1 , further comprising a lower PEZ ring positioned below the upper PEZ ring claim 1 , wherein an edge processing region is formed between the upper PEZ ring and the ...

Подробнее
26-01-2017 дата публикации

METHOD AND APPARATUS FOR GAS ABATEMENT

Номер: US20170027049A1
Принадлежит:

Embodiments disclosed herein include a plasma source, an abatement system and a vacuum processing system for abating compounds produced in semiconductor processes. In one embodiment, a plasma source includes a dielectric tube and a coil antenna surrounding the tube. The coil antenna includes a plurality of turns, and at least one turn is shorted. Selectively shorting one or more turns of the coil antenna helps reduce the inductance of the coil antenna, allowing higher power to be supplied to the coil antenna that covers more processing volume. Higher power supplied to the coil antenna and larger processing volume lead to an improved DRE. 1. A plasma source , comprising:a dielectric tube; anda coil antenna surrounding the dielectric tube, wherein the coil antenna comprises a plurality of turns, and wherein at least one turn of the plurality of turns is shorted.2. The plasma source of claim 1 , wherein the at least one turn of the plurality of turns is shorted by connecting a first pair of turns of the plurality of turns with a first metal connector.3. The plasma source of claim 2 , wherein the first pair of turns comprises two adjacent turns.4. The plasma source of claim 2 , wherein the first pair of turns comprises two turns claim 2 , and the plasma source further comprises one or more turns between the two turns.5. The plasma source of claim 2 , further comprising connecting a second pair of turns of the plurality of turns with a second metal connector.6. The plasma source of claim 2 , wherein the first metal connector comprises an electrical grade conductive material.7. The plasma source of claim 6 , wherein the electrical grade conductive material comprises copper claim 6 , aluminum claim 6 , or brass.8. The plasma source of claim 1 , wherein the dielectric tube comprises aluminum nitride claim 1 , sapphire claim 1 , or quartz.9. An abatement system claim 1 , comprising:a power source; and a dielectric tube having an inlet and an outlet; and', 'a coil antenna ...

Подробнее
10-02-2022 дата публикации

PLASMA TRANSPORT CHANNEL DEVICE AND COATING EQUIPMENT

Номер: US20220044911A1
Автор: Zhang Xinfeng
Принадлежит:

The present invention relates to a plasma transport channel device and coating equipment, including a channel body. An A channel configured for a transport of a plasma is formed inside the channel body, two ends of the A channel constitute an A inlet and an A outlet, respectively, a cooling unit configured for cooling the channel body is arranged on or beside the channel body, and/or, an adsorption unit configured for adsorbing an impurity component in the plasma is arranged on an inner wall of the channel body. In the present invention, the channel body is cooled by the cooling unit arranged on or beside the channel body, so as to achieve the purpose of heat dissipation and temperature reduction of the channel body. The impurity component in the plasma is adsorbed by the adsorption unit arranged on the inner wall of the channel body, thereby improving the effect. 1. A plasma transport channel device , comprising a channel body , wherein an A channel configured for a transport of a plasma is formed inside the channel body , two ends of the A channel constitute an A inlet and an A outlet , respectively , a cooling unit configured for cooling the channel body is arranged on or beside the channel body , and/or , an adsorption unit configured for adsorbing an impurity component in the plasma is arranged on an inner wall of the channel body.2. The plasma transport channel device according to claim 1 , wherein the cooling unit is formed by an air-cooling device arranged outside the channel body.3. The plasma transport channel device according to claim 1 , wherein the cooling unit is formed by a cooling passage arranged on the channel body claim 1 , and a cooling fluid is contained in the cooling passage.4. The plasma transport channel device according to claim 3 , wherein the cooling passage is arranged on an outer side wall of the channel body.5. The plasma transport channel device according to claim 4 , wherein the cooling passage is formed by an interlayer arranged on ...

Подробнее
10-02-2022 дата публикации

GAS ANALYZER APPARATUS

Номер: US20220044919A1
Принадлежит: ATONARP INC.

There is provided a gas analyzer apparatus including: a sample chamber which is equipped with a dielectric wall structure and into which only sample gas to be measured is introduced; a plasma generation mechanism that generates plasma inside the sample chamber, which has been depressurized, using an electric field and/or a magnetic field applied through the dielectric wall structure; and an analyzer unit that analyzes the sample gas via the generated plasma. By doing so, it is possible to provide a gas analyzer apparatus capable of accurately analyzing sample gases, even those including corrosive gas, over a long period of time. 1. A gas analyzer apparatus comprising:a sample chamber which is equipped with a dielectric wall structure and into which only a sample gas to be measured is introduced;a plasma generation mechanism that generates plasma in the sample chamber that has been depressurized, using an electric field and/or a magnetic field applied through the dielectric wall structure; andan analyzer unit that analyzes the sample gas via the generated plasma.2. The gas analyzer apparatus according to claim 1 ,further comprising a gas input unit configured to introduce only the sample gas from a process into the sample chamber.3. The gas analyzer apparatus according to claim 1 ,wherein the dielectric wall structure includes at least one of quartz, aluminum oxide, and silicon nitride.4. The gas analyzer apparatus according to claim 1 ,wherein the plasma generation mechanism includes a mechanism for generating plasma using at least one of inductively coupled plasma, dielectric barrier discharge, and electron cyclotron resonance.5. The gas analyzer apparatus according to claim 1 ,wherein the sample chamber has a total length of 1 to 100 mm and a diameter of 1 to 100 mm.6. The gas analyzer apparatus according to claim 1 ,wherein the analyzer unit includes:a filter unit that filters ionized gas present in the plasma; anda detector unit that detects filtered ions.7. The ...

Подробнее
23-01-2020 дата публикации

TECHNIQUES, SYSTEM AND APPRATUS FOR SELECTIVE DEPOSITION OF A LAYER USING ANGLED IONS

Номер: US20200027707A1

A method is provided. The method may include providing a substrate, the substrate comprising a substrate surface, the substrate surface having a three-dimensional shape. The method may further include directing a depositing species from a deposition source to the substrate surface, wherein a layer is deposited on a deposition region of the substrate surface. The method may include performing a substrate scan during the directing or after the directing to transport the substrate from a first position to a second position. The method may also include directing angled ions to the substrate surface, in a presence of the layer, wherein the layer is sputter-etched from a first portion of the deposition region, and wherein the layer remains in a second portion of the deposition region. 1. A method , comprising:providing a substrate, the substrate comprising a substrate surface, the substrate surface having a three-dimensional shape;directing a depositing species from a deposition source to the substrate surface, wherein a layer is deposited on a deposition region of the substrate surface;performing a substrate scan during the directing or after the directing to transport the substrate from a first position to a second position; anddirecting angled ions to the substrate surface, in a presence of the layer, wherein the layer is sputter-etched from a first portion of the deposition region, and wherein the layer remains in a second portion of the deposition region.2. The method of claim 1 , wherein the deposition source comprises a first ion source claim 1 , the first ion source directing the depositing species at a first non-zero angle of incidence with respect to a perpendicular to a plane of the substrate claim 1 , wherein the deposition region comprises less than an entirety of the substrate surface.3. The method of claim 2 , wherein the substrate surface comprises a shadowed region claim 2 , wherein the layer does not form on the shadowed region.4. The method of claim 2 , ...

Подробнее
23-01-2020 дата публикации

REMOTE PLASMA BASED DEPOSITION OF BORON NITRIDE, BORON CARBIDE, AND BORON CARBONITRIDE FILMS

Номер: US20200027725A1
Принадлежит:

A boron nitride, boron carbide, or boron carbonitride film can be deposited using a remote plasma chemical vapor deposition (CVD) technique. A boron-containing precursor is provided to a reaction chamber, where the boron-containing precursors has at least one boron atom bonded to a hydrogen atom. Radical species, such as hydrogen radical species, are provided from a remote plasma source and into the reaction chamber at a substantially low energy state or ground state. A hydrocarbon precursor may be flowed along with the boron-containing precursor, and a nitrogen-containing plasma species may be introduced along with the radical species from the remote plasma source and into the reaction chamber. The boron-containing precursor may interact with the radical species along with one or both of the hydrocarbon precursor and the nitrogen-containing precursor to deposit the boron nitride, boron carbide, or boron carbonitride film. 1. A method of depositing a boron-carbon-containing film on a substrate , the method comprising:providing a substrate in a reaction chamber;flowing a boron-containing precursor into the reaction chamber towards the substrate, wherein the boron-containing precursor has one or more B—H bonds;co-flowing a carbon-containing precursor with the boron-containing precursor into the reaction chamber;{'sub': '2', 'generating, from a hydrogen source gas of molecular hydrogen (H), radicals of hydrogen in a remote plasma source that are generated upstream of the boron-containing precursor and the carbon-containing precursor; and'}introducing the radicals of hydrogen into the reaction chamber and towards the substrate prior to deposition, wherein the radicals of hydrogen are in a ground state to react with the boron-containing precursor and the carbon-containing precursor to form a boron-carbon-containing film on the substrate.2. The method of claim 1 , wherein all or substantially all of the radicals of hydrogen in an environment adjacent to the substrate are ...

Подробнее
28-01-2021 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20210027980A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma etching apparatus includes a chamber, a susceptor in the chamber, an electrostatic chuck provided on the susceptor, and a high frequency power supply for supplying a high frequency power for generating a plasma in the chamber. The plasma etching apparatus also includes a gas inlet port provided in the chamber and configured to supply an etching gas, and a ring disposed in an outer periphery of a substrate supported by the electrostatic chuck that is positioned over the susceptor. An inner diameter of the ring is larger than an outer diameter of the substrate. The ring is separately positioned at a separation distance over the susceptor. The substrate is etched by using the plasma generated by the high frequency power that is supplied by the high frequency power supply. The separation distance between the ring and the susceptor is adjustable when the substrate is etched. 1. A plasma etching apparatus comprising:a chamber;a susceptor in the chamber;an electrostatic chuck provided on the susceptor;a high frequency power supply for supplying a high frequency power for generating a plasma in the chamber;a gas inlet port provided in the chamber and configured to supply an etching gas; and the ring is separately positioned at a separation distance over the susceptor,', 'the substrate is etched by using the plasma generated by the high frequency power that is supplied by the high frequency power supply, and', 'the separation distance between the ring and the susceptor is adjustable when the substrate is etched., 'a ring disposed in an outer periphery of a substrate supported by the electrostatic chuck that is positioned over the susceptor, an inner diameter of the ring being larger than an outer diameter of the substrate, wherein2. The plasma etching apparatus of claim 1 , wherein the separation distance is adjusted to maintain verticality of an etching process in a vicinity of an edge of the substrate.3. The plasma etching apparatus of claim 1 , wherein the ...

Подробнее
02-02-2017 дата публикации

Microwave Plasma Source and Plasma Processing Apparatus

Номер: US20170032933A1
Принадлежит:

There is provided a microwave plasma source for radiating microwaves into a chamber of a plasma processing apparatus to generate surface wave plasma, including: a plurality of microwave radiation mechanisms provided in a ceiling wall of the chamber and configured to radiate microwaves into the chamber; and a perforated plate provided in a high electric field formation region used as a high electric field region when the microwaves are radiated from microwave radiation surfaces of the microwave radiation mechanisms into the chamber and which exists just below the microwave radiation surfaces. The perforated plate has a function of confining surface waves formed just below the microwave radiation surfaces when the microwaves are radiated from the microwave radiation mechanism, in a space surrounded by the microwave radiation surfaces and the perforated plate, and a function of keeping high a power absorption efficiency of plasma generated in the space. 1. A microwave plasma source for radiating microwaves into a chamber of a plasma processing apparatus to generate surface wave plasma , comprising:a plurality of microwave radiation mechanisms provided in a ceiling wall of the chamber and configured to radiate microwaves into the chamber; anda perforated plate provided in a high electric field formation region which becomes a high electric field region when the microwaves are radiated from microwave radiation surfaces of the microwave radiation mechanisms into the chamber and which exists just below the microwave radiation surfaces, the perforated plate having a plurality of holes formed therein, the perforated plate set at a ground potential and made of an electrically conductive material, wherein the perforated plate has a function of confining surface waves formed just below the microwave radiation surfaces when the microwaves are radiated from the microwave radiation mechanism, in a space surrounded by the microwave radiation surfaces and the perforated plate and ...

Подробнее
02-02-2017 дата публикации

CHEMICAL VAPOR DEPOSITION TOOL AND OPERATING METHOD THEREOF

Номер: US20170032940A1
Принадлежит:

A chemical vapor deposition (CVD) tool includes a processing chamber, a remote plasma system, a first gas source, a second gas source, a first gas passage and a second gas passage. The remote plasma system is connected to the processing chamber. The first gas passage connects the first gas source, the remote plasma system and the processing chamber. The second gas passage connects the second gas source and the processing chamber, and bypasses the remote plasma system. 1. A chemical vapor deposition (CVD) tool comprising:a processing chamber;a remote plasma system connected to the processing chamber;a first gas source;a second gas source;a first gas passage connecting the first gas source, the remote plasma system, and the processing chamber; anda second gas passage connecting the second gas source and the processing chamber, and bypassing the remote plasma system.2. The CVD tool of claim 1 , wherein the first gas source is a cleaning gas source configured to provide cleaning gas to the remote plasma system through the first gas passage; andwherein the remote plasma system is configured to covert the cleaning gas into a cleaning plasma and then supply the cleaning plasma to the processing chamber through the first gas passage.3. The CVD tool of claim 1 , wherein the second gas source is a processing gas source configured to provide processing gas to the processing chamber through the second gas passage.4. The CVD tool of claim 3 , further comprising:a radio frequency generating device disposed in the processing chamber to energize the processing gas.5. The CVD tool of claim 1 , further comprising:a first valve configured to control a gas flow through the first gas passage.6. The CVD tool of claim 1 , further comprising:a second valve configured to control a gas flow through the second gas passage.7. The CVD tool of claim 1 , further comprising:a gas panel connecting the first gas source and the second gas source, wherein the first gas passage and the second gas ...

Подробнее
31-01-2019 дата публикации

An apparatus for atomic layer deposition

Номер: US20190032212A1
Принадлежит: BENEQ OY

The invention relates to an apparatus for subjecting a surface of a substrate to surface reactions of at least a first precursor and a second precursor according to the principles of atomic layer deposition. The apparatus comprises a reaction chamber (1) forming a reaction space (2) for receiving precursor gases reacting on the surface of the substrate. The apparatus further comprises a substrate support (3) for holding the substrate; a dielectric plate (4); and an electrode (7) coupled to a voltage source (8) to induce voltage to the electrode (7) for generating electric discharge to the reaction space (2). The dielectric plate (4) is arranged between the substrate support (3) and the electrode (7) and such that the reaction space (2) is arranged between the substrate support (3) and the dielectric plate (4).

Подробнее
30-01-2020 дата публикации

METHOD FOR DEPOSITING A SILICON NITRIDE FILM AND FILM DEPOSITION APPARATUS

Номер: US20200032390A1
Автор: KATO Hitoshi
Принадлежит:

A method for depositing a silicon nitride film is provided. A silicon nitride film is deposited in a depression formed in a surface of a substrate from a bottom surface and a lateral surface by ALD toward a center of the depression in a lateral direction so as to narrow a space at the center of the depression. First nitrogen radicals are adsorbed into the depression immediately before a stage of filling the space at the center with the silicon nitride film deposited toward the center of the depression. A silicon-containing gas is adsorbed on the first nitrogen radical in the depression by physical adsorption. Second nitrogen radicals are supplied into the depression so as to release the silicon-containing gas from the first nitrogen radical and to cause the released silicon-containing gas to react with the second nitrogen radical, thereby depositing a silicon nitride film to fill the central space. 1. A method for depositing a silicon nitride film , comprising steps of:depositing a silicon nitride film in a depression formed in a surface of a substrate from a bottom surface and a lateral surface by ALD toward a center of the depression in a lateral direction so as to narrow a space at the center of the depression;adsorbing a first nitrogen radical into the depression immediately before a stage of filling the space at the center with the silicon nitride film deposited toward the center of the depression;adsorbing a silicon-containing gas on the first nitrogen radical in the depression by physical adsorption; andsupplying a second nitrogen radical into the depression so as to release the silicon-containing gas from the first nitrogen radical and to cause the released silicon-containing gas to react with the second nitrogen radical, thereby depositing a silicon nitride film to fill the space at the center.2. The method for depositing a silicon nitride film according to claim 1 , wherein the step of depositing the silicon nitride film to fill the space is performed by ...

Подробнее
01-02-2018 дата публикации

PRESSURE PURGE ETCH METHOD FOR ETCHING COMPLEX 3-D STRUCTURES

Номер: US20180033657A1
Автор: GUHA Joydeep, Park Pilyeon
Принадлежит:

A method for etching a substrate and removing byproducts includes a) setting process parameters of a processing chamber for a selective dry etch process; b) setting process pressure of the processing chamber to a first predetermined pressure in a range from 1 Torr to 10 Torr for the selective dry etch process; c) selectively etching a first film material of a substrate relative to a second film material of the substrate in the processing chamber during a first period; d) lowering pressure in the processing chamber to a second predetermined pressure that is less than the first predetermined pressure by a factor greater than or equal to 4; and e) purging the processing chamber at the second predetermined pressure for a second period. 1. A method for etching a substrate and removing byproducts , the substrate comprising a stack including a plurality of first layers and a plurality of second layers , the first layers alternating with the second layers , the method comprising:a) setting process parameters of a processing chamber for a selective dry etch process;b) setting process pressure of the processing chamber to a first predetermined pressure in a range from 1 Torr to 10 Torr for the selective dry etch process;c) selectively etching portion of one of the first layers relative to one of the second layers in the processing chamber during a first period;d) lowering pressure in the processing chamber to a second predetermined pressure that is less than the first predetermined pressure by a factor greater than or equal to 4 in order to purge the processing chamber at the second predetermined pressure for a second period;e) purging the processing chamber at the second predetermined pressure for the second period: andf) repeating a) through e) or b) through e) N times, where N is an integer greater than one.23-. (canceled)4. The method of claim 1 , further comprising varying at least one of the first predetermined pressure and the first period during at least one of the N ...

Подробнее
17-02-2022 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20220051919A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A method comprising:receiving, by a plurality of low frequency paths, a low frequency modified radio frequency (RF) signal to output a plurality of low frequency RF signals;receiving, by a plurality of high frequency paths, a high frequency modified RF signal to output a plurality of high frequency RF signals;combining, by a plurality of output paths, the plurality of low frequency RF signals and the plurality of high frequency RF signals to output a plurality of combined signals to a plurality of plasma processing stations; andcontrolling, by a plurality of shunt inductors, a plurality of amounts of current of the plurality of combined signals provided to the plurality of plasma processing stations, wherein each of the plurality of shunt inductors is coupled to a corresponding one of the plurality of low frequency paths.2. The method of claim 1 , wherein said receiving the low frequency modified RF signal includes:receiving the low frequency modified RF signal at a low frequency input; andsplitting, at the low frequency input, the low frequency modified RF signal into a plurality of input signals.3. ...

Подробнее
30-01-2020 дата публикации

ION-ION PLASMA ATOMIC LAYER ETCH PROCESS

Номер: US20200035454A1
Принадлежит:

A method of etching uses an overhead electron beam source that generates an ion-ion plasma for performing an atomic layer etch process. 1. A method of processing a workpiece in an electron beam plasma reactor , said method comprising:dividing a chamber of said reactor into an upper chamber and a lower chamber by a grid filter, and supporting a workpiece in said lower chamber with a surface of said workpiece facing said grid filter along an axis;supplying a gas into said chamber;coupling RF source power into said upper chamber or to an electrode of said upper chamber or to an electrode support, to generate a plasma including beam electrons in said upper chamber to produce an electron beam having a beam propagation direction corresponding to said axis;allowing flow of at least a portion of said beam electrons from said upper chamber to said lower chamber while preventing flow of at least a portion of non-beam electrons and plasma ions from said upper chamber to said lower chamber; andallowing said electron beam to produce a plasma in said lower chamber.2. The method of claim 1 , wherein the beam electrons impinge the electronegative process gas in the lower plasma chamber to form a plasma in the lower plasma chamber that has a lower electron temperature than the plasma in the upper plasma chamber and provides an electronegative ion-ion plasma3. The method of claim 1 , wherein the beam electrons are emitted by the electrode of said upper chamber to form an electron beam having an electron beam direction substantially perpendicular to the interior surface of the electrode.4. The method of claim 1 , further comprising supplying a substantially inert gas into said upper chamber and supplying a molecular process gas into said lower chamber.5. The method of claim 1 , further comprising coupling a bias voltage to said workpiece.6. The method of claim 1 , wherein said top electrode comprises one of silicon claim 1 , carbon claim 1 , silicon carbide claim 1 , silicon oxide ...

Подробнее
04-02-2021 дата публикации

LIGHT SOURCE APPARATUS

Номер: US20210036477A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A light source apparatus includes an airtight container having a hemispherical or semielliptical first curved portion configured to receive laser light, a hemispherical or semielliptical second curved portion opposite to the first curved portion, and a cylindrical portion connecting the first curved portion and the second curved portion; assist gas sealed in the airtight container; and a light source configured to irradiate laser light to the first curved portion from outside of the airtight container. 1. A light source apparatus , comprising:an airtight container having a hemispherical or semielliptical first curved portion, a hemispherical or semielliptical second curved portion opposite to the first curved portion, and a cylindrical portion connecting the first curved portion and the second curved portion;assist gas sealed in the airtight container; anda light source configured to irradiate laser light to the first curved portion from outside of the airtight container.2. The light source apparatus of claim 1 , wherein the cylindrical portion further comprises a tip portion.3. The light source apparatus of claim 1 , wherein the second curved portion is provided with a tip portion claim 1 , the tip portion in a position other than an optical axis of the laser light.4. The light source apparatus of claim 1 , further comprising:a mirror reflecting laser light from the light source and irradiating the laser light to the first curved portion of the airtight container,wherein a curved shape of the first curved portion has a portion into which the laser light is vertically incident.5. The light source apparatus of claim 1 , wherein the assist gas comprises at least one of Ar claim 1 , Kr claim 1 , Xe claim 1 , He claim 1 , Ne claim 1 , N claim 1 , Br claim 1 , Cl claim 1 , I claim 1 , HO claim 1 , O claim 1 , H claim 1 , CH claim 1 , NO claim 1 , NO claim 1 , CHOH claim 1 , CHOH claim 1 , CO claim 1 , NH claim 1 , one or more metal halides claim 1 , a Ne/Xe mixture claim ...

Подробнее
11-02-2016 дата публикации

ELECTRON BEAM PLASMA SOURCE WITH ROTATING CATHODE, BACKSIDE HELIUM COOLING AND LIQUID COOLED PEDESTAL FOR UNIFORM PLASMA GENERATION

Номер: US20160042961A1
Принадлежит:

A plasma reactor has an electron beam source as a plasma source and a rotation motor coupled to rotate the workpiece support about a rotation axis that is transverse to an emission path of said electron beam source. 1. A plasma reactor for processing a workpiece , comprising:a chamber and a workpiece support in said chamber having a workpiece support surface, said chamber comprising a ceiling facing said workpiece support surface;a process gas source having a gas flow path to an interior of said chamber;an electron beam source having an electron beam emission path overlying said workpiece support surface; anda rotation motor coupled to said workpiece support, said workpiece support being rotatable about a rotation axis that is transverse to said electron beam emission path.2. The plasma reactor of further comprising a non-rotating outer housing surrounding said workpiece support and a bearing assembly between said non-rotating outer housing and said workpiece support.3. The plasma reactor of further comprising:a first plurality of utility channels external of said workpiece support extending toward said workpiece support;a second plurality of utility channels extending into said workpiece support; anda rotatable coupling assembly connecting individual ones of said first plurality utility channels with corresponding ones of said second plurality of utility channels.4. The plasma reactor of wherein:said first plurality of utility channels comprises plural sealed flow paths and plural electrical conductors; andsaid second plurality of utility channels comprises plural sealed flow paths and plural electrical conductors.5. The plasma reactor of wherein said electron beam source produces a sheet-like electron beam propagating along said electron beam emission path and having a width of at least a diameter of said workpiece support surface.6. The plasma reactor of further comprising plural heaters in respective locations on said workpiece support claim 4 , plural heater ...

Подробнее
09-02-2017 дата публикации

RADIO FREQUENCY PLASMA METHOD FOR UNIFORM SURFACE PROCESSING OF RF CAVITIES AND OTHER THREE-DIMENSIONAL STRUCTURES

Номер: US20170040144A1
Принадлежит: Old Dominion University

A method for efficient plasma etching of surfaces inside three-dimensional structures can include positioning an inner electrode within the chamber cavity; evacuating the chamber cavity; adding a first inert gas to the chamber cavity; regulating the pressure in the chamber; generating a plasma sheath along the inner wall of the chamber cavity; adjusting a positive D.C. bias on the inner electrode to establish an effective plasma sheath voltage; adding a first electronegative gas to the chamber cavity; optionally readjusting the positive D.C. bias on the inner electrode reestablish the effective plasma sheath voltage at the chamber cavity; etching the inner wall of the chamber cavity; and polishing the inner wall to a desired surface roughness. 1. A method for efficient plasma etching the inner wall of a chamber cavity , wherein the inner wall comprises one selected from the group consisting of a metal , a semiconductor , a dielectric material , and combinations thereof , the method comprising:positioning an inner electrode within the chamber cavity;evacuating the chamber cavity;wherein the chamber cavity has an internal surface area of from 50 to 10000 cm2;adding 60 to 100 weight percent of a first inert gas selected from the group consisting of Argon (Ar), Krypton (Kr), Helium (He), Xenon (Xe), and Nitrogen (N2), and combinations thereof to the chamber cavity at a pressure of from 0.01 to 1 Torr;regulating the pressure in the chamber; a radiofrequency (RF) discharge at a frequency of from 1 to 150 MHz,', 'a microwave discharge at power density of 0.1 to 3 W/cm 3 and frequency of 1.3 to 3 GHz,', 'a pulsed d.c. high voltage (HV) discharge at average power density of 0.1 to 3 W/cm 3, and combinations thereof;, 'generating a plasma sheath along the inner wall of the chamber cavity by applying one selected from the group consisting ofadjusting a positive D.C. bias on the inner electrode to establish the effective plasma sheath voltage of from 10 to 500 V;adding 1 to 15 ...

Подробнее
09-02-2017 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: US20170040145A1
Принадлежит: Element Six Technologies Ltd

A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition, the microwave plasma reactor comprising: a plasma chamber defining a resonant cavity for supporting a primary microwave resonance mode having a primary microwave resonance mode frequency f; a plurality of microwave sources coupled to the plasma chamber for generating and feeding microwaves having a total microwave power Pτ into the plasma chamber; a gas flow system for feeding process gases into the plasma chamber and removing them therefrom; and a substrate holder disposed in the plasma chamber and comprising a supporting surface for supporting a substrate on which the synthetic diamond material is to be deposited in use, wherein the plurality of microwave sources are configured to couple at least 30% of the total microwave power Pτ into the plasma chamber in the primary microwave resonance mode frequency f, and wherein at least some of the plurality of microwave sources are solid state microwave sources.

Подробнее
06-02-2020 дата публикации

APPARATUS WITH MULTISTAGED COOLING

Номер: US20200041211A1
Принадлежит:

Embodiments described herein relate to a heat exchanger for abating compounds produced in semiconductor processes. When hot effluent flows into the heat exchanger, a coolant can be flowed to walls of a heat exchanging surface within the heat exchanger. The heat exchanging surface can be a curved shaped which creates a multi stage cross flow path for the hot effluent to flow down the heat exchanger. This flow path forces the hot effluent to hit the cold walls of the heat exchanging surface, significantly cooling the effluent and preventing it from flowing directly into the vacuum pumps and causing heat damage. Embodiments described herein also relate to methods of forming a heat exchanger. The heat exchanger can be created by sequentially depositing layers of thermally conductive material on surfaces using 3-D printing, creating a much smaller foot print and reducing costs. 1. A heat exchanger configured to exchange heat with a flowing fluid , comprising:a first mounting flange having a central opening that extends through a connection surface of the first mounting flange, wherein the connection surface is parallel to a first plane;a second mounting flange having a central opening that extends through a connection surface of the second mounting flange, wherein the second mounting flange is disposed a distance in a first direction from the first mounting flange;an outer wall that is configured to enclose an internal region of the heat exchanger, wherein the outer wall and internal region are disposed between the first mounting flange and the second mounting flange;an inner wall that is disposed within the internal region, wherein the inner wall is positioned to isolate a heat exchanging region of the internal region from an outer region of the internal region, the outer region defined by a space formed between the inner wall and the outer wall;a heat exchanging fluid inlet port and a heat exchanging fluid outlet port, wherein the heat exchanging fluid inlet port and ...

Подробнее
24-02-2022 дата публикации

Generation of Hydrogen Reactive Species For Processing of Workpieces

Номер: US20220059321A1
Принадлежит:

Methods, systems, and apparatus for generating hydrogen radicals for processing a workpiece, such as a semiconductor workpiece, are provided. In one example implementation, a method can include generating one or more species in a plasma chamber from an inert gas by inducing a plasma in the inert gas using a plasma source; mixing hydrogen gas with the one or more species to generate one or more hydrogen radicals; and exposing the workpiece in a processing chamber to the one or more hydrogen radicals. 118-. (canceled)19. A method for processing a workpiece , the method comprising:generating one or more species in an inert gas in a first chamber;filtering one or more ions in the first chamber using a separation grid to generate a filtered mixture;injecting a hydrogen gas downstream of the first chamber into the filtered mixture to generate one or more hydrogen radicals;exposing the workpiece to the one or more hydrogen radicals in a second chamber, the second chamber being separated from the first chamber by the separation grid.20. (canceled)21. The method of claim 19 , wherein injecting a hydrogen gas downstream of the first chamber into the filtered mixture to generate one or more hydrogen radicals comprises mixing hydrogen gas with neutral species passing through the separation grid.22. The method of claim 19 , wherein injecting a hydrogen gas downstream of the first chamber into the filtered mixture to generate one or more hydrogen radicals comprises mixing hydrogen gas with neutral species in the separation grid.23. The method of claim 19 , wherein the inert gas comprises helium.24. The method of claim 19 , wherein the plasma is generated using an inductively coupled plasma source.25. The method of claim 19 , wherein exposing the workpiece in the second chamber to the one or more hydrogen radicals at least partially removes a photoresist layer on the workpiece.26. The method of claim 19 , wherein exposing the workpiece in a processing chamber to the one or more ...

Подробнее
24-02-2022 дата публикации

ACTIVE GAS GENERATION APPARATUS

Номер: US20220059322A1

In an active gas generation apparatus of the present invention, an auxiliary conductive film provided on a first electrode dielectric film is provided to overlap part of an active gas flow path in plan view, and the auxiliary conductive film is set to the ground potential. An active gas auxiliary member provided on a second electrode dielectric film is provided to fill part of the active gas flow path between a discharge space and a gas ejection hole in a dielectric space between the first and second electrode dielectric films in order to limit to an active gas flow gap. 1. An active gas generation apparatus that generates an active gas obtained by activating a raw material gas supplied to a discharge space , the active gas generation apparatus comprising:a first electrode component; anda second electrode component provided below said first electrode component, whereinsaid first electrode component has a first electrode dielectric film and a first metal electrode formed on an upper surface of said first electrode dielectric film, said second electrode component having a second electrode dielectric film and a second metal electrode formed on a lower surface of said second electrode dielectric film, an AC voltage being applied between said first and second metal electrodes, and a dielectric space in which said first and second electrode dielectric films face each other including, as said discharge space, an area where said first and second metal electrodes overlap each other in plan view,said second electrode dielectric film has a gas ejection hole for ejecting said active gas outside, and a path from said discharge space to said gas ejection hole is defined as an active gas flow path,said first electrode component further has an auxiliary conductive film formed, independently of said first metal electrode, on the upper surface of said first electrode dielectric film,said auxiliary conductive film is provided to overlap part of said active gas flow path in plan view, ...

Подробнее
24-02-2022 дата публикации

PLASMA FLOOD GUN FOR CHARGED PARTICLE APPARATUS

Номер: US20220059326A1
Автор: Jiang Ximan
Принадлежит: PIE Scientific LLC

A method for altering surface charge on an insulating surface of a first sample includes generating first plasma inside a plasma source, causing the first plasma to diffuse into a first vacuum chamber to generate second downstream plasma, immersing the first sample in the second downstream plasma, and applying a first bias voltage to a conductive layer of the first sample, or applying a first bias voltage to a metal holder that holds the first sample. 1. A method for altering surface charge on an insulating surface of a sample , comprising:placing one or more first samples having insulating surface areas inside a first vacuum chamber, wherein the first vacuum chamber is connected to one or more external plasma sources through one or more first openings;generating first plasma inside the one or more external plasma sources;causing the first plasma generated inside the one or more external plasma sources to diffuse into the first vacuum chamber to generate second downstream plasma;positioning the one or more first samples to a location such that the one or more first samples are immersed in the second downstream plasma;applying a first bias voltage to conductive layers of the one or more first samples, or applying a first bias voltage to a holder that holds the one or more first samples;after the second downstream plasma is generated and the first bias voltage is applied, waiting for a predetermined amount of time such that surface potential of the insulating surface areas of the one or more first samples reaches a predetermined value;turning off the first plasma and waiting for the second downstream plasma to disappear; andafter the second downstream plasma is extinguished, changing the first bias voltage or reducing the first bias voltage to zero.2. The method of claim 1 , wherein the first vacuum chamber is a main process vacuum chamber connected to one or more charged particle columns claim 1 , the first vacuum chamber is a load lock chamber that facilitates ...

Подробнее
24-02-2022 дата публикации

INTEGRATED EPITAXY AND PRECLEAN SYSTEM

Номер: US20220059342A1
Принадлежит:

Implementations of the present disclosure generally relates to a transfer chamber coupled to at least one vapor phase epitaxy chamber a plasma oxide removal chamber coupled to the transfer chamber, the plasma oxide removal chamber comprising a lid assembly with a mixing chamber and a gas distributor; a first gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a second gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a third gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; and a substrate support with a substrate supporting surface; a lift member disposed in a recess of the substrate supporting surface and coupled through the substrate support to a lift actuator; and a load lock chamber coupled to the transfer chamber. 1. A method of processing a substrate , comprising:{'sub': '3', 'removing oxide from a substrate by a process that includes exposing the substrate to a processing gas comprising NH, HF, and radicals; and'}forming a film on the substrate by a vapor phase epitaxy process.2. The method of claim 9 , further comprising cooling the substrate while removing oxide from the substrate.3. The method of claim 9 , further comprising performing a thermal treatment process the substrate after removing oxide from the substrate.411. The method of claim claim 9 , wherein the thermal treatment process is performed under an inert atmosphere at a temperature of 400 degrees Celsius or higher.5. The method of claim 9 , wherein the oxide removal process comprises:disposing the substrate in a processing chamber;forming a plasma from an inert gas;{'sub': '3', 'flowing the plasma into a mixing chamber with NHand HF to form a reaction mixture;'}flowing the reaction mixture into the processing chamber, and exposing the substrate to the reaction mixture.613. The method of claim claim 9 , wherein the oxide removal ...

Подробнее
24-02-2022 дата публикации

Self-Aligned Double Patterning With Spatial Atomic Layer Deposition

Номер: US20220059362A1
Принадлежит: Applied Materials, Inc.

Provided are self-aligned double patterning methods including feature trimming. The SADP process is performed in a single batch processing chamber in which the substrate is laterally moved between sections of the processing chamber separated by gas curtains so that each section independently has a process condition. 1. A processing method comprising:exposing a patterned layer of a substrate to a plasma to reduce the width of the patterned layer by an amount greater than or equal to about 30% of the initial width of a feature, the substrate having a first layer and the patterned layer thereon, portions of the first layer exposed through the patterned layer, the patterned layer comprising the feature having a top surface and two vertical faces defining an initial width with an aspect ratio greater than about 3:1, the vertical faces substantially perpendicular to the first layer;depositing a substantially conformal spacer layer over the first layer and patterned layer so that the spacer layer forms a film on the portions of the first layer exposed through the patterned layer, the top surface and both vertical faces of the feature; andetching the spacer layer from the top surface of the feature and the portions of the first layer exposed through the patterned layer.2. The processing method of claim 1 , wherein the patterned layer comprises one or more of a photoresist or spin-on-carbon.3. The processing method of claim 1 , wherein the patterned layer has an initial width in the range of about 200 Å to about 800 Å.4. The processing method of claim 1 , wherein the patterned layer comprises a dielectric.5. The processing method of claim 1 , wherein the patterned layer has an aspect ratio in the range of about 3:1 to about 20:1.6. The processing method of claim 1 , wherein the patterned layer comprises spin-on-carbon.7. The processing method of claim 1 , wherein trimming the patterned layer reduced the width by an amount in the range of about 10 Å to about 200 Å.8. The ...

Подробнее
19-02-2015 дата публикации

METHOD AND APPARATUS FOR FORMING A PERIODIC PATTERN USING A SELF-ASSEMBLED BLOCK COPOLYMER

Номер: US20150048049A1
Принадлежит:

A method for causing a first polymer and a second polymer of a block copolymer to be self-assembled on an underlayer film and forming a periodic pattern in a guide layer is provided. The method includes a first etching process of etching the second polymer by plasma generated from a first gas, a first film deposition process of depositing a first protective film on surfaces of the first polymer and the guide layer except for an etched portion of the second polymer by plasma generated from a second gas after the first etching process, and a second etching process of further etching the second polymer by the plasma generated from the first gas after the first film deposition process. 1. A method for causing a first polymer and a second polymer of a block copolymer to be self-assembled on an underlayer film and forming a periodic pattern in a guide layer , comprising steps of:etching the second polymer by plasma generated from a first gas;depositing a first protective film on surfaces of the first polymer and the guide layer except for an etched portion of the second polymer by plasma generated from a second gas after the step of etching the second polymer; andfurther etching the second polymer by the plasma generated from the first gas after the step of depositing the first protective film.2. The method as claimed in claim 1 , wherein the second gas is introduce into a chamber in which a pressure is controlled to be 10 to 50 mTorr (=1333.22 to 6666.1 Pa) in the step of depositing the first protective film.3. The method as claimed in claim 1 , further comprising steps of:etching the underlayer film by plasma generated from a third gas after the step of further etching the second polymer; anddepositing a second protective film on the surfaces of the first polymer and the guide layer from plasma generated from a fourth gas before or in the middle of the step of etching the underlayer film.4. The method as claimed in claim 3 , wherein the second protective film is ...

Подробнее
15-02-2018 дата публикации

MINIMIZING RADICAL RECOMBINATION USING ALD SILICON OXIDE SURFACE COATING WITH INTERMITTENT RESTORATION PLASMA

Номер: US20180044791A1
Принадлежит:

Certain embodiments herein relate to an apparatus used for remote plasma processing. In various embodiments, the apparatus includes a reaction chamber that is conditioned by forming a low recombination material coating on interior chamber surfaces. The low recombination material helps minimize the degree of radical recombination that occurs when the reaction chamber is used to process substrates. During processing on substrates, the low recombination material may become covered by relatively higher recombination material (e.g., as a byproduct of the substrate processing), which results in a decrease in the amount of radicals available to process the substrate over time. The low recombination material coating may be reconditioned through exposure to an oxidizing plasma, which acts to reform the low recombination material coating. The reconditioning process may occur periodically as additional processing occurs on substrates. The apparatus may be configured to cause formation and reconditioning of the low recombination material coating. 1. A remote plasma processing apparatus for processing a substrate , the apparatus comprising: interior chamber surfaces,', 'a substrate support for supporting the substrate within the reaction chamber, and', 'an exhaust port for removing material from the reaction chamber;, 'a reaction chamber comprising a plasma generator for generating plasma within the remote plasma chamber,', 'an inlet for delivering gas to the remote plasma chamber,', 'an outlet for providing plasma generated in the remote plasma chamber to the reaction chamber; and, 'a remote plasma chamber comprising causing formation of a low recombination material coating on the interior chamber surfaces of the reaction chamber through an atomic layer deposition process while no substrate is present in the reaction chamber, and', 'after a remote plasma operation is performed on the substrate, causing the reaction chamber to be exposed to an oxidizing plasma to recondition the ...

Подробнее
15-02-2018 дата публикации

CONTAINER PLASMA TREATMENT PROCESS COMPRISING A THERMAL IMAGING PHASE

Номер: US20180044793A1
Автор: DEAU Thierry
Принадлежит:

Disclosed is a process for treating a container with plasma, for depositing a barrier layer on an internal face of the container. This process includes: after the plasma has been extinguished, obtaining a thermal image of the container; comparing the thermal image of the container with a reference thermal image stored in memory; and, if the thermal image of the container differs from the reference thermal image, modifying at least one of the following parameters: internal pressure, external pressure, precursor gas flow rate, microwave frequency, microwave power, duration of the treatment. 288. Method according to claim 1 , in which claim 1 , with the inner partial vacuum being produced by means of a primary vacuum pump () claim 1 , the modification of the inner partial vacuum consists in modifying the flow rate of this primary vacuum pump ().31554212227228. Method according to claim 1 , wherein claim 1 , with the generator () being a magnetron and the chamber () being housed in a cavity () that is equipped with movable plates ( claim 1 , ) made of an electrically conductive material claim 1 , the position of the plates is part of the parameters that can be modified if the thermal image () of the container () differs from the reference thermal image ().41010. Method according to claim 1 , in which with the outer partial vacuum being produced by means of a secondary vacuum pump () claim 1 , the modification of the outer partial vacuum consists in modifying the flow rate of this secondary vacuum pump ().521212. Method according to claim 1 , in which claim 1 , with the precursor gas being injected into the container () by means of an injector () claim 1 , the modification of the flow rate of precursor gas consists in adjusting the opening of the injector ().6. Method according to claim 1 , in which the comparison is made by image correlation.7. Method according to claim 6 , in which the comparison is made by local image correlation.91010. Method according to claim 2 , ...

Подробнее
07-02-2019 дата публикации

High aspect ratio selective lateral etch using cyclic passivation and etching

Номер: US20190043732A1
Принадлежит: Lam Research Corp

Methods and apparatus for laterally etching unwanted material from the sidewalls of a recessed feature are described herein. In various embodiments, the method involves etching a portion of the sidewalls, depositing a protective film over a portion of the sidewalls, and cycling the etching and deposition operations until the unwanted material is removed from the entire depth of the recessed feature. Each etching and deposition operation may target a particular depth along the sidewalls of the feature. In some cases, the unwanted material is removed from the bottom of the feature up, and in other cases the unwanted material is removed from the top of the feature down. Some combination of these may also be used.

Подробнее
06-02-2020 дата публикации

High voltage resistive output stage circuit

Номер: US20200043702A1
Принадлежит: Eagle Harbor Technologies Inc

Some embodiments include a high voltage, high frequency switching circuit. The switching circuit may include a high voltage switching power supply that produces pulses having a voltage greater than 1 kV and with frequencies greater than 10 kHz and an output. The switching circuit may also include a resistive output stage electrically coupled in parallel with the output and between the output stage and the high voltage switching power supply, the resistive output stage comprising at least one resistor that discharges a load coupled with the output. In some embodiments, the resistive output stage may be configured to discharge over about 1 kilowatt of average power during each pulse cycle. In some embodiments, the output can produce a high voltage pulse having a voltage greater than 1 kV and with frequencies greater than 10 kHz with a pulse fall time less than about 400 ns.

Подробнее
06-02-2020 дата публикации

GAS BOX FOR CVD CHAMBER

Номер: US20200043704A1
Принадлежит:

Embodiments of the present disclosure relate to apparatus for improving quality of films deposited on a substrate by a CVD process. More specifically, a branched gas feed assembly uniformly distributes a process gas entering an annular plenum. Each conduit of a first plurality of conduits having substantially equal flow conductance is in fluid communication with one or more conduits of a second plurality of conduits having substantially equal flow conductance. Each conduit of the second plurality of conduits terminates at one of a plurality of outlets. Each outlet of the plurality of outlets is in fluid communication with one or more inlet ports of a plurality of inlet ports formed in the annular plenum. Each inlet port of the plurality of inlet ports is spaced equidistant about a central axis of the annular plenum. 1. An apparatus , comprising:a lid and a chamber body defining a process volume therein, the lid having a port formed therethrough;a gas feed tube having a first end and a second end, an opening at the first end in fluid communication with the port;a first plurality of conduits having substantially equal flow conductance in fluid communication with the gas feed tube, each conduit of the first plurality of conduits terminating at one of a first plurality of outlets;a second plurality of conduits having substantially equal flow conductance, each conduit of the second plurality of conduits in fluid communication with one of the first plurality of outlets, each conduit of the second plurality of conduits terminating at one of a second plurality of outlets; anda plenum body defining an annular plenum therein, the plenum body having a plurality of inlet ports formed therein, each outlet of the second plurality of outlets in fluid communication with at least one of the plurality of inlet ports, the plurality of inlet ports spaced equidistant about a central axis of the plenum body, the gas feed tube having at least one aperture in fluid communication with the ...

Подробнее
18-02-2021 дата публикации

METHOD OF LOW-TEMPERATURE PLASMA GENERATION, METHOD OF AN ELECTRICALLY CONDUCTIVE OR FERROMAGNETIC TUBE COATING USING PULSED PLASMA AND CORRESPONDING DEVICES

Номер: US20210050181A1
Принадлежит:

The present invention resides in the unifying idea of synchronizing a positive voltage pulse supplied to an electrically conductive or ferromagnetic tube and a exciting negative voltage pulse on a hollow cathode induced on the background of a high-frequency capacitive discharge. 1. A method for generating a low-temperature plasma in a vacuum chamber comprising a hollow cathode and an electrode , wherein said method comprises the steps of:igniting a pulse DC discharge in the hollow cathode on a background of RF capacitive discharge, and 'said positive voltage pulse is overlapping at least in part with a negative voltage pulse applied on the hollow cathode.', 'applying a positive voltage pulse on the electrode before igniting said pulse DC discharge; wherein'}2. The method according to claim 1 , wherein the method comprises the step of applying a negative voltage pulse on the electrode after the positive voltage pulse is terminated.3. A method for coating an inner surface of a hollow electrically conductive or ferromagnetic tube by a thin film using a low-temperature plasma generated through discharge in a hollow cathode placed at an electrode end claim 1 , wherein said method comprises the steps ofigniting a pulse DC discharge in the hollow cathode on a background of RF capacitive discharge, and 'said positive voltage pulse is overlapping at least in part with negative voltage pulse on the hollow cathode.', 'applying a positive voltage pulse on the coating tube before igniting said pulse DC discharge, wherein'}4. The method of coating according to claim 3 , wherein the method comprises the step of applying a negative voltage pulse on the coated tube after the positive voltage pulse is terminated.5. The method according to claim 3 , wherein the coating tube is cooling.6. The method according to claim 3 , wherein the created plasma is stabilized by stabilizing a measuring resistance and further through an LC element consisting of an inductor and capacitor.7. The method ...

Подробнее
18-02-2021 дата публикации

Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool

Номер: US20210050187A1
Принадлежит: Applied Materials, Inc.

Plasma source assemblies, gas distribution assemblies including the plasma source assembly and methods of generating plasma are described. The plasma source assemblies include a powered electrode with a ground electrode adjacent a first side and a dielectric adjacent a second side. A first microwave generator is electrically coupled to the first end of the powered electrode through a first feed and a second microwave generator is electrically coupled to the second end of the powered electrode through a second feed. 1. A plasma source assembly comprising:a powered electrode having a first end and a second end defining a length and having an elongate axis extending along the length of the powered electrode, the powered electrode having a thickness and width;a ground electrode on a first side of the powered electrode, the ground electrode spaced from the powered electrode by a distance;a dielectric on a second side of the powered electrode, the dielectric and ground electrode enclosing the powered electrode, the dielectric having an inner face adjacent the powered electrode and an outer face opposite the inner face;a first microwave generator electrically coupled to the first end of the powered electrode through a first feed; anda second microwave generator electrically coupled to the second end of the powered electrode through a second feed.2. The plasma source assembly of claim 1 , wherein the ground electrode is spaced from the powered electrode by a second dielectric.3. The plasma source assembly of claim 1 , wherein the powered electrode is a flat conductor.4. The plasma source assembly of claim 1 , wherein one or more of the width of the powered electrode changes from the first end to the second end claim 1 , the distance from powered electrode to the ground electrode changes from first end to second end; or a distance from powered electrode to the outer face of the dielectric changes from first end to the second end.5. The plasma source assembly of claim 4 , ...

Подробнее
08-05-2014 дата публикации

Plasma process apparatus and plasma generating device

Номер: US20140123895A1
Принадлежит: Tokyo Electron Ltd

A plasma process apparatus includes a vacuum chamber; a substrate holder configured to hold a substrate; a gas supplying part configured to supply a plasma generating gas into the vacuum chamber; an antenna configured to be supplied with a high-frequency power and generate an electromagnetic field for generating plasma of the plasma generating gas; a Faraday shield disposed between the antenna and an area where the plasma is generated and composed of a conductive plate where a plurality of slits, which extend in a direction that intersects with an extending direction in which the antenna extends and are arranged in the extending direction of the antenna, are formed to block an electric field in the electromagnetic field and to allow a magnetic field in the electromagnetic field to pass therethrough; and an adjusting part composed of a conductive material and configured to adjust an opening area of the slits.

Подробнее
16-02-2017 дата публикации

MAGNETIZED EDGE RING FOR EXTREME EDGE CONTROL

Номер: US20170047202A1
Автор: Kumar Prashanth
Принадлежит:

An apparatus, for treating a substrate in a plasma processing chamber with an electromagnet power source with leads. An edge ring body surrounds the substrate. An electromagnet is embedded within or attached to a surface of the edge ring body, extending more than half way around the edge ring, wherein the electromagnet is configured to provide a magnetic flux greater than 0.1 mTesla along more than half of an outer edge of the substrate, wherein the electromagnet comprises at least one winding, wherein the leads of the electromagnet power source are electrically connected to the at least one winding. 1. An apparatus , for treating a substrate in a plasma processing chamber with an electromagnet power source with leads , comprising:an edge ring body surrounding the substrate; andan electromagnet embedded within or attached to a surface of the edge ring body, extending more than half way around the edge ring, wherein the electromagnet is configured to provide a magnetic flux greater than 0.1 mTesla along more than half of an outer edge of the substrate, wherein the electromagnet comprises at least one winding; wherein the leads of the electromagnet power source are electrically connected to the at least one winding.2. The apparatus claim 1 , as recited in claim 1 , wherein the electromagnet is a toroidal electromagnet.3. The apparatus claim 1 , as recited in claim 1 , wherein the electromagnet is a poloidal electromagnet.4. The apparatus claim 1 , as recited in claim 1 , wherein the electromagnet comprises a toroidal electromagnet and a poloidal electromagnet.5. The apparatus claim 1 , as recited in claim 1 , wherein the edge ring body has a circumference claim 1 , and wherein the electromagnet extends around the circumference of the edge ring.6. The apparatus claim 1 , as recited in claim 1 , wherein the electromagnet power source is a source of AC current.7. The apparatus claim 1 , as recited in claim 1 , wherein the electrical resistivity of the electromagnet is ...

Подробнее