Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 113108. Отображено 199.
07-06-2024 дата публикации

Устройство для фиксации керамических игл

Номер: RU2820742C2

Изобретение относится к области вакуумно-плазменного оборудования. Устройство для фиксации керамических игл включает плавающее крепление, на котором благодаря зажимной гайке обеспечивается возможность фиксации керамической иглы. При этом подвижное в направлениях вертикальной и горизонтальной осей плавающее крепление с помощью замка обеспечивает возможность его установки на жесткой опоре, фиксация в вертикальном направлении которой обеспечивается посредством регулировки установочных винтов. Изобретение обеспечивает надежное и герметичное крепление керамической иглы с обеспечением ее самоустановки в технологическое отверстие подложкодержателя. 1 ил.

Подробнее
11-10-2017 дата публикации

ПОЗИЦИОНИРУЮЩЕЕ УСТРОЙСТВО, УПРАВЛЯЮЩЕЕ УСТРОЙСТВО И СПОСОБ УПРАВЛЕНИЯ

Номер: RU2633302C2

Группа изобретений относится к позиционирующему устройству, например, для использования в качестве платформы для заготовки для интегральных схем. Платформа с длинным ходом и платформа с коротким ходом укладываются друг на друга. Чтобы инициировать перемещение платформы с длинным ходом и платформы с коротким ходом в требуемом направлении перемещения платформа с длинным ходом сначала перемещается в противоположном направлении, которое является противоположным требуемому направлению движения, и/или платформа с коротким ходом сначала перемещается в требуемом направлении перемещения в течение предварительно определенного интервала времени и на расстояние, меньшее, чем расстояние между ферромагнитным центральным ходовым элементом и ближайшим одним из двух актуаторов в неподвижном состоянии платформы с длинным ходом. Затем платформа с длинным ходом перемещается в требуемом направлении перемещения. Технический результат – стабильность температуры, уменьшение потребления энергии и равномерное распределение ...

Подробнее
07-06-2024 дата публикации

Подъемное устройство для керамических игл в установках плазмохимического травления

Номер: RU2820740C2

Изобретение относится к области вакуумно-плазменного оборудования. Подъемное устройство для керамических игл в установках плазмохимического травления включает платформу, в центре которой установлен пневмоцилиндр с антиповоротной платформой. На одинаковом расстоянии от центра платформы герметично закреплены сварные сильфоны, обеспечивающие возможность отсечения вакуумного контура. Внутри сварных сильфонов закреплены керамические иглы, а подъемное устройство выполнено с возможностью крепления к подложкодержателю и расположения непосредственно в объеме катодного узла установки плазмохимического травления. Кроме того, пневмоцилиндр выполняет функцию направляющей для обеспечения возможности перемещения керамических игл относительно верхней поверхности подложкодержателя. Изобретение обеспечивает уменьшение габаритов механизмов подъема, что позволяет эффективно использовать ограниченное пространство в вакуумных системах. 3 ил.

Подробнее
07-11-2023 дата публикации

УСТРОЙСТВО ДЛЯ ЗАГРУЗКИ И ХРАНЕНИЯ КОНТАКТ-ДЕТАЛЕЙ ГЕРКОНОВ

Номер: RU2806801C1

Изобретение относится к бункерным загрузочным устройствам и может быть использовано для нанесения гальванических покрытий на контакт-детали герметизированных магнитоуправляемых контактов (герконов). Технический результат заключается в повышении процента выхода и снижении разброса эксплуатационных параметров герконов. Устройство для загрузки и хранения контакт-деталей герконов содержит вибростол, бункер с гнездами для ориентированной укладки контакт-деталей герконов, расположенный под ним магазин, внутреннее пространство которого разделено плоскими перемычками на вертикальные ячейки, при этом магазин представляет собой емкость прямоугольного сечения, ограниченную дном толщиной более 1,0 и до 2,0 мм включительно и боковыми стенками, в стенках изготовлены вертикальные канавки глубиной более 2,0 и до 3,0 мм, в которых запрессованы плоские перемычки толщиной более 0,8 и до 1,0 мм включительно, внутри магазина между стенками плоские перемычки имеют высоту, меньшую более чем на 1,0 мм и до 2,0 ...

Подробнее
27-11-2016 дата публикации

ПОЗИЦИОНИРУЮЩЕЕ УСТРОЙСТВО, УПРАВЛЯЮЩЕЕ УСТРОЙСТВО И СПОСОБ УПРАВЛЕНИЯ

Номер: RU2015109146A
Принадлежит:

... 1. Позиционирующее устройство (2), содержащее:- опорную структуру (10), содержащую один или более опорных элементов (11, 12, 13),- платформу (20) с длинным ходом, которая поддерживается и является подвижной в направлении (M) перемещения относительно опорного элемента (11), причем платформа с длинным ходом содержит несущий элемент (21) платформы с длинным ходом, который поддерживается посредством опорного элемента (11) с длинным ходом,- платформу (50) с коротким ходом, которая является подвижной в направлении (M) перемещения относительно платформы (20) с длинным ходом, причем платформа (50) с коротким ходом содержит несущий элемент (51) платформы с коротким ходом, который поддерживается посредством опорного элемента (12, 13) с коротким ходом, при этом платформа (20) с длинным ходом или платформа (50) с коротким ходом имеет два актуатора (30, 40), размещенных напротив друг друга на фиксированном расстоянии с зазором между ними, причем каждый актуатор (30, 40) содержит ферромагнитное ярмо ...

Подробнее
20-06-2002 дата публикации

Wafer-Träger

Номер: DE0019731174C2
Принадлежит: FLUOROWARE INC, FLUOROWARE, INC.

Подробнее
24-05-2007 дата публикации

Positionierungsmaschine und ein Verfahren zu ihrer Herstellung

Номер: DE0060216884T2
Принадлежит: CANON KK, CANON K.K.

Подробнее
31-05-2007 дата публикации

WERKZEUG ZUR HANDHABUNG VON WAFERN UND REAKTOR FÜR EPITAXISCHES WACHSTUM

Номер: DE0060219648D1
Принадлежит: LPE SPA, LPE S.P.A.

Подробнее
16-03-1989 дата публикации

Table-adjustment device

Номер: DE0003829022A1
Принадлежит:

The table-adjustment device contains a reference table (11), a lower table (13) which can move in the horizontal direction in order to generate a horizontally acting drive force, a lever element (30) which receives the drive force in order to pivot around a rotary axis and to convert the drive force into a vertical force, and a movable table (12) which receives the vertical force in order to move in the vertical direction. The height of the movable table (12) on the reference table (11) can be rendered comparatively small. Spacing and tilting errors can thereby be reduced. If the leverage of the lever element (30) is a step-down, the resolution of the movable table can be rendered high or good. If the leverage of the lever element (30) is a step-up, the movement range of the movable table (12) can be rendered large. ...

Подробнее
02-04-1998 дата публикации

Alignment apparatus for loading semiconductor wafer with notch onto cassette

Номер: DE0019741520A1
Принадлежит:

The apparatus is used for aligning multiple wafers (a) with corresponding notches (b) on a cassette (c) along same direction, at the notch position. Several rollers (1) for notch detection are arranged in parallel manner on the opening lower part of the cassette. The rollers are made to contact the lower part of each wafer and are driven by individual rotary drive shafts (2). Rotation drive of each roller is performed using the frictional force between the drive shafts.

Подробнее
24-01-2008 дата публикации

VORRICHTUNG ZUM ABHOLEN VON IC"S VON EINEM WAFER

Номер: DE0050308805D1
Принадлежит: SIEMENS AG

Подробнее
23-09-2010 дата публикации

Werkstückträger mit Fluidzonen zur Temperatursteuerung

Номер: DE112008003029T5
Принадлежит: MATTSON TECH INC, MATTSON TECHNOLOGY INC.

Werkstückträger zum Halten eines Werkstückes in einer Prozesskammer mit: einem Werkstückträger, der eine Werkstückaufnahmefläche zum Aufnehmen und Halten eines Werkstückes definiert; und einer Vielzahl von unterteilten Fluidzonen auf der Werkstückaufnahmefläche, wobei jede Fluidzone mit einer Fluidversorgung verbunden ist, um einen Fluiddruck zwischen der Werkstückaufnahmefläche und einem Werkstück zu erzeugen, das auf der Werkstückaufnahmefläche angeordnet ist, wobei, wenn die Fluide an jede der Fluidzonen geliefert werden, jede Fluidzone unter Druck gesetzt wird, wobei die Fluidzonen getrennt sind, und wobei mindestens bestimmte Zonen der Zonen eine unterschiedliche Azimutposition auf der Werkstückaufnahmefläche aufweisen.

Подробнее
02-11-1989 дата публикации

SEALED STANDARD INTERFACE APPARATUS

Номер: DE0003573367D1
Принадлежит: ASYST TECHNOLOGIES

Подробнее
17-02-2005 дата публикации

Bearbeitungsgegenstands-Bearbeitungseinrichtung, Bearbeitungssgegenstands-Bearbeitungsverfahren, Drucksteuerverfahren, Bearbeitungsgegenstands-Transportverfahren, und Transporteinrichtung

Номер: DE102004010688A1
Принадлежит:

Eine Bearbeitungsgegenstands-Bearbeitungseinrichtung ermöglicht die Durchführung mehrerer Prozesse in wirksamer Weise. Mehrere Behandlungssysteme sind verbindbar zusammen entlang einer Linie verbunden, entlang welcher die zu bearbeitenden Gegenstände bearbeitet werden. Ein Ladeschleusensystem ist verbindbar an die Behandlungssysteme angeschlossen und weist einen Transportmechanismus auf, der die zu bearbeitenden Gegenstände in jede der Behandlungssysteme hinein und aus diesen heraus transportiert. Zumindest eines der Behandlungssysteme ist ein Vakuumbehandlungssystem, und das Ladeschleusensystem ist an einer solchen Position angeordnet, dass es auf einer Linie mit dem Behandlungssystem liegt.

Подробнее
18-02-2016 дата публикации

Positioniervorrichtung und Verfahren

Номер: DE102013016065B4
Принадлежит: MECATRONIX AG

Positioniervorrichtung zum Bewegen eines Substrats, mit: einer Basis (10), und mit einem relativ zur Basis (10) beweglichen sowie mittels Magnetlagerung (18) berührungslos an der Basis (10) angeordneten Träger (12), welcher mittels der Magnetlagerung (18) berührungslos entlang einer Verschieberichtung verschiebbar an der Basis (10) gelagert ist, wobei die Magnetlagerung (18) zumindest eine Dauermagneteinheit (20) und zumindest eine erste Elektromagneteinheit (30) aufweist, wobei die Dauermagneteinheit (20) zur Erzeugung einer auf den Träger (12) einwirkenden Stützkraft (S) ausgebildet ist, die größer als die Gewichtskraft (G) des Trägers (12) ist und wobei die erste Elektromagneteinheit (30) zur Erzeugung einer der Stützkraft (S) entgegenwirkenden Regulierkraft (R) ausgebildet ist.

Подробнее
29-10-2020 дата публикации

Haltetisch und Verwendung des Haltetischs

Номер: DE102015201833B4
Принадлежит: DISCO CORP, Disco Corporation

Haltetisch (5) zum Halten eines Wafers (W), der einen Bauelementbereich (83), an dem mehrere Bauelemente ausgebildet sind, und einen Umfangsrandbereich (84), der den Bauelementbereich (83) umgibt, an einer Vorderseite (80) des Wafers (W) aufweist, wobei der Wafer (W) ferner einen an einer Rückseite (81) des Umfangsrandbereichs (84) ausgebildeten ringförmigen Verstärkungsabschnitt (85) aufweist; der Haltetisch (5) eine obere Oberfläche aufweist, die mit einer ringförmigen Austrittsnut (53) zum Ermöglichen des Austritts eines Laserstrahls ausgebildet ist, wobei die ringförmige Austrittsnut (53) so ausgebildet ist, dass sie einem Grenzabschnitt (86) zwischen dem Bauelementbereich (83) und dem ringförmigen Verstärkungsabschnitt (85) des an der oberen Oberfläche des Haltetischs (5) gehaltenen Wafers (W) entspricht, und ein unteres Ende (54) der Austrittsnut (53) angeschrägt und mit feinen Unebenheiten zum Streuen des Laserstrahls ausgebildet ist.

Подробнее
30-06-2005 дата публикации

Verfahren und Vorrichtung zum präzisen Ausrichten von Halbleiter-Chips auf einem Substrat

Номер: DE0019801247B4

Verfahren zum präzisen Ausrichten eines Halbleiterplättchens (Chip) (3) mit controlled collapse Chip connection (C4)-Lötkugeln (Pads) (2) auf einem Substrat (1), dadurch gekennzeichnet, daß auf das Substrat (1) eine Anschlagseinrichtung (4) aufgebracht wird, die als Anschlag für die C4-Lötkugeln dient.

Подробнее
22-01-2009 дата публикации

ELEKTROSTATISCHER SCHEIBENHALTER MIT PORÖSEN BEREICHEN

Номер: DE0060136940D1

Подробнее
04-05-2006 дата публикации

Klemmvorrichtung und Transportvorrichtung zum Transportieren von Substraten

Номер: DE102005044047A1
Принадлежит:

Eine Klemmvorrichtung enthält eine in vertikaler Richtung feststehende Klemmbacke (15), eine in vertikaler Richtung bewegliche Klemmbacke (16) und einen Kraftgeber für die Beaufschlagung der beweglichen Klemmbacke (16) mit einer Kraft. Die bewegliche Klemmbacke (16) ist bogenförmig. Der Kraftgeber besteht vorzugsweise aus einer Spule (21) und einem Eisenkern (22). Die bewegliche Klemmbacke (16) ist im nicht klemmenden Zustand der Klemmvorrichtung mit dem Gewicht des Eisenkerns (22) belastet. Zum Festklemmen wird die Spule (21) mit einem Strom beaufschlagt, der ein Magnetfeld erzeugt, das den Eisenkern (22) gegen die bewegliche Klemmbacke (16) drückt.

Подробнее
18-07-2002 дата публикации

Verfahren und Vorrichtung zur Bearbeitung von Halbleitersubstraten

Номер: DE0010159702A1
Принадлежит:

Die Erfindung betrifft ein Verfahren und eine Vorrichtung zur Bearbeitung von Halbleitersubstraten, wobei die insbesondere unbeschichteten Halbleitersubstrate durch eine Beladungsschleuse (1) einer Bearbeitungsanordnung zugeführt werden, welche Beladungsschleuse (1) an eine Transferkammer (2) angrenzt, von welcher wiederum eine Vielzahl von Bearbeitungskammern (3, 4, 5) mit den zu bearbeitenden Halbleitersubstraten beladbar sind, wozu zunächst die Transferkammer (2) und die Bearbeitungskammer (3) evakuiert werden und daran anschließend eine Verbindungstür (7) zwischen Transferkammer (2) und Bearbeitungskammer (3) geöffnet wird. Als Verbesserung schlägt die Erfindung vor, dass mindestens einer der Bearbeitungskammern (4) ein Niedrigdruck- oder Atmosphärendruck-Prozess betrieben wird und vor dem Öffnen der dieser Bearbeitungskammer (49 zugeordneten Verbindungstür (8) die Transferkammer (2) mit einem Inertgas geflutet wird, wobei zwischen Transferkammer und Bearbeitungskammer eine vorbestimmte ...

Подробнее
04-11-2010 дата публикации

Vorrichtung zur Ausrichtung und Vorfixierung eines Wafers

Номер: DE102009018977A1
Принадлежит:

Die Erfindung betrifft eine Vorrichtung zur Ausrichtung und Vorfixierung eines flächigen Substrats auf einem Trägersubstrat für die Weiterverarbeitung des Substrats mit folgenden Merkmalen: - Ausrichtungsmitteln zur Ausrichtung einer Substrataußenkontur des Substrats relativ zu einer Trägersubstrataußenkontur des Trägersubstrats durch Einwirkung auf die Substrataußenkontur, wobei die Ausrichtung entlang einer durch eine Kontaktfläche des Substrats mit dem Trägersubstrat aufgespannten Substratebene E erfolgt, und - Fixiermitteln zur zumindest teilweisen Vorfixierung des ausgerichteten Substrats auf dem Trägersubstrat. Weiterhin betrifft die Erfindung ein Verfahren zur Ausrichtung und Vorfixierung eines flächigen Substrats auf einem Trägersubstrat für die Weiterverarbeitung des Substrats mit folgenden Merkmalen: - Ausrichtung einer Substrataußenkontur des Substrats relativ zu einer Trägersubstrataußenkontur des Trägersubstrats durch Einwirkung auf die Substrataußenkontur durch Ausrichtungsmittel ...

Подробнее
28-05-1970 дата публикации

Device for the Fine Adjustment of Photomasks with respect to Semiconductor Elements

Номер: GB0001193297A
Автор:
Принадлежит:

... 1,193,297. Semi-conductor devices. TELEFUNKEN PATENTVERWERTUNGSG.m.b.H. 13 June, 1967 [1 July, 1966], No. 27247/67. Heading H1K. [Also in Division G2] The position of a photomask relative to that of a semi-conductor element is adjusted by means of rod-shaped electro-mechanical transducers. As shown, Fig. 1, after a preliminary coarse adjustment, a photomask 4 mounted on a carrier 5 is positioned over a semi-conductor element 1, by two magneto-strictive transducers 10, 11. The correct position is determined by aligning two apertures 6 in mask 4 with corresponding pairs of apertures 3a, 3b, in the semiconductor element and base-plate respectively. Detection is by means of a radiation source 7 and a pair of photo-diodes 8 connected to an indicator 9. The transducers 10, 11 are energized by means of D.C. sources 16, 17 and variable resistors 14, 15 and may have superimposed A.C. energization from sources 18, 19 to produce a small oscillation of the mask. The photo-diode may be connected to ...

Подробнее
04-09-1996 дата публикации

Cassette for semiconductor manufacture and management, method for manufacturing the cassette, and equipment for semiconductor fabrication

Номер: GB0009613925D0
Автор:
Принадлежит:

Подробнее
08-08-2001 дата публикации

Controlling the transport of special lots of semiconductor wafers between process stockers, eg for dust monitoring

Номер: GB0002358935A
Принадлежит:

Semiconductor wafers are processed in lots at different processing stations in sequence. To determine, for example, the amount of dust collected by such a lot in the transport between stations, special lots are designated which travel between the stations, but are not processed at the stations. Instead they are stored in the stockers or stores at the stations for periods of time corresponding to the processing times for the production lots. The special lots are removed from the stocker at the last station for measurement, eg of the dust collected. This give an indication of the dust present in the processing facility. The transport of the special lots is automated under the control of a carrying host computer which stores data on all the lots, the various routes or "carrying path patterns" between stockers that the lots can be directed to follow and the actual location of a lot at any one time. When a stocker receives a lot, a report is issued to the computer and a timer is set. When a ...

Подробнее
03-04-1996 дата публикации

Electrostatic chuck

Номер: GB0002293689A
Принадлежит:

An electrostatic chuck including a dielectric (1) and an electrostatic chuck electrode (2) formed in the dielectric includes a second electrode (4) for detecting the chucking force of the dielectric. The second electrode is connected to a measurement circuit for monitoring the chucking force of the dielectric. ...

Подробнее
10-06-1998 дата публикации

Wafer carrier with cushioning means

Номер: GB0002293816B

Подробнее
20-01-1999 дата публикации

Wafer transport system with latching door

Номер: GB0002327235A
Принадлежит:

A wafer container 220 has an open front 240 defined by a door receiving frame 260 and a door 224 sized for the door receiving frame 260. The door receiving frame 260 has slots 275 on opposite sides and the door 224 utilizes latching linkages 318 and lifting linkages 319 cooperate to extend, lift, lower and retract the latching portions 306 from the door into and out of latch receptacles 272,274 on the door receiving frame 260. The door 224 may also have passive wafer cushions 470 or active wafer engaging arms 112 which extend inwardly toward the wafers w to secure said wafers when the door is in place. The latching linkages 318, lifting linkages 319, and where desired the retaining arms may be linked to rotatable cammed members 310 in the interiors of the door. The cammed members 310 utilizes cammed surfaces configured to first extend the latching portions 306 in a first direction into the latching receptacles 272,274 and to then move the latching portions 306 in a second direction normal ...

Подробнее
03-08-2005 дата публикации

A method of controlling a processing base

Номер: GB0002410572A
Принадлежит:

A method of controlling a mover device 10F that includes: a fixed base 11F; a movable base 12F that is moveable in a linear direction with respect to the fixed base; a processing base 13F that is movable in a linear direction with respect to the movable base, and an actuator 154 that is provided between the processing base and the movable base. The method includes the steps of: moving the processing base with respect to the movable base, thereby moving the processing base with respect to the fixed base, moving the movable base on the fixed base in the opposite direction to the direction of the processing base by virtue of a reaction force caused by moving the processing base, so that the movable base moves in the opposite direction to the moving direction of the processing base on the fixed base; and controlling the moving velocity of the processing base with respect to the fixed base.

Подробнее
08-03-1995 дата публикации

Off-chip conductor structure and fabrication method for large intergrated microcircuits

Номер: GB0002281659A
Принадлежит:

A silicon carrier wafer (82) is thermally processed to produce a silicon dioxide layer (12) on a surface thereof. A patterned metal conductor layer (16) is formed on the silicon dioxide layer (12) using silicon processing technology which enables high resolution and density. A silicon nitride layer (14) is formed on the conductor layer (16) and exposed areas of the silicon dioxide layer (12). Vias (32, 34, 36) are formed through the silicon nitride layer (14) for ohmic contact to appropriate points of the conductor layer (16). Thick metal contact layers (38, 40, 42, 46, 48) are formed on the silicon nitride layer (14) in ohmic connection with the vias (32, 34, 36) and indium bumps (50, 52, 54) are formed on the contact layers (38, 40, 42, 44, 46, 48). The carrier (82), which serves as a support during processing is removed by etching, with the silicon dioxide layer (12) acting as an etch stop. Integrated circuit chips (18) are mounted on the silicon dioxide layer (2) and connected to the ...

Подробнее
29-11-2006 дата публикации

Ion beam irradiation apparatus

Номер: GB0002426625A
Принадлежит:

Three axes that are orthogonal to each other at one point are taken as an X-axis, a Y-axis and a Z-axis. An irradiation angle setting motor (14a) holds a holder (4), and sets an irradiation angle r of an ion beam (58) by rotating this holder (4) around a center axis (60) that is parallel to the X-axis. A Y-axis linear motor (20) causes the holder (4) and the irradiation angle setting motor (14a) to ascend and descent in the direction of the Y-axis. A Z-axis linear motor (30) moves the holder (4), the irradiation angle setting motor (14a) and the Y-axis linear motor (20) in the direction of the Z-axis. A control unit (40) operation-controls synchronously the Y-axis linear motor (20) and the Z-axis linear motor (30) so that a substrate holding surface (6) of the holder (4) reciprocates and scans linearly along an S-axis that is parallel to the substrate holding surface (6) and orthogonal to the X-axis. A further embodiment shows the positions of the Y-axis and Z-axis linear motors swapped ...

Подробнее
16-06-2004 дата публикации

Holder for wafers

Номер: GB0000410743D0
Автор:
Принадлежит:

Подробнее
07-07-2004 дата публикации

Assembly for supporting a workpiece or specimen in a charged particle beam system

Номер: GB0000412430D0
Автор:
Принадлежит:

Подробнее
17-02-1982 дата публикации

Magazine for electrical components

Номер: GB0002081226A
Принадлежит:

Herein disclosed is a tubular magazine 10 for electrical components 2, which is made of a high molecular compound and which is constructed of a transparent top portion 11 so that the components can be identified and conductive terminal housing portions 13 to prevent electrostatic breakdown. The terminal housing portions 13 can be made conductive by incorporation of a conductive material into the high molecular compound of which they are made.

Подробнее
11-04-1984 дата публикации

ORIENTING ELECTRICAL COMPONENTS

Номер: GB0008406129D0
Автор:
Принадлежит:

Подробнее
24-05-1995 дата публикации

Guideless stage with isolated reaction stage

Номер: GB0009506629D0
Автор:
Принадлежит:

Подробнее
04-09-1996 дата публикации

Boat for loading wafers in vertical diffusion furnace

Номер: GB0009615204D0
Автор:
Принадлежит:

Подробнее
05-01-2022 дата публикации

Workpiece alignment and printing

Номер: GB0002596517A
Принадлежит:

A method for printing workpieces using a printing machine having a rising table 15 being vertically movable between a lower, retracted position and an upper, extended position, and tooling 16 fitted to the rising table to be carried therewith. The tooling comprises a plurality of discrete and horizontally spaced support surfaces 13. The transport of workpieces is controlled such that each workpiece may be stopped at respective first and second locations 17, (18, Fig.3C) within the printing machine The first and second locations being spaced along the horizontal transport path X and each being located directly above the rising table, with at least one of the first and second locations being directly located above a support surface of the tooling. Also described is a method for aligning workpieces by supporting them on two different towers 12. The method includes stopping the workpiece at a location in which the workpiece directly overlies first and second support surfaces of the plurality ...

Подробнее
15-05-2007 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT0000360259T
Принадлежит:

Подробнее
15-06-2007 дата публикации

DEVICE FOR THE LOADING AND UNLOADING SUBSTRATES

Номер: AT0000362196T
Принадлежит:

Подробнее
15-12-2007 дата публикации

DEVICE FOR FETCHING FROM ICßS FROM a WAFER

Номер: AT0000381114T
Принадлежит:

Подробнее
15-12-2007 дата публикации

DEVICE FOR THE LOADING OF A CONTAINER, WHICH FINDS IN A FIMS SYSTEM USE

Номер: AT0000381115T
Принадлежит:

Подробнее
15-12-2007 дата публикации

MOTHER BOARD DEVICE AND PROCEDURE FOR THE PRODUCTION OF A SWITCHING CONFIGURATION USING THE SAME

Номер: AT0000381040T
Принадлежит:

Подробнее
15-01-2011 дата публикации

PROCEDURE FOR THE POSITIONING OF A WAFER

Номер: AT0000493757T
Принадлежит:

Подробнее
15-04-2003 дата публикации

TRÄGER FÜR SCHEIBENFÖRMIGE GEGENSTÄNDE, INSBESONDERE SILIZIUM-WAFER

Номер: ATA106097A
Автор:
Принадлежит:

Подробнее
15-06-2000 дата публикации

ROTIERBARER TRÄGER FÜR KREISRUNDE, SCHEIBENFÖRMIGE GEGENSTÄNDE, INSBESONDERE HALBLEITERWAFER ODER -SUBSTRATE

Номер: ATA202496A
Автор:
Принадлежит:

Подробнее
15-12-2018 дата публикации

A system for testing wafers AlignedPairsRelated handling techniques globaux sand

Номер: AT0000520028A2
Автор:
Принадлежит:

Es werden ein System und ein Verfahren im industriellen Maßstab zum Handhaben präzise aufeinander ausgerichteter und zentrierter Halbleitersubstrat (zum Beispiel Wafer)-Paare für Substrat-zu-Substrat (zum Beispiel Wafer-zu-Wafer)-Ausrichtungs- und Bondungsanwendungen bereitgestellt. Einige Ausführungsformen umfassen eine Vorrichtung für den Transport aufeinander ausgerichteter Substrate, die ein Rahmenelement und eine Abstandshalteranordnung aufweist. Die zentrierten Halbleitersubstratpaare können innerhalb eines Verarbeitungssystems, das die Vorrichtung für den Transport aufeinander ausgerichteter Substrate verwendet, optional unter Robotersteuerung, positioniert werden. Die zentrierten Halbleitersubstratpaare können ohne Gegenwart der Vorrichtung für den Transport aufeinander ausgerichteter Substrate in der Bondungsvorrichtung miteinander verbondet werden. Die Bondungsvorrichtung kann eine zweite Abstandshalteranordnung umfassen, die in Abstimmung mit derjenigen für die Vorrichtung für ...

Подробнее
15-03-2007 дата публикации

ELECTROSTATIC HOLDING DEVICE AND METHOD FOR PRODUCTION THE SAME

Номер: AT0000354177T
Принадлежит:

Подробнее
25-04-2005 дата публикации

GREIFWERKZEUG

Номер: AT0000412553B
Автор:
Принадлежит:

Подробнее
15-04-2017 дата публикации

Chuck, in particular for use in a mask aligner

Номер: AT0000517796A2
Принадлежит:

Das Spannfutter zum Ausrichten eines ersten ebenen Substrats, z.B. einer Scheibe, pa­ rallel zu einem zweiten ebenen Substrat, z.B. einer Maske, weist auf: eine obere Platte, die eine Oberseite zur Anordnung des ersten ebenen Substrats aufweist, eine untere Platte, min­ destens einen Abstandsmesssensor, der konfiguriert ist, einen Abstand zwischen der Oberseite der oberen Platte und einer Oberfläche des zweiten ebenen Substrats zu messen, und mindes­ tens drei lineare Stellglieder in Kontakt mit der oberen Platte und der unteren Platte. Das Ver­ fahren zum Einstellen eines Spalts zwischen einem ersten ebenen Substrat, z.B. einer Scheibe, auf einer oberen Platte eines Spannfutters, und einem zweiten ebenen Substrat, z.B. einer Maske, insbesondere mittels des Spannfutters weist die Schritte auf: Messen der Dicke des ersten ebenen Substrats an mindestens einem Punkt; Messen des Abstands zwischen einer Oberfläche des zweiten ebenen Substrats und der Oberseite der oberen Platte durch mindes­ ...

Подробнее
15-11-2019 дата публикации

Method and apparatus for aligning and centering of wafers

Номер: AT0000517795B1
Принадлежит:

Eine Vorrichtung zum Zentrieren von kreisrunden Wafern, mit einem Chuck zum Stützen eines kreisrunden Wafers auf einer Oberseite des Chucks und zum Zentrieren und Ausrichten des Wafers (20, 30), indem zwei drehbewegliche Ausrichtungsarme (460A, 460B) in Richtung Mitte (465) des Chucks (222, 232, 464) drehbar sind, wobei ein dritter Ausrichtungsarm (460C) linear entlang einer ersten Achse in Richtung Mitte (465) des Chucks (222, 232, 464) bewegbar ist, bis ein Ausnehmungsfinder (472) in eine am Umfangsrand des Wafers (20, 30) ausgebildete Ausnehmung (469) eingreift, und wobei der Ausnehmungsfinder (472) linear entlang der ersten Achse bewegbar ist und eine vordere längliche Komponente (473a) aufweist, die sich entlang einer Achse koplanar zum Chuck (222, 232, 464) erstreckt und senkrecht zur ersten Achse verläuft, wobei eine Platte (488) auf einer Seite der vorderen länglichen Komponente (473a) angeordnet ist, wobei bei Antrieb des Ausnehmungsfinders (472) entlang der ersten Achse eine Distanz ...

Подробнее
15-05-2017 дата публикации

Method and apparatus for leveling, force compensation and contact sensing wherein semiconductor wafers

Номер: AT0000517895A1
Принадлежит:

Eine Waferbondervorrichtung umfasst eine untere Aufspannvorrichtung, eine obere Aufspannvorrichtung, eine Prozesskammer und drei Einstellmechanismen. Die drei Einstellmechanismen sind um einen oberen Deckel herum und voneinander beabstandet angeordnet und sind außerhalb der Prozesskammer angeordnet. Jeder Einstellmechanismus umfasst eine Komponente zum Erfühlen eines Kontakts mit der oberen Aufspannvorrichtung, eine Komponente zum Einstellen der Vorbelastungskraft der oberen Aufspannvorrichtung und eine Komponente zur Nivellierung der oberen Aufspannvorrichtung.

Подробнее
15-05-1988 дата публикации

PROCEDURE AND ARRANGEMENT FOR THE MANIPULATION OF ROUNDS DISKS, TO THEIR REGISTRATION BY LASER BEAMS.

Номер: AT0000034111T
Принадлежит:

Подробнее
15-12-1997 дата публикации

ELECTROSTATIC HOLDING DEVICE FOR SUBSTRATE IN A WORKING ON CHAMBER

Номер: AT0000160903T
Принадлежит:

Подробнее
15-11-1997 дата публикации

MULTIPLE ELECTRODE ELECTROSTATIC HOLDING DEVICE

Номер: AT0000160238T
Принадлежит:

Подробнее
15-06-2006 дата публикации

INTEGRATED OF DISK PROCESS SYSTEM

Номер: AT0000326064T
Принадлежит:

Подробнее
15-09-2003 дата публикации

PROCEDURE AND DEVICE FOR THE REVERSAL OF CARRIERS

Номер: AT0000249382T
Принадлежит:

Подробнее
15-06-2003 дата публикации

DEVICE TO THE LOADING OF DISKS

Номер: AT0000242064T
Принадлежит:

Подробнее
10-09-1969 дата публикации

Mechanism for adjustment the space situation from in a vacuum chamber to treating articles

Номер: AT0000274062B
Автор:
Принадлежит:

Подробнее
01-06-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00033096909T
Принадлежит:

Подробнее
16-06-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00033750597T
Принадлежит:

Подробнее
27-09-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00031401974T
Принадлежит:

Подробнее
09-03-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00033522537T
Принадлежит:

Подробнее
13-05-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00032527856T
Принадлежит:

Подробнее
04-10-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00032732450T
Принадлежит:

Подробнее
11-03-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00036785350T
Принадлежит:

Подробнее
27-08-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00036162228T
Принадлежит:

Подробнее
28-10-2000 дата публикации

TOOL TO THE HANDLING OF WAFERN AND REACTOR FOR EPITAXI GROWTH

Номер: AT00035604544T
Принадлежит:

Подробнее
13-06-1991 дата публикации

PE PART

Номер: AU0006789490A
Принадлежит:

Подробнее
30-07-2003 дата публикации

WAFER CARRIER DOOR AND LATCHING MECHANISM

Номер: AU2003205139A1
Автор: EGGUM SHAWN, SHAWN EGGUM
Принадлежит:

Подробнее
26-03-2002 дата публикации

Alignment apparatus

Номер: AU0008623801A
Принадлежит:

Подробнее
03-11-2003 дата публикации

Single axis manipulator with controlled compliance

Номер: AU2003221925A8
Принадлежит:

Подробнее
04-03-1993 дата публикации

PICKUP METHOD AND THE PICKUP APPARATUS FOR CHIP-TYPE PART

Номер: AU0000634838B2
Автор: MASANORI NISHIGUCHI
Принадлежит:

Подробнее
29-08-1978 дата публикации

WORKPIECE POSITIONING APPARATUS

Номер: CA0001037506A1
Принадлежит:

Подробнее
26-11-1974 дата публикации

ALIGNING AND ORIENTING APPARATUS

Номер: CA958427A
Автор:
Принадлежит:

Подробнее
19-10-1993 дата публикации

DAMPING SUPPORT STRUCTURE

Номер: CA0001323410C
Принадлежит: TAKENAKA CORP, TAKENAKA CORPORATION

A damping support structure for preventing vibrations of an apparatus table supporting an apparatus for manufacturing ultra-high precision devices such as semiconductors and printed circuit boards. The apparatus table is supported by a support deck suspended to be horizontally displaceable. Linear motors are actuated in response to vibrations of the apparatus table detected by vibration sensors. The apparatus table is thus displaceable relative to a stationary section, to be safeguarded against the vibrations.

Подробнее
05-01-2012 дата публикации

Active energy ray-curable pressure-sensitive adhesive for re-release and dicing die-bonding film

Номер: US20120003470A1
Принадлежит: Nitto Denko Corp

Provided is an active energy ray-curable pressure-sensitive adhesive for re-release, which has a small influence on an environment or a human body, can be easily handled, can largely change its pressure-sensitive adhesiveness before and after irradiation with an active energy ray, and can express high pressure-sensitive adhesiveness before the irradiation with the active energy ray and express high releasability after the irradiation with the active energy ray. The active energy ray-curable pressure-sensitive adhesive for re-release includes an active energy ray-curable polymer (P), in which the polymer (P) includes one of a polymer obtained by causing a carboxyl group-containing polymer (P3) and an oxazoline group-containing monomer (m3) to react with each other, and a polymer obtained by causing an oxazoline group-containing polymer (P4) and a carboxyl group-containing monomer (m2) to react with each other.

Подробнее
12-01-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120006489A1
Принадлежит: Panasonic Corp

Substrates are contained in substrate containing holes which penetrate a tray in the thickness direction. A dielectric plate in a chamber is provided with a tray supporting surface which supports the lower surface of the tray and substrate placing sections which protrude upward, and has an electrostatic chuck electrode therein. The substrate supporting section which supports the substrate contained in the substrate containing holes is provided with a plurality of protruding sections formed at intervals in the circumferential direction of the substrate containing holes. The substrates are supported in point-contact mode by means of the protruding sections.

Подробнее
12-01-2012 дата публикации

Plasma texturing apparatus for solar cell

Номер: US20120006491A1
Принадлежит: Semi Materials Co Ltd

A plasma texturing apparatus for a solar cell includes a susceptor having engagement projections to prevent a wafer mounted therein from slipping outward or fluctuating back and forth when aligning the wafer over a cathode for plasma texturing; a focus ring functioning to confine plasma when conducting a plasma texturing process; and a clamp placed on an inner surface of the focus ring in such a way as to have a downward slope, and having one end which is coupled to the focus ring and the other end which faces away from the one end, is formed to be pointed and functions to squeeze and support peripheral portions of the wafer.

Подробнее
19-01-2012 дата публикации

Temporary substrate, transfer method and production method

Номер: US20120012244A1
Автор: Gregory Riou
Принадлежит: Soitec SA

The present invention relates to a method of manufacturing a temporary substrate, the temporary substrate thus produced, and a method of using the temporary substrate for transfer of a thin layer from an original substrate to a final substrate. The temporary substrate has a principle part of the original substrate and a surface layer thereon that includes a plurality of inserts formed of a material having a coefficient of thermal expansion different from that of the material constituting the rest of the surface layer. When exposed to heat treatment, these inserts form detachment zones between the upper face of an attached thin layer and the temporary substrate.

Подробнее
19-01-2012 дата публикации

Vacuum processing apparatus

Номер: US20120014768A1
Принадлежит: Tokyo Electron Ltd

In a vacuum processing apparatus, a process station includes processing regions arranged in a row at intervals to perform vacuum processing on substrates, the substrates being sequentially transferred between the processing regions from upstream to downstream; a first transport unit for transferring the substrates in a first preliminary vacuum chamber to the processing region at an upstream end; a second transport unit arranged between the adjacent processing regions; and a third transport unit for transferring the substrates from the processing region at a downstream end to a second preliminary vacuum chamber. The control unit outputs a control signal such that in the transfer operations in which the substrates are respectively transferred to the subsequent downstream processing regions from the first preliminary vacuum chamber to the processing region at the downstream end, time periods of at least two transfer operations partially or totally overlap with each other.

Подробнее
19-01-2012 дата публикации

Support structures for various apparatuses including opto-electrical apparatuses

Номер: US20120015163A1
Принадлежит: Awbscqemgk Inc

Present embodiments generally relate to support structures for thin film components and methods for fabricating the support structures. In one embodiment, an apparatus comprises a device structure including portions of an electronic device; a support structure coupled to the device structure; wherein the support structure supplements features of the device structure and the support structure includes: a metal component coupled to the device structure; and a non-metal component coupled to the metal component. The support component can supplement structural and mechanical integrity of the device structure and functional operations of the device structure. In one embodiment, the metal component includes at least one layer of metal material and the non-metal component includes at least one layer of non metal material (e.g., polymeric material, etc.). The metal component can have greater stiffness characteristics with respect to the device structure and the non-metal component can have greater flexibility characteristics with respect to the metal layer component. The support structure can be configured to reflect light towards the device structure. The support structure can also be configured to conduct electricity from the device structure.

Подробнее
26-01-2012 дата публикации

Method of forming a packaged semiconductor device

Номер: US20120021565A1
Принадлежит: Individual

A method is used to form a packaged semiconductor device. A semiconductor device, which has an active surface, is placed in an opening of a circuit board. The circuit board has a first major surface and a second major surface having the opening, first vias that extend between the first major surface and the second major surface, first contact pads terminating the vias at the first major surface, and second contact pads terminating the vias at the second major surface. A dielectric layer is applied over the semiconductor device and the second major surface of the circuit board. An interconnect layer is formed over the dielectric layer. The interconnect layer has second vias electrically connected to the second contact pads, third vias that are electrically connected to the active surface of the semiconductor device, an exposed surface, and third contact pads at the exposed surface.

Подробнее
02-02-2012 дата публикации

Electrical Connector, Electrical Connection System and Lithographic Apparatus

Номер: US20120024585A1
Принадлежит: ASML Netherlands BV

An electrical connector comprises a high voltage pad and a high voltage plate. When connected to another electrical connector, the two plates, which are at the same voltage as the pads, form a region of high voltage in which the field is low. The pads are positioned in that region. An electrostatic clamp of an EUV lithographic apparatus may have such a pad and plate, for connecting to the electrical connector. By placing the interconnection in a low field region, triple points (points of contact between a conductor, a solid insulator and a gas) may be present in that region.

Подробнее
02-02-2012 дата публикации

Laminated semiconductor substrate, laminated chip package and method of manufacturing the same

Номер: US20120025354A1

In a laminated semiconductor substrate, a plurality of semiconductor substrates are laminated. Each of the semiconductor substrate has a plurality of scribe-groove parts formed along scribe lines. Further, each of the semiconductor substrate has a plurality of device regions insulated from each other and has a semiconductor device formed therein. Further, an uppermost substrate and a lowermost substrate have an electromagnetic shielding layer formed using a ferromagnetic body. The electromagnetic shielding layer is formed in a shielding region except the extending zone. The extending zone is set a part which the wiring electrode crosses, in a peripheral edge part of the device region.

Подробнее
02-02-2012 дата публикации

Film for flip chip type semiconductor back surface, dicing tape-integrated film for semiconductor back surface, process for producing semiconductor device, and flip chip type semiconductor device

Номер: US20120025400A1
Принадлежит: Nitto Denko Corp

The present invention relates to a film for flip chip type semiconductor back surface to be formed on a back surface of a semiconductor element flip chip-connected onto an adherend, in which the film for flip chip type semiconductor back surface before thermal curing has, at the thermal curing thereof, a volume contraction ratio within a range of 23° C. to 165° C. of 100 ppm/° C. to 400 ppm/° C.

Подробнее
02-02-2012 дата публикации

Method and electrostatic transfer stamp for transferring semiconductor dice using electrostatic transfer printing techniques

Номер: US20120027557A1
Автор: Ian Ashdown, Ingo Speier
Принадлежит: Cooledge Lighting Inc

A transfer stamp that can be charged with a spatial pattern of electrostatic charge for picking up selected semiconductor dice from a host substrate and transferring them to a target substrate. The stamp may be bulk charged and then selectively discharged using irradiation through a patterned mask. The technique may also be used to electrostatically transfer selected semiconductor dice from a host substrate to a target substrate.

Подробнее
02-02-2012 дата публикации

Film for flip chip type semiconductor back surface, process for producing strip film for semiconductor back surface, and flip chip type semiconductor device

Номер: US20120028050A1
Принадлежит: Nitto Denko Corp

The present invention relates to a film for flip chip type semiconductor back surface to be formed on a back surface of a semiconductor element flip chip-connected onto an adherend, the film for flip chip type semiconductor back surface having a ratio of A/B falling within a range of 1 to 8×10 3 (%/GPa), in which A is an elongation ratio (%) of the film for flip chip type semiconductor back surface at 23° C. before thermal curing and B is a tensile storage modulus (GPa) of the film for flip chip type semiconductor back surface at 23° C. before thermal curing.

Подробнее
02-02-2012 дата публикации

Method of manufacturing semiconductor chip

Номер: US20120028414A1
Принадлежит: Canon Inc

A method of manufacturing a semiconductor chip including an integrated circuit and a through-electrode penetrating a semiconductor layer includes the steps of preparing a first substrate including a release layer and a semiconductor layer formed on the release layer; forming an integrated circuit in the semiconductor layer; forming, in the semiconductor layer, a hole or groove having a depth that does not reach the release layer; filling the hole or the groove with an electrical conductor; bonding a second substrate to the semiconductor layer to form a bonded structure; separating the bonded structure at the release layer to prepare the second substrate to which the semiconductor layer is transferred; and removing at least a portion of the reverse surface side of the semiconductor layer exposed by the separation to expose the bottom of the electrical conductor.

Подробнее
09-02-2012 дата публикации

Apparatus for bump reflow and methods of forming bumps using the same

Номер: US20120031953A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of forming wafer level bump includes forming at least one pre-bump on a first surface of a wafer, and performing a bump reflow process to the pre-bump while the first surface faces downward, such that a bump is formed.

Подробнее
16-02-2012 дата публикации

Enhanced wafer carrier

Номер: US20120040097A1
Принадлежит: Veeco Instruments Inc

A wafer carrier used in wafer treatments such as chemical vapor deposition has pockets for holding the wafers and support surfaces for supporting the wafers above the floors of the pockets. The carrier is provided with locks for restraining wafers against upward movement away from the support surfaces. Constraining the wafers against upward movement limits the effect of wafer distortion on the spacing between the wafer and the floor surfaces, and thus limits the effects of wafer distortion on heat transfer. The carrier may include a main portion and minor portions having higher thermal conductivity than the main portion, the minor portions being disposed below the pockets.

Подробнее
23-02-2012 дата публикации

Substrate support structure, clamp preparation unit, and lithography system

Номер: US20120043438A1
Принадлежит: Mapper Lithopraphy IP BV

A substrate support structure for clamping a substrate on a surface by means of a capillary layer of a liquid. The surface has an outer edge and includes one or more substrate supporting elements for receiving the substrate to be clamped, wherein the one or more substrate supporting elements are arranged to provide support for the substrate at a plurality of support locations. The substrate support structure further includes a sealing structure circumscribing the surface and having a top surface or edge forming a sealing rim. A distance between the outer edge of the surface and an outermost of the support locations is greater than a distance between the outer edge and the sealing rim.

Подробнее
23-02-2012 дата публикации

Laser processing method and laser processing apparatus

Номер: US20120043474A1
Принадлежит: Qmc Co Ltd

The present disclosure relates to laser processing and a laser processing apparatus for processing materials using laser. Processing performed after loading a wafer on a work stage and a laser processing apparatus for implementing such processing, among others, are disclosed. The laser processing includes loading a wafer on a work stage; determining the number of chips formed on the wafer loaded on the work stage, performing chip defect inspection and aligning the wafer while moving the work stage; measuring a height of a surface of the wafer loaded on the work stage using a displacement sensor; monitoring output power of a processing laser using a power meter; and shifting the work stage while irradiating a laser beam on the wafer to process the wafer.

Подробнее
01-03-2012 дата публикации

Semiconductor wafer holder and electroplating system for plating a semiconductor wafer

Номер: US20120048727A1
Принадлежит: Individual

A semiconductor wafer holder includes first and second holding members between which a semiconductor wafer is held. The second holding member includes a second conductive element placed in contact with a first conductive element of the first holding member and the semiconductor wafer. A ring clamp is used to press the second holding member against the first holding member for holding of the semiconductor wafer.

Подробнее
01-03-2012 дата публикации

Adhesive sheet and method of backgrinding semiconductor wafer

Номер: US20120052772A1
Принадлежит: Denki Kagaku Kogyo KK

After an adhesive sheet is pasted on a semiconductor wafer, an adhesive of the sheet increases tight attachment to the semiconductor wafer because wetting spreads over time. Due to this, at the time of peeling the adhesive sheet from the ground semiconductor wafer, the semiconductor wafer used to be damaged. This phenomenon is likely to occur for a thinner semiconductor wafer. The present invention resides in an adhesive sheet including a substrate and an adhesive layer disposed on the substrate. The substrate is formed of an ethylene/vinyl acetate copolymer having a vinyl acetate content of 10% by mass or less. The adhesive layer contains 100 parts by mass of a (meth)acrylate ester copolymer, 1 to 10 parts by mass of a cross-linker, 0.05 to 5 parts by mass of a silicone compound. The (meth)acrylate ester copolymer is formed of a copolymer produced by polymerizing a (meth)acrylate ester monomer and a functional-group-containing monomer.

Подробнее
15-03-2012 дата публикации

Plasma processing apparatus, plasma processing method and storage medium for storing program for executing the method

Номер: US20120061351A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma processing apparatus including a susceptor 114 , having a substrate mounting portion for mounting thereon a substrate, to which a high frequency power is applied; a focus ring 210 , disposed to surround the substrate mounted on the substrate mounting portion, including an outer ring 214 having a top surface higher than a top surface of the substrate and an inner ring 212 extending inwardly from the outer ring so as to allow at least a part of the inner ring to be positioned below a periphery of the substrate, the outer ring and the inner ring being formed as a single member; a dielectric ring 220 positioned between the focus ring and the susceptor; a dielectric constant varying device 250 for varying a dielectric constant of the dielectric ring.

Подробнее
15-03-2012 дата публикации

Manufacturing method of substrate for a semiconductor package, manufacturing method of semiconductor package, substrate for a semiconductor package and semiconductor package

Номер: US20120064666A1
Принадлежит: SUMITOMO METAL MINING CO LTD

A manufacturing method of a substrate for a semiconductor package includes a resist layer forming step to form a resist layer on a surface of a conductive substrate; an exposure step to expose the resist layer using a glass mask with a mask pattern including a transmission area, a light shielding area, and an intermediate transmission area, wherein transmittance of the intermediate transmission area is lower than that of the transmission area and is higher than that of the light shielding area; a development step to form a resist pattern including a hollow with a side shape including a slope part decreasing in hollow circumference as the hollow circumference approaches the substrate; and a plating step to plate on an exposed area to form a metal layer with a side shape including a slope part decreasing in circumference as the circumference approaches the substrate.

Подробнее
22-03-2012 дата публикации

Liquid Processing Method, Recording Medium Having Recorded Program for Executing Liquid Processing Method Therein and Liquid Processing Apparatus

Номер: US20120067846A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a method for processing a substrate including a first process and a second process. The first process comprises supporting the substrate formed with a titanium-containing film on its front surface and rear surface by a support unit which is rotatably installed; rotating the substrate along with the support unit; and supplying a first processing liquid containing hydrofluoric acid to the rear surface of the substrate thereby processing the rear surface of the substrate with the first processing liquid. The second process comprises supplying a second processing liquid containing ammonia hydrogen peroxide mixture to the rear surface of the substrate after the first process is completed, thereby processing the rear surface of the substrate with the second processing liquid.

Подробнее
22-03-2012 дата публикации

Integrated circuit packaging system with stack interconnect and method of manufacture thereof

Номер: US20120068319A1
Принадлежит: Individual

A method of manufacture of an integrated circuit packaging system includes: forming a connection carrier having base device pads and base interconnect pads on a carrier top side of the connection carrier; connecting a base integrated circuit to the base device pads and mounted over the carrier top side; mounting base vertical interconnects directly on the base interconnect pads; attaching a base package substrate to the base integrated circuit and directly on the base vertical interconnects; forming a base encapsulation on the base package substrate, the base device pads, and the base interconnect pads; and removing a portion of the connection carrier with the base device pads and the base interconnect pads partially exposed opposite the base package substrate.

Подробнее
22-03-2012 дата публикации

Multi-function and shielded 3d interconnects

Номер: US20120068327A1
Принадлежит: TESSERA RESEARCH LLC

A microelectronic unit includes a semiconductor element consisting essentially of semiconductor material and having a front surface, a rear surface, a plurality of active semiconductor devices adjacent the front surface, a plurality of conductive pads exposed at the front surface, and an opening extending through the semiconductor element. At least one of the conductive pads can at least partially overlie the opening and can be electrically connected with at least one of the active semiconductor devices. The microelectronic unit can also include a first conductive element exposed at the rear surface for connection with an external component, the first conductive element extending through the opening and electrically connected with the at least one conductive pad, and a second conductive element extending through the opening and insulated from the first conductive element. The at least one conductive pad can overlie a peripheral edge of the second conductive element.

Подробнее
22-03-2012 дата публикации

Microsprings Partially Embedded In A Laminate Structure And Methods For Producing Same

Номер: US20120068331A1
Принадлежит: Palo Alto Research Center Inc

At least one microspring has applied thereover a laminate structure to provide: mechanical protection during handling and wafer processing, a spring spacer layer, strengthening of the anchor between spring and substrate, provision of a gap stop during spring deflection, and moisture and contaminant protection. A fully-formed laminate structure may be applied over the microspring structure or a partly-formed laminate structure may be applied over the microspring structure then cured or hardened. The tip portion of the microspring may protrude through the laminate structure and be exposed for contact or may be buried within the contact structure. The laminate structure may remain in place in the final microspring structure or be removed in whole or in part. The laminate structure may be photolithographically patternable material, patterned and etched to remove some or all of the structure, forming for example additional structural elements such as a gap stop for the microspring.

Подробнее
22-03-2012 дата публикации

Heat treating apparatus, heat treating method and storage medium

Номер: US20120071005A1
Автор: Masahiro Shimizu
Принадлежит: Tokyo Electron Ltd

A heat treating apparatus, which performs a specified heat treatment on a target object, includes a processing chamber accommodating therein the target object; a mounting table for mounting thereon the target object; a vacuum exhaust system for vacuum evacuating the processing chamber; an electromagnetic wave supply unit for irradiating an electromagnetic wave onto the target object to heat the target object; and a controller for controlling the heat treating apparatus such that the electromagnetic wave is irradiated onto the target object at a high vacuum level at which plasma is not generated. Further, a heat treating method performs a specified heat treatment on a target object, wherein the target object is accommodated in a processing chamber capable of being vacuum evacuated, and the target object is heated by irradiating an electromagnetic wave thereon at a high vacuum level at which plasma is not generated in the processing chamber.

Подробнее
29-03-2012 дата публикации

Multi-layered substrate

Номер: US20120073871A1
Принадлежит: Advanced Semiconductor Engineering Inc

The present invention directs to double-sided multi-layered substrate a base, at least a through-hole passing through the base, patterned first and second metal layers formed on the two opposite surfaces of the base, and first and second plating layers. The first plating layer covers a sidewall of the through-hole and the bottom surface surrounding a bottom opening of the through hole. The second plating layer covers the first plating layer and the top surface surrounding a top opening of the through hole.

Подробнее
29-03-2012 дата публикации

Integrated circuit packaging system with warpage control and method of manufacture thereof

Номер: US20120074588A1
Принадлежит: Individual

A method of manufacture of an integrated circuit packaging system includes: providing an integrated circuit device having chip interconnects; applying an attachment layer directly on the integrated circuit device; attaching a device stiffener to the integrated circuit device with the attachment layer; attaching a chip carrier to the chip interconnects with the device stiffener attached to the integrated circuit device for controlling warpage of the integrated circuit device to prevent the warpage from causing some of the chip interconnects to separate from the chip carrier during attachment of the chip interconnects to the chip carrier; and applying an underfill between the chip carrier and the integrated circuit device for controlling connectivity of all the chip interconnects to the chip carrier.

Подробнее
05-04-2012 дата публикации

Devices for methodologies for handling wafers

Номер: US20120080052A1
Принадлежит: Skyworks Solutions Inc

Disclosed are systems, devices and methodologies for handling wafers in wafer processing operations such as solvent and plasma cleaning. In an example situation, a wafer that has been separated from a support plate can be cleaned. The wafer still needs to be handled carefully during such a cleaning operation. Various devices and methodologies that facilitate efficient handling of wafers and wafer-cleaning operations are disclosed.

Подробнее
05-04-2012 дата публикации

Off-chip vias in stacked chips

Номер: US20120080807A1
Принадлежит: Tessera LLC

A microelectronic assembly includes first and second stacked microelectronic elements, each having spaced apart traces extending along a front face and beyond at least a first edge thereof. An insulating region can contact the edges of each microelectronic element and at least portions of the traces of each microelectronic element extending beyond the respective first edges. The insulating region can define first and second side surfaces adjacent the first and second edges of the microelectronic elements. A plurality of spaced apart openings can extend along a side surface of the microelectronic assembly. Electrical conductors connected with respective traces can have portions disposed in respective openings and extending along the respective openings. The electrical conductors may extend to pads or solder balls overlying a face of one of the microelectronic elements.

Подробнее
05-04-2012 дата публикации

Integrated Shadow Mask/Carrier for Pattern Ion Implantation

Номер: US20120083102A1

An improved, lower cost method of processing substrates, such as to create solar cells is disclosed. In addition, a modified substrate carrier is disclosed. The carriers typically used to carry the substrates are modified so as to serve as shadow masks for a patterned implant. In some embodiments, various patterns can be created using the carriers such that different process steps can be performed on the substrate by changing the carrier or the position with the carrier. In addition, since the alignment of the substrate to the carrier is critical, the carrier may contain alignment features to insure that the substrate is positioned properly on the carrier. In some embodiments, gravity is used to hold the substrate on the carrier, and therefore, the ions are directed so that the ion beam travels upward toward the bottom side of the carrier.

Подробнее
19-04-2012 дата публикации

Substrate processing apparatus and method of disassembling and assembling the same

Номер: US20120091871A1
Принадлежит: Jusung Engineering Co Ltd

A substrate processing apparatus includes: a chamber including a body having an open portion and a door for blocking the open portion; a substrate supporter connected to the door; and a door operating means including a rotation shaft for straightly moving and rotating the door, the door and the body separated in parallel from each other by straightly moving the door.

Подробнее
26-04-2012 дата публикации

Substrate support with symmetrical feed structure

Номер: US20120097332A1
Принадлежит: Applied Materials Inc

Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground.

Подробнее
26-04-2012 дата публикации

Support Ring For Supporting A Semiconductor Wafer Composed Of Monocrystalline Silicon During A Thermal Treatment, Method For The Thermal Treatment of Such A Semiconductor Wafer, and Thermally Treated Semiconductor Wafer Composed of Monocrystalline Silicon

Номер: US20120098100A1
Принадлежит: SILTRONIC AG

A support ring for supporting a monocrystalline silicon semiconductor wafer during a thermal treatment of the semiconductor wafer has outer and inner lateral surfaces and a curved surface extending from the outer lateral surface to the inner lateral surface, this curved surface serving for the placement of the semiconductor wafer. The curved surface has a radius of curvature of not less than 6000 mm and not more than 9000 mm for 300 mm diameter wafers, or a radius of curvature of not less than 9000 mm and not more than 14,000 mm for 450 mm diameter wafers. Use of the support ring during thermal treatment reduces slip and improves wafer nanotopography.

Подробнее
26-04-2012 дата публикации

Dual Sensing End Effector with Single Sensor

Номер: US20120101633A1
Автор: Matthew J. Rodnick
Принадлежит: Lam Research Corp

Systems, methods, and computer programs are presented for an end effector with a dual optical sensor. One end effector includes an arm, a mapping sensor, and a load sensor. The arm has one end connected to a pivoting joint, and a light signal is routed around the arm through a single light path. The mapping sensor is used for identifying the presence of the wafer when the wafer is not loaded on the end effector. The load sensor is used for identifying presence of the wafer on the end effector when the wafer is loaded on the end effector. The load sensor is defined by a second segment in the single light path such that the wafer intersects the second segment and interferes with the single light path when the wafer is loaded. A control module determines if an interruption in the single light path corresponds to an interruption of the single light path in the mapping sensor or the load sensor. As a result, one single light sensor is used to sense for two different conditions in the end effector.

Подробнее
03-05-2012 дата публикации

Pre-heat ring designs to increase deposition uniformity and substrate throughput

Номер: US20120103263A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally relates to apparatus for use in film depositions. The apparatus generally include pre-heat rings adapted to be positioned in a processing chamber. In one embodiment, a pre-heat ring includes a ring having an inner edge and an outer edge. The outer edge has a constant radius. The inner edge is oblong-shaped and may have a first portion having a constant radius measured from a center of a circle defined by an outer circumference of the ring. A second portion may have a constant radius measured from a location other than the center of the outer circumference. In another embodiment, a processing chamber includes a pre-heat ring positioned around the periphery of a substrate support. The pre-heat ring includes an inner edge having a first portion, a second portion, and one or more linear portions positioned between the first portion and the second portion.

Подробнее
03-05-2012 дата публикации

Apparatus for laminating a film on a wafer

Номер: US20120103531A1
Принадлежит: C Sun Manufacturing Ltd

An apparatus for laminating a film on a wafer includes a cutting mechanism and a laminating mechanism. The cutting mechanism has a cutting device disposed thereon for pre-cutting a dry film. The cutting mechanism has a supporter disposed adjacent to the cutting device for positioning a wafer. The cutting mechanism has a suction member disposed and corresponding to the cutting device for sucking the cut dry film to allow the cutting device pre-cutting the dry film and moving the cut dry film to the supporter. The laminating mechanism has a first lower member provided for positioning the wafer and a first upper member disposed above the first lower member for laminating the cut dry film with electrically heating to adhere the cut dry film on the wafer.

Подробнее
03-05-2012 дата публикации

Bonding apparatus and bonding method

Номер: US20120103533A1
Принадлежит: Tokyo Electron Ltd

A bonding apparatus has an upper chuck and a lower chuck for holding wafers. The upper chuck is configured such that the center portion is bent to be convex when pressurized with a predetermined pressure. On the bottom surface of the lower chuck, there is an insulating ring formed of a combination of a plurality of insulating members to support the periphery of the lower chuck. The bottom surface of the insulating ring is supported by a support ring formed of a combination of a plurality of supporting members. The supporting members and the lower chuck are fixed by a bolt provided for each of the supporting members. The bolt is inserted through a through hole and a through hole which are formed in the insulating members and the supporting members, respectively, the through holes having a diameter larger than a diameter of the bolt.

Подробнее
03-05-2012 дата публикации

Method and Apparatus for Thermally Processing Plastic Discs, in particular Mould Wafers

Номер: US20120107757A1
Автор: Erich Reitinger
Принадлежит: ERS electronic GmbH

The present invention provides a method and apparatus for thermally processing plastic discs, in particular mould wafers. The method comprises the following steps: clamping a mould wafer ( 15 ) at a first temperature (T 1 ) on a first clamping device ( 5; 50 ), the first temperature (T 1 ) being below the hardening temperature (T H ) of the plastic of the mould wafer ( 15 ); heating the mould wafer ( 15 ) clamped on the first clamping device ( 5; 50 ) to a second temperature (T 2 ), which is higher than the first temperature (T 1 ) and is above the hardening temperature (T H ); ending the clamping on the first clamping device ( 5; 50 ) and transporting the mould wafer ( 15 ) heated to the second temperature (T 2 ) from the first clamping device ( 5; 50 ) to a second clamping device ( 9; 90 ) substantially contactlessly; clamping the heated mould wafer ( 15 ) on the second clamping device ( 9; 90 ); cooling the mould wafer ( 15 ) clamped on the second clamping device ( 9; 90 ) down to a third temperature (T 4 ), which is lower than the second temperature (T 2 ) and is below the hardening temperature (T H ); and ending the clamping on the second clamping device ( 9; 90 ).

Подробнее
10-05-2012 дата публикации

Structure of substrate supporting table, and plasma processing apparatus

Номер: US20120111502A1
Принадлежит: Mitsubishi Heavy Industries Ltd

Disclosed is the structure of a substrate supporting table wherein corrosion of a bellows is eliminated, generation of dusts from the bellows is suppressed, and the volume and the weight of the sections to be driven are reduced. A plasma processing apparatus is also disclosed. In the substrate supporting table for the plasma processing apparatus ( 10 ), a cylindrical inner tube ( 12 ), the bellows ( 13 ), an outer tube ( 14 ) and a cover member ( 15 ) are sequentially disposed concentrically from the inner side, and a drive member ( 21 ) to be driven by means of a drive mechanism ( 24 ) is attached to the rear surface of the placing table ( 16 ) through an opening ( 11 b) and the inside of the inner tube ( 12 ).

Подробнее
10-05-2012 дата публикации

Semiconductor device with nested rows of contacts

Номер: US20120112333A1
Принадлежит: FREESCALE SEMICONDUCTOR INC

A molded surface mount semiconductor device has electrical contact elements disposed in a set of pairs of zigzag rows extending adjacent and generally parallel to opposite edges of an active face of a semiconductor die. Each of the pairs of rows includes an inner zigzag row of electrical contact elements nested inside an outer zigzag row of electrical contact elements. The electrical contact elements of the inner and outer zigzag rows are partially inter-digitated. A lead frame used in making the device also has a die pad located inside the set of pairs of zigzag rows, and an outer frame element located outside the set of pairs of zigzag rows, and which support the electrical contact elements of the inner and outer zigzag rows respectively.

Подробнее
17-05-2012 дата публикации

Semiconductor structure and manufacturing method thereof

Номер: US20120119375A1

In a manufacturing method of a semiconductor structure, a substrate having a front surface and a back surface is provided. The front surface has a device layer thereon and conductive plugs electrically connected to the device layer. A thinning process is performed on the back surface of the substrate, such that the back surface of the substrate and surfaces of the conductive plugs have a distance therebetween. Holes are formed in the substrate from the back surface to the conductive plugs, so as to form a porous film. An oxidization process is performed, such that the porous film correspondingly is reacted to form an oxide material layer. A polishing process is performed on the oxide material layer to expose the surfaces of the conductive plugs.

Подробнее
17-05-2012 дата публикации

Apparatus and method of aligning and positioning a cold substrate on a hot surface

Номер: US20120122253A1
Принадлежит: Applied Materials Inc

Embodiments of the invention contemplate a method, apparatus and system that are used to support and position a substrate on a surface that is at a different temperature than the initial, or incoming, substrate temperature. Embodiments of the invention may also include a method of controlling the transfer of heat between a substrate and substrate support positioned in a processing chamber. The apparatus and methods described herein generally may also provide an inexpensive and simple way of accurately positioning a substrate on a substrate support that is positioned in a semiconductor processing chamber. Substrate processing chambers that can benefit from the various embodiments described herein include, but are not limited to RTP, CVD, PVD, ALD, plasma etching, and/or laser annealing chambers.

Подробнее
24-05-2012 дата публикации

Semiconductor device and method of forming the same

Номер: US20120126402A1
Принадлежит: Elpida Memory Inc

A semiconductor device includes a wiring board; a stack of semiconductor chips disposed over the wiring board, each of the semiconductor chip comprising via electrodes, the semiconductor chips being electrically coupled through the via electrodes to each other, the semiconductor chips being electrically coupled through the via electrodes to the wiring board; a first seal that seals the stack of semiconductor chips; and a second seal that covers the first seal. The first seal is smaller in elastic modulus than the second seal.

Подробнее
24-05-2012 дата публикации

System comprising a semiconductor device and structure

Номер: US20120129301A1
Принадлежит: Monolithic 3D Inc

A method of manufacturing a semiconductor device, the method including, providing a first monocrystalline layer including semiconductor regions, overlaying the first monocrystalline layer with an isolation layer, transferring a second monocrystalline layer comprising semiconductor regions to overlay the isolation layer, wherein the first monocrystalline layer and the second monocrystalline layer are formed from substantially different crystal materials; and subsequently etching the second monocrystalline layer as part of forming at least one transistor in the second monocrystalline layer.

Подробнее
24-05-2012 дата публикации

Process for etching silicon with selectivity to silicon-germanium

Номер: US20120129354A1
Автор: Vinh Luong
Принадлежит: Tokyo Electron Ltd

A method for performing a selective etching process is described. The method includes preparing a substrate having a silicon layer (Si) and a silicon-germanium (SiGe x ) layer, and selectively etching the silicon layer relative to the silicon-germanium layer using a dry plasma etching process.

Подробнее
24-05-2012 дата публикации

Substrate processing apparatus and method of manufacturing semiconductor device

Номер: US20120129358A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device that are capable of uniformly heating a substrate while reducing an increase in substrate temperature to reduce a thermal budget. The substrate processing apparatus includes a process chamber configured to process a substrate; a substrate support unit installed in the process chamber to support the substrate; a microwave supply unit configured to supply a microwave toward a process surface of the substrate supported by the substrate support unit, the microwave supply unit including a microwave radiating unit radiating the microwave supplied from a microwave source to the process chamber while rotating; a partition installed between the microwave supply unit and the substrate support unit; a cooling unit installed at the substrate support unit; and a control unit configured to control at least the substrate support unit, the microwave supply unit and the cooling unit.

Подробнее
31-05-2012 дата публикации

Attaching device and attaching apparatus for supporting plate, and attaching method for supporting plate

Номер: US20120132359A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

An attaching method for attaching a support plate to a surface of a substrate with an adhesive involves the steps of applying an adhesive to the surface of the substrate, heating and thereafter cooling the substrate, positioning centers of the substrate and the supporting plate to coincide with each other, and forming a layered structure by pushing the supporting plate onto the substrate with the adhesive therebetween in a pressure-reduced atmosphere. In the positioning step, after the supporting late has been placed on the substrate, it is possible to finely adjust the alignment of the supporting plate and a semiconductor wafer when attached because the adhesive is applied to the surface of the substrate, and thereafter the substrate is heated and cooled.

Подробнее
31-05-2012 дата публикации

Substrate apparatus calibration and synchronization procedure

Номер: US20120136471A1
Принадлежит: Brooks Automation Inc

A method for positioning substrates in a substrate processing apparatus having a substrate alignment device, a first substrate transport apparatus and a second substrate transport apparatus, includes calibrating the substrate alignment device with a motion of the first substrate transport apparatus, and calibrating a coordinate system of the second substrate transport apparatus with the substrate alignment device.

Подробнее
31-05-2012 дата публикации

Damaged substrate handling apparatus and method for substrate processing systems

Номер: US20120136476A1
Принадлежит: Applied Materials Inc

Embodiments of the invention generally provide apparatus and methods of handling a damaged substrate in substrate processing systems, such as screen printing systems for solar cell devices. The damaged substrate handling apparatus includes a container mounted centrally on a rotary actuator assembly. A plurality of substrate supports are arranged around the periphery of the rotary actuator assembly. Damaged substrates are transferred to the container from the substrate supports. Both automated and manual apparatus and methods are disclosed.

Подробнее
14-06-2012 дата публикации

Heat treatment apparatus

Номер: US20120145697A1
Принадлежит: Tokyo Electron Ltd

A heat treatment apparatus is configured to include: a treatment chamber for accommodating therein a wafer; a substrate supporting unit for horizontally supporting the wafer in the treatment chamber; and a lamp unit provided above the treatment chamber. The lamp unit includes: a base member; a plurality of lamps provided on the lower surface of the base member whose front ends face downwardly; a plurality of ring-shaped reflectors concentrically provided on the lower surface of the base member to protrude downward; and a cooling head for supplying a cooling medium into the reflectors. At least some of the lamps are arranged along the reflectors, and cooling medium channels, each inner space of which is formed as a ring-shaped space, are respectively provided within the reflectors in the extending directions of the reflectors.

Подробнее
14-06-2012 дата публикации

Thin Semiconductor Device Having Embedded Die Support and Methods of Making the Same

Номер: US20120149153A1
Принадлежит: Continental Automotive Systems Inc

Ultra-thin semiconductor devices, including piezoresistive sensing elements can be formed in a wafer stack that facilitates handling many thin device dice at a wafer level. Three embodiments are provided to form the thin dice in a wafer stack using three different fabrication techniques that include anodic bonding, adhesive bonding and fusion bonding. A trench is etched around each thin die to separate the thin die from others in the wafer stack. A tether layer, also known as a tether, is used to hold thin dice or dice in a wafer stack. Such as wafer stack holds many thin dice together at a wafer level for handling and enables easier die picking in packaging processes.

Подробнее
21-06-2012 дата публикации

Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Номер: US20120153281A1
Автор: Mark Ghinovker
Принадлежит: KLA Tencor Technologies Corp

A semiconductor target for determining a relative shift between two or more successive layers of a substrate is provided. The target comprises a plurality of first structures formed in a first layer, and the first structures have a first center of symmetry (COS). The target further comprises a plurality of second structures formed in a second layer, and the second structures have second COS. The difference between the first COS and the second COS corresponds to an overlay error between the first and second layer and wherein the first and second structures have a 180° rotational symmetry, without having a 90° rotational symmetry, with respect to the first and second COS, respectively.

Подробнее
21-06-2012 дата публикации

Semiconductor package and manufacturing method therefor

Номер: US20120153509A1
Принадлежит: Shinko Electric Industries Co Ltd

According to one embodiment, there is provided a semiconductor package including: a semiconductor chip; a resin portion formed to cover the semiconductor chip; a wiring structure formed on the resin portion and electrically connected to the semiconductor chip; and a warpage preventing member provided above the resin portion to have a thermal expansion coefficient closer to that of the semiconductor chip than to that of the wiring structure.

Подробнее
28-06-2012 дата публикации

Method of Manufacturing a Printable Composition of a Liquid or Gel Suspension of Diodes

Номер: US20120164796A1
Принадлежит: NthDegree Technologies Worldwide Inc

An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary method of making a liquid or gel suspension of diodes comprises: adding a viscosity modifier to a plurality of diodes in a first solvent; and mixing the plurality of diodes, the first solvent and the viscosity modifier to form the liquid or gel suspension of the plurality of diodes. Various exemplary diodes have a lateral dimension between about 10 to 50 microns and about 5 to 25 microns in height. Other embodiments may also include a plurality of substantially chemically inert particles having a range of sizes between about 10 to about 50 microns.

Подробнее
28-06-2012 дата публикации

Variable-Density Plasma Processing of Semiconductor Substrates

Номер: US20120164834A1
Принадлежит: Novellus Systems Inc

Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region.

Подробнее
28-06-2012 дата публикации

Transfer of high temperature wafers

Номер: US20120164843A1
Принадлежит: Soitec SA

This invention provides methods that permit wafers to be loaded and unloaded in a gas-phase epitaxial growth chamber at high temperatures. Specifically, this invention provides a method for moving wafers or substrates that can bathe a substrate being moved in active gases that are optionally temperature controlled. The active gases can act to limit or prevent sublimation or decomposition of the wafer surface, and can be temperature controlled to limit or prevent thermal damage. Thereby, previously-necessary temperature ramping of growth chambers can be reduced or eliminated leading to improvement in wafer throughput and system efficiency.

Подробнее
28-06-2012 дата публикации

Radiation-curable adhesive composition and adhesive sheet

Номер: US20120165489A1
Автор: Tomokazu Takahashi
Принадлежит: Nitto Denko Corp

A radiation-curable adhesive composition having a base polymer including structural units derived from a monomer A having a functional group a; a monomer B formed from a methacrylate monomer having an alkyl group that includes at least 10 and no more than 17 carbon atoms; and a monomer C that has both a functional group c that reacts with the functional group a and a polymerizable carbon-carbon double bond group, and a main chain of the base polymer is configured from the monomer B and the monomer A, the proportion of the monomer B being at least 50 wt % of the total monomers configuring the main chain; and the base polymer includes a polymerizable carbon-carbon double bond group in a side chain as a result of a portion of the functional group a in the monomer A reacting and bonding with the functional group c in the monomer C.

Подробнее
05-07-2012 дата публикации

Peeling apparatus and manufacturing apparatus of semiconductor device

Номер: US20120168066A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

To eliminate electric discharge when an element formation layer including a semiconductor element is peeled from a substrate used for manufacturing the semiconductor element, a substrate over which an element formation layer and a peeling layer are formed and a film are made to go through a gap between pressurization rollers. The film is attached to the element formation layer between the pressurization rollers, bent along a curved surface of the pressurization roller on a side of the pressurization rollers, and collected. Peeling is generated between the element formation layer and the peeling layer and the element formation layer is transferred to the film. Liquid is sequentially supplied by a nozzle to a gap between the element formation layer and the peeling layer, which is generated by peeling, so that electric charge generated on surfaces of the element formation layer and the peeling layer is diffused by the liquid.

Подробнее
05-07-2012 дата публикации

Method and Apparatus for Forming a Thin Lamina

Номер: US20120168091A1
Принадлежит: Twin Creeks Technologies Inc

A method for producing a lamina from a donor body includes implanting the donor body with an ion dosage and separably contacting the donor body with a susceptor assembly, where the donor body and the susceptor assembly are in direct contact. A lamina is exfoliated from the donor body, and a deforming force is applied to the lamina or to the donor body to separate the lamina from the donor body.

Подробнее
12-07-2012 дата публикации

Wiring board and method of producing the same

Номер: US20120175157A1
Автор: Kentaro Kaneko
Принадлежит: Shinko Electric Industries Co Ltd

A wiring board includes: wiring layers; insulating layers disposed between the wiring layers; and external connection pads respectively including surface plated layers, for connecting to an external circuit. In each of the external connection pads in one face of the wiring board, an outer peripheral edge of the external connection pad is retracted from an outer peripheral edge of the surface plated layer toward a center of the external connection pad.

Подробнее
12-07-2012 дата публикации

Method of post-mold grinding a semiconductor package

Номер: US20120175786A1
Принадлежит: Individual

A method of grinding a molded semiconductor package to a desired ultra thin thickness without damage to the package is disclosed. Prior to grinding a molded package to a desired package thickness, the package may be protected from excessive mechanical stress generated during grinding by applying a protective tape to enclose interconnects formed on the package. This way, the protective tape provides support to the semiconductor package during package grinding involving the mold material as well as the die. In the post-grind package, the grinded die surface may be exposed and substantially flush with the mold material. The protective tape may then be removed to prepare the post-grind package for connection with an external device or PCB.

Подробнее
19-07-2012 дата публикации

Adhesive film for light emitting device and method of manufacturing led package using the same

Номер: US20120181571A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided is an adhesive film for an LED chip, including: a double-sided adhesive layer having the LED chip adhered to an upper surface thereof and a lead frame adhered to a lower surface thereof; an ultraviolet (UV) cured layer adhered to one surface of the double-sided adhesive layer; and upper and lower cover layers respectively adhered to faces exposed to the exterior of the double-sided adhesive layer and the UV cured layer.

Подробнее
19-07-2012 дата публикации

Semiconductor Device and Method of Forming Bond Wires and Stud Bumps in Recessed Region of Peripheral Area around the Device for Electrical Interconnection to Other Devices

Номер: US20120181689A1
Принадлежит: Stats Chippac Pte Ltd

A semiconductor wafer contains a plurality of semiconductor die each having a peripheral area around the die. A recessed region with angled or vertical sidewall is formed in the peripheral area. A conductive layer is formed in the recessed region. A first stud bump is formed over a contact pad of the semiconductor die. A second stud bump is formed over the first conductive layer within the recessed region. A bond wire is formed between the first and second stud bumps. A third stud bump is formed over the bond wire and first stud bump. A dicing channel partially formed through the peripheral area. The semiconductor wafer undergoes backgrinding to the dicing channel to singulate the semiconductor wafer and separate the semiconductor die. The semiconductor die can be disposed in a semiconductor package with other components and electrically interconnected through the bond wire and stud bumps.

Подробнее
19-07-2012 дата публикации

Method of transferring and electrically joining a high density multilevel thin film to a circuitized and flexible organic substrate and associated devices

Номер: US20120182701A1
Принадлежит: HARRIS CORP

A method is for making an electronic device and includes forming an interconnect layer stack on a sacrificial substrate and having a plurality of patterned electrical conductor layers, and a dielectric layer between adjacent patterned electrical conductor layers. The method also includes laminating and electrically joining through an intermetallic bond a liquid crystal polymer (LCP) substrate to the interconnect layer stack on a side thereof opposite the sacrificial substrate. The method further includes removing the sacrificial substrate to expose a lowermost patterned electrical conductor layer, and electrically coupling at least one first device to the lowermost patterned electrical conductor layer.

Подробнее
19-07-2012 дата публикации

Semiconductor device and manufacturing method thereof

Номер: US20120184083A1
Принадлежит: Fuji Electric Co Ltd

A thin semiconductor wafer, on which a top surface structure and a bottom surface structure that form a semiconductor chip are formed, is affixed to a supporting substrate. Then, on the wafer, a trench to become a scribing line is formed with a crystal face exposed so as to form a side wall of the trench. On that side wall, an isolation layer for holding a reverse breakdown voltage is formed by ion implantation and low temperature annealing or laser annealing so as to be extended to the top surface side while being in contact with a p collector region as a bottom surface diffused layer. Then, laser dicing is carried out to dice a collector electrode, formed on the p collector region, together with the p collector region.

Подробнее
26-07-2012 дата публикации

Direct Edge Connection for Multi-Chip Integrated Circuits

Номер: US20120187577A1
Принадлежит: International Business Machines Corp

The present invention allows for direct chip-to-chip connections using the shortest possible signal path.

Подробнее
02-08-2012 дата публикации

Reduction of edge chipping during wafer handling

Номер: US20120193014A1
Принадлежит: International Business Machines Corp

Methods and systems for reinforcing the periphery of a semiconductor wafer bonded to a carrier are disclosed. In one embodiment, additional adhesive is applied to the semiconductor wafer prior to bonding. The additional adhesive seeps into a crevice between the carrier and wafer and provides reinforcement. In another embodiment, adhesive is applied to the crevice by a dispenser after the wafer is bonded to the glass carrier.

Подробнее
02-08-2012 дата публикации

Substrate cooling device, sputtering apparatus and method for manufacturing electronic device

Номер: US20120193216A1
Принадлежит: Canon Anelva Corp

A substrate cooling device includes: a substrate holding stage including a recess defining a space between a substrate mounting unit and a substrate mounted on the substrate mounting unit; a holding member that exerts a pressing force against the substrate holding stage so as to fix the substrate to the substrate holding stage; a refrigerator connected to the substrate holding stage; a coolant gas inlet path including a coolant gas inlet opening that is provided at the substrate holding stage and opens to a recessed face of the recess, the coolant gas inlet path connecting a space in the recess via the coolant gas inlet opening to a coolant gas supply; and a coolant gas outlet path including a coolant gas outlet opening that is provided at the substrate holding stage independently of the coolant gas inlet opening and opens to the recessed face of the recess.

Подробнее
02-08-2012 дата публикации

Substrate support with heater and rapid temperature change

Номер: US20120196242A1
Принадлежит: Applied Materials Inc

Embodiments of substrate supports with a heater and an integrated chiller are provided herein. In some embodiments, a substrate support may include a first member to distribute heat to a substrate when present above a first surface of the first member, a heater disposed beneath the first member and having one or more heating zones to provide heat to the first member, a plurality of cooling channels disposed beneath the first member to remove heat provided by the heater, a plurality of substrate support pins disposed a first distance above the first surface of the first member, the plurality of substrate support pins to support a backside surface of a substrate when present on the substrate support, and an alignment guide extending from the first surface of the first member and about the plurality of substrate support pins.

Подробнее
02-08-2012 дата публикации

Wafer dicing press and method and semiconductor wafer dicing system including the same

Номер: US20120196426A1
Автор: Won-Chul Lim
Принадлежит: SAMSUNG ELECTRONICS CO LTD

In a wafer dicing press for reducing time and cost for wafer dicing and for evenly applying a dicing pressure to a whole wafer, a wafer dicing press includes a support unit supporting a first side of a wafer; and a pressurization device applying a pressure, by dispersing the pressure, to a second side of the wafer so that a laser-scribed layer of the wafer operates as a division starting point. Accordingly, the wafer dicing press reduces laser radiation and pressure-application times for dividing a wafer into semiconductor devices. This increased efficiency is achieved without increasing the likelihood of damaging the wafer.

Подробнее
09-08-2012 дата публикации

Method for manufacturing adhesion body, method for manufacturing substrate with adhesive pattern, and substrate with adhesive pattern

Номер: US20120202015A1
Принадлежит: Hitachi Chemical Co Ltd

The method for manufacturing an adhesion body according to the present invention is a method for manufacturing an adhesion body in which a first adherend and a second adherend are bonded to each other via an adhesive pattern, comprising a step of providing an adhesive layer containing a thermosetting component on a first adherend; a step of forming an adhesive pattern by etching the adhesive layer in a state in which a protective layer for protecting a predetermined portion of the adhesive layer from etching is provided on a surface of the adhesive layer opposite to a surface in contact with the first adherend; and a step of bonding a second adherend to the adhesive pattern after the protective layer is removed.

Подробнее
30-08-2012 дата публикации

Semiconductor Device and Method of Forming a Wafer Level Package Structure Using Conductive Via and Exposed Bump

Номер: US20120217629A1
Принадлежит: Stats Chippac Pte Ltd

A semiconductor device has a carrier. A semiconductor wafer including a semiconductor die is mounted to the carrier with an active surface of the semiconductor die facing away from the carrier. A plurality of bumps is formed over the active surface of the semiconductor die. An opening is formed in a periphery of the semiconductor die. An encapsulant is deposited over the carrier and semiconductor die, in the opening, and around the plurality of bumps such that an exposed portion of the plurality of bumps is devoid of encapsulant. A conductive via is formed through the encapsulant, within the opening, and extends to the carrier. A conductive layer is formed over the encapsulant and electrically connects to the conductive via and the exposed portion of the plurality of bumps. The carrier is removed to expose an end of the conductive via.

Подробнее
30-08-2012 дата публикации

Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier

Номер: US20120217634A9
Принадлежит: Stats Chippac Pte Ltd

A semiconductor device includes a first semiconductor die or component having a plurality of bumps, and a plurality of first and second contact pads. In one embodiment, the first and second contact pads include wettable contact pads. The bumps are mounted directly to a first surface of the first contact pads to align the first semiconductor die or component. An encapsulant is deposited over the first semiconductor die or component. An interconnect structure is formed over the encapsulant and is connected to a second surface of the first and second contact pads opposite the first surface of the first contact pads. A plurality of vias is formed through the encapsulant and extends to a first surface of the second contact pads. A conductive material is deposited in the vias to form a plurality of conductive vias that are aligned by the second contact pads to reduce interconnect pitch.

Подробнее
06-09-2012 дата публикации

Film deposition apparatus

Номер: US20120222615A1
Принадлежит: Tokyo Electron Ltd

A film deposition apparatus includes a first turntable including at least ten substrate receiving areas that receive corresponding 300 mm substrates; a first reaction gas supplying portion arranged in a first area inside the chamber to supply a first reaction gas; a second reaction gas supplying portion arranged in a second area away from the first reaction gas supplying portion along the rotation direction of the first turntable to supply a second reaction gas; and a separation area arranged between the first and the second areas. The separation area includes a separation gas supplying portion that supplies a separation gas that separates the first reaction and the second reaction gases, and a ceiling surface having a height so that a pressure in a space between the ceiling surface and the first turntable is higher with the separation gas than pressures in the first and the second areas.

Подробнее
06-09-2012 дата публикации

Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use

Номер: US20120222620A1
Автор: Joseph Yudovsky
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a rotating wheel with a plurality of substrate carriers for continuous processing of substrates. The processing chamber may have a loading station on the front end which is configured with one or more robots to load and unload substrates from the substrate carriers without needing to stop the rotating wheel.

Подробнее
13-09-2012 дата публикации

Substrate Assembly Carrier Using Electrostatic Force

Номер: US20120227886A1

A portable electrostatic chuck carrier includes a holder having a dielectric top surface, and bipolar electrodes under the dielectric top surface. The bipolar electrodes includes positive electrodes and negative electrodes electrically insulated from the positive electrodes. The positive electrodes and the negative electrodes are allocated in an alternating pattern in a plane substantially parallel to the dielectric top surface.

Подробнее
13-09-2012 дата публикации

Ceramic material, laminate, member for use in semiconductor manufacturing equipment, and sputtering target member

Номер: US20120231243A1
Принадлежит: NGK Insulators Ltd

A ceramic material mainly contains magnesium, aluminum, oxygen, and nitrogen, in which the ceramic material has a magnesium-aluminum oxynitride phase serving as a main phase, wherein XRD peaks of the magnesium-aluminum oxynitride phase measured with CuKα radiation appear at at least 2θ=47 to 50°.

Подробнее
13-09-2012 дата публикации

Method of manufacturing film for semiconductor device

Номер: US20120231557A1
Принадлежит: Nitto Denko Corp

The present invention aims to provides a method of manufacturing a film for a semiconductor device in which a dicing film, a die bond film, and a protecting film are laminated in this order, including the steps of: irradiating the die bond film with a light ray having a wavelength of 400 to 800 nm to detect the position of the die bond film based on the obtained light transmittance and punching the dicing film out based on the detected position of the die bond film, and in which T 2 /T 1 is 0.04 or more, wherein T 1 is the light transmittance of the portion where the dicing film and the protecting film are laminated and T 2 is the light transmittance of the portion where the dicing film, the die bond film, and the protecting film are laminated.

Подробнее
13-09-2012 дата публикации

Method and apparatus for manufacturing a semiconductor device

Номер: US20120231705A1
Автор: Yoko Tanaka
Принадлежит: Fuji Electric Co Ltd

A method of transporting a semiconductor wafer having a ring-shaped stiffening portion can include the steps of pressing the semiconductor wafer from the back surface side to the front surface side thereof on a place different from a place at which the semiconductor wafer is to be held, the step of pressing the semiconductor wafer being conducted before holding the semiconductor wafer having the ring-shaped stiffening portion. The method can include releasing the attachment by suction of the front surface of the semiconductor wafer by supplying a positive pressure onto the chuck table, releasing pressing the semiconductor wafer from the back surface side to the front surface side thereof on the place different from the place at which the semiconductor wafer is to be held and picking up the semiconductor wafer having the ring-shaped stiffening portion from the chuck table while holding the semiconductor wafer.

Подробнее
20-09-2012 дата публикации

Electronic device and method for producing a device

Номер: US20120235298A1
Принадлежит: INFINEON TECHNOLOGIES AG

An electronic device or devices and method for producing a device is disclosed. One embodiment provides an integrated component, a first package body and a contact device. The contact device penetrates the package body.

Подробнее
20-09-2012 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20120238040A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a technology that can obtain high in-plane uniformity of etching while etching a substrate using plasma. A proper temperature of a focus ring capable of performing etching having high in-plane uniformity is identified in advance for each of the multilayers formed on a wafer, the temperature is reflected to a processing recipe as a set temperature, and a heating mechanism and a cooling mechanism are controlled such that the temperature of the focus ring is within an appropriate temperature range including the set temperature thereof for each of the layers to be successively etched. Heat of the focus ring is radiated using a laser and is discharged to a supporting table without using a heater, to independently separate the heating mechanism and the cooling mechanism from each other.

Подробнее
04-10-2012 дата публикации

Substrate processing apparatus

Номер: US20120247671A1
Автор: Eiichi Sugawara
Принадлежит: Tokyo Electron Ltd

Provided is a substrate processing apparatus, including: transportation chamber maintained in an atmospheric environment where a substrate is transported; a vacuum processing chamber connected with the transportation chamber through a load lock chamber; a substrate placing table installed in the vacuum processing chamber and having a body part and a surface part that is attachable to/detachable from the body part; a storage unit installed in the load lock chamber or the transportation chamber and configured to receive the surface part; and a transportation mechanism configured to transport the substrate from the transportation chamber to the vacuum processing chamber through the load lock chamber and transport the surface part between the storage unit and the body part of the vacuum processing chamber.

Подробнее
04-10-2012 дата публикации

Method for producing electrostatic chuck and electrostatic chuck

Номер: US20120250212A1
Принадлежит: NGK Insulators Ltd

A method for producing an electrostatic chuck 10 includes the steps of (a) pouring a ceramic slurry containing a ceramic powder, a solvent, a dispersant, and a gelling agent into a first molding die 31 in which an electrostatic electrode precursor 24 is removably attached to an inner surface of the first molding die 31 , gelatinizing the ceramic slurry by causing a chemical reaction of the gelling agent, and then removing the first molding die 31 to prepare an embedded-electrode-containing ceramic molded body 41 X in which the electrostatic electrode precursor 24 is embedded in a first ceramic molded body 41 ; (b) preparing a second ceramic molded body 42 ; and (c) preparing a stacked calcined body 50 using the embedded-electrode-containing ceramic molded body 41 X and the second ceramic molded body 42 , and conducting hot-press firing of the stacked calcined body 50.

Подробнее
04-10-2012 дата публикации

Film transfer frame

Номер: US20120251274A1
Принадлежит: Individual

A system for retaining a film on a single-piece frame includes a frame having a shape with a center open area larger than the film, the frame comprising a plurality of fingers on the frame extending into the open area, and a barb positioned on an end of each of the plurality of fingers, wherein the barbs retain the film. The system also includes an end effecter comprising a first and second plurality of vacuum line openings, wherein the end effecter holds onto the film with the first plurality of vacuum line openings and holds onto the frame with the second plurality of vacuum line openings, wherein the end effecter picks up the film with the first plurality of vacuum line openings and presses the film onto the frame, wherein pressing the film onto the frame will retain the film on the barbs.

Подробнее
04-10-2012 дата публикации

Substrate processing apparatus, method for manufacturing semiconductor device, method for processing substrates

Номер: US20120252220A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

A substrate supporting member provided in a processing chamber for processing the substrate and configured to support the substrate, has on its upper surface, a protruding area that supports an edge side of the substrate from below; a recessed area provided inside of the protruding area so as not to be brought into contact with the substrate supported by the protruding area; and an auxiliary protruding area formed lower than the protruding area and provided in the recessed area, and has a flow passage that is communicated with inside of the recessed area, for escaping gas between the substrate and the substrate supporting member from the recessed area side.

Подробнее
18-10-2012 дата публикации

Method for making circuit board

Номер: US20120260502A1
Автор: Lee-Sheng Yen
Принадлежит: Advance Materials Corp

A method for making the same is disclosed. First, a first substrate and a second substrate are provided. The first substrate includes a release film attached to a carrier. The second substrate includes a copper film covered with a solder mask. Second, the solder masked is patterned. Next, the release film and the patterned solder mask are pressed together so that the first substrate is attached to the second substrate. Then, the copper film is patterned to form a first pattern and a second pattern. The first pattern is in direct contact with the release film and the second pattern is in direct contact with the patterned solder mask. Later, a passivation is formed to cover the first pattern and the second pattern to form a circuit board structure. Afterwards, a package is formed on the carrier to form a packaging structure.

Подробнее
18-10-2012 дата публикации

Integrated circuit package system with removable backing element having plated terminal leads and method of manufacture thereof

Номер: US20120261808A1
Принадлежит: Individual

A method of manufacture of an integrated circuit package system includes: attaching a first die to a first die pad; connecting electrically a second die to the first die through a die interconnect positioned between the first die and the second die; connecting a first lead adjacent the first die pad to the first die; connecting a second lead to the second die, the second lead opposing the first lead and adjacent the second die; and providing a molding material around the first die, the second die, the die interconnect, the first lead and the second lead, with a portion of the first lead exposed.

Подробнее
18-10-2012 дата публикации

Test structure and methodology for three-dimensional semiconductor structures

Номер: US20120262197A1
Принадлежит: International Business Machines Corp

A plurality of peripheral test structure substrate (PTSS) through vias is formed within a peripheral test structure substrate. A peripheral test structure layer and at least one functional layer are formed on one side of the plurality of the PTSS through vias. The other side of the plurality of the PTSS through vias is exposed throughout fabrication of the peripheral test structure layer and the at least one functional layer to provide access points for testing functionality of the various layers throughout the manufacturing sequence. C4 bonding may be performed after manufacture of all of the at least one functional layer is completed. A 3D assembly carrier or a C4 carrier substrate is not required since the peripheral test structure substrate has sufficient mechanical strength to support the peripheral test structure layer and the at least one functional layer.

Подробнее
18-10-2012 дата публикации

Electrostatic chuck and a method for supporting a wafer

Номер: US20120262834A1
Принадлежит: Applied Materials Israel Ltd

An electrostatic chuck includes an isolating substrate that surrounds at least one electrode; multiple protrusions having upper portions arranged to contact a wafer; and at least one discharging element positioned between the at least one electrode and the upper portions of the multiple protrusions; which discharging element, once coupled to a discharging circuit, is arranged to discharge charge accumulated in the isolating substrate.

Подробнее
25-10-2012 дата публикации

Reaction system for growing a thin film

Номер: US20120266821A1
Принадлежит: ASM America Inc

An atomic deposition (ALD) thin film deposition apparatus includes a deposition chamber configured to deposit a thin film on a wafer mounted within a space defined therein. The deposition chamber comprises a gas inlet that is in communication with the space. A gas system is configured to deliver gas to the gas inlet of the deposition chamber. At least a portion of the gas system is positioned above the deposition chamber. The gas system includes a mixer configured to mix a plurality of gas streams. A transfer member is in fluid communication with the mixer and the gas inlet. The transfer member comprising a pair of horizontally divergent walls configured to spread the gas in a horizontal direction before entering the gas inlet.

Подробнее
25-10-2012 дата публикации

Unit for supporting a substrate and apparatus for treating a substrate with the unit

Номер: US20120269498A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A substrate treatment apparatus and a supporting unit are provided. The substrate treatment apparatus includes a chamber in which a substrate is processed; a supporting unit that is disposed in the chamber and is configured to support the substrate; and a heating member that is configured to apply heat to the substrate supported by the supporting unit. The supporting unit includes a plate; a plurality of supporting pins upwardly protruding from the plate; and at least one auxiliary pin upwardly protruding from the plate. A distance between a central point of the plate and the at least one auxiliary pin is different from a distance between the central point of the plate and the supporting pins.

Подробнее
25-10-2012 дата публикации

Die attach film

Номер: US20120270381A1
Принадлежит: LG Chem Ltd

Provided are a die attach film, a semiconductor wafer, and a semiconductor packaging method. The die attach film can prevent generation of burrs or scattering of chips in a dicing process, and exhibits excellent expandability and pick-up characteristics in a die pressure-sensitive adhesive process. Further, the die attach film can prevent release, shifting, or deflection of a chip in a wire pressure-sensitive adhesive or molding process. Thus, it is possible to improve embeddability, inhibit warpage of a wafer or wiring substrate, and enhance productivity in a semiconductor packaging process.

Подробнее
01-11-2012 дата публикации

Method for releasing a thin semiconductor substrate from a reusable template

Номер: US20120272490A1
Принадлежит: Solexel Inc

The present disclosure relates to methods and apparatuses for releasing a thin semiconductor substrate from a reusable template. The method involves forming a mechanically weak layer conformally on a semiconductor template. Then forming a thin semiconductor substrate conformally on the mechanically weak layer. The thin semiconductor substrate, the mechanically weak layer and the template forming a wafer. Then defining the border of the thin-film semiconductor substrate to be released by exposing the peripheral of the mechanically weak layer. Then releasing the thin-film semiconductor substrate by applying a controlled air flow parallel to said mechanically weak layer wherein the controlled air flow separates the thin semiconductor substrate and template according to lifting forces.

Подробнее
01-11-2012 дата публикации

Compact type fine-tuning lifter and use of the same

Номер: US20120273645A1
Автор: Hwa-Fu Chen
Принадлежит: Leading Precision Inc

A compact type fine-tuning lifter, which may have a volume of 8 cm×5 cm×4 cm and is able to support an article with the weight of several hundreds of kilograms, and can achieve a fine-tuning of micrometer scale. The lifter can achieve the efficacies of miniaturization, fine-tuning and low-cost by utilizing the combination of simple mechanisms comprising a base, a sliding seat, a sliding block and an adjustable screw bolt to perform the fine tuning in X-axis, Y-axis and Z-axis directions, respectively, to position the adjustable screw bolt. The present invention also relates to a use of the lifter.

Подробнее
01-11-2012 дата публикации

Semiconductor device, process for producing same, and display device

Номер: US20120273831A1
Автор: Katsuyuki Suga
Принадлежит: Sharp Corp

A wire ( 24 ) and a pixel electrode ( 25 ) are formed on a surface of a flat supporting substrate ( 21 ) which surface is opposite to a surface on which a TFT ( 16 ) is formed. Accordingly, it is possible to provide an active matrix substrate ( 2 ) which makes it possible to suppress a decline in yield.

Подробнее
01-11-2012 дата публикации

Semiconductor Device and Method of Making a Semiconductor Device

Номер: US20120273935A1
Принадлежит: INFINEON TECHNOLOGIES AG

A semiconductor device and a method of manufacturing a semiconductor device are disclosed. An embodiment comprises forming a bump on a die, the bump having a solder top, melting the solder top by pressing the solder top directly on a contact pad of a support substrate, and forming a contact between the die and the support substrate.

Подробнее
01-11-2012 дата публикации

Cover tape, method for manufacturing cover tape, and electronic part package

Номер: US20120276377A1
Принадлежит: Asahi Kasei Chemicals Corp

A cover tape having a temperature at which a heat shrinkage rate in at least one of a machine direction and a transverse direction perpendicular to the machine direction is 5% or more between 80° C. to 200° C.

Подробнее
08-11-2012 дата публикации

Electrostatic chuck apparatus

Номер: US20120281334A1

Disclosed is an electrostatic chuck apparatus which is configured of: an electrostatic chuck section; an annular focus ring section provided to surround the electrostatic chuck section; and a cooling base section which cools the electrostatic chuck section and the focus ring section. The focus ring section is provided with an annular focus ring, an annular heat conducting sheet, an annular ceramic ring, a nonmagnetic heater, and an electrode section that supplies power to the heater.

Подробнее
08-11-2012 дата публикации

Method of manufacturing chip-stacked semiconductor package

Номер: US20120282735A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of manufacturing a chip-stacked semiconductor package, the method including preparing a base wafer including a plurality of first chips each having a through-silicon via (TSV); bonding the base wafer including the plurality of first chips to a supporting carrier; preparing a plurality of second chips; forming stacked chips by bonding the plurality of second chips to the plurality of first chips; sealing the stacked chips with a sealing portion; and separating the stacked chips from each other.

Подробнее