Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 687. Отображено 200.
24-01-2013 дата публикации

TRANSPARENTE ELEKTRODE BASIEREND AUF EINER KOMBINATION TRANSPARENTER LEITENDER OXIDE, METALLE UND OXIDE

Номер: DE112011100593T5

Die Erfindung betrifft eine Elektrode, umfassend ein transparentes leitendes Oxid (TCO) und einen ultradünnen Metallfilm (UTMF), der auf dem TCO aufgebracht ist. Außerdem ist der UTMF oxidiert oder von einer Oxidschicht bedeckt. Auf diese Weise ist das darunter liegende TCO geschützt/kompatibel mit anderen Materialien und der Verlust an Transparenz ist reduziert.

Подробнее
13-07-2007 дата публикации

DEVICE AND FAST PROCESS OF CHANGE OF CHANNEL FOR TVIP

Номер: FR0002896115A1
Автор: LIU, CHEN
Принадлежит: SBC KNOWLEDGE VENTURES,L.P.

Système capable de recevoir d'un réseau de LNA un groupe de canaux (dont l'un au moins contient une image I), mémoire capable de stocker les images I et détecteur de changement de canal capable de détecter le choix d'un canal particulier par un utilisateur. Si la mémoire contient l'image I correspondant au canal particulier et si une image I n'a pas été reçue du réseau de LNA, le système fournit alors à l'utilisateur l'image I stockée extraite de la mémoire.

Подробнее
29-12-2006 дата публикации

METHOD FOR PRODUCING A RESEMBLING DRINK FERMENTEE HAS BEER AND NONALCOHOLIC

Номер: FR0002870852B1
Автор: LIN, CHEN, WANG
Принадлежит: TAIWAN TOBACCO & LIQUOR CORPORATION

Подробнее
27-04-2007 дата публикации

A LEVELLING AND SELF-BALANCING

Номер: FR0002853284B1
Автор: CHEN
Принадлежит: CHEN, ROAN

Подробнее
28-01-2005 дата публикации

SYSTEM OF TELECOMMUNICATIONS FOR VEHICLE

Номер: FR0002832284B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
23-09-2005 дата публикации

PROCESS OF TRANSFER Of INFORMATION ON INSTALLATIONS BY RADIO AND CENTREDE MANAGEMENT

Номер: FR0002832278B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
03-09-2004 дата публикации

SHOE WITH DEVICE OF FIXATOINS OF GAITERS CACHEES

Номер: FR0002841443B1
Автор: CHEN
Принадлежит: CHEN

Подробнее
05-01-2018 дата публикации

ANTIBACTERIAL COMPOSITE MATERIAL HAVING CORROSION RESISTANT AND PRODUCT MADE FROM THE COMPOSITE MATERIAL

Номер: FR0003053351A3
Принадлежит:

La présente invention concerne une matière composite antibactérienne et résistant à la corrosion (10) et un produit (20, 30) fait à partir de la matière composite (10). La matière composite (10) comprend un corps de substrat (11) et une couche antibactérienne et résistant à la corrosion (12) adhérant à au moins une surface du corps de substrat (11) et comprenant une matière nano-zinc (121) et une matière support (122). Le corps de substrat (11) est une matière solide. La matière composite (10) constitue une surface d'un produit en métal, plastique ou verre (20, 30) ou est appliquée en revêtement sur la surface du produit en métal, plastique ou verre (20, 30), pour un effet antibactérien et de résistance à la corrosion.

Подробнее
22-11-2013 дата публикации

ELECTRIC CONNECTOR

Номер: FR0002990804A3
Принадлежит:

Un connecteur électrique comprend une carte de base (200) ayant plusieurs premières pastilles de contact (201) et secondes pastilles de contact (202) exposées sur la carte de base (200), plusieurs premières bornes (210) et secondes bornes (230) ayant chacune une extrémité connectée avec respectivement la première (201) et la seconde (202) pastille de contact correspondante, et un boîtier isolant (240) ayant une fenêtre (241). L'autre extrémité de la première borne (210) est inclinée vers le bas selon un angle puis recourbée vers le haut et l'arrière pour former une partie de contact en forme d'ardillon (211). L'autre extrémité de la seconde borne (230) définit une saillie de contact (231). La carte de base (200) et les premières (210) et secondes (230) bornes sont disposées dans le boîtier isolant (240). Les parties de contact (211) et les saillies de contact (231) sont exposées à l'extérieur à travers la fenêtre (241).

Подробнее
16-09-2011 дата публикации

Wireless light dimmer for e.g. incandescent lamp in house, has receiving unit that is electrically connected to decoding unit, and allows reception of wireless radio frequency signals from remote control

Номер: FR0002957477A3
Автор: CHEN WEN LIN
Принадлежит:

La présente invention concerne un variateur de lumière intégré sans fil, incluant un composant luminescent (10) et un élément à télécommande (20) et de commutation, utilisés pour mettre en application une fonction d'obscurcissement. Le variateur sans fil inclut principalement une unité de décodage (121) et une unité de réception RF (radiofréquence) sans fil (122). En conséquence, la télécommande (20) est utilisée pour transmettre un signal RF au variateur de lumière (12) afin d'exécuter l'obscurcissement à distance et la transformation de la source lumineuse du composant luminescent (10).

Подробнее
06-06-2014 дата публикации

FLUID MANIFOLD

Номер: FR0002990354B1
Принадлежит: APEX MEDICAL CORP.

Подробнее
05-06-2009 дата публикации

NAVIGATION SYSTEM EXCEPT ROAD

Номер: FR0002854455B1
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
04-04-2014 дата публикации

MULTI-PROJECTION DISPLAY SYSTEM AND USING THE MULTI-PROJECTIONS.

Номер: FR0002996315A1
Принадлежит:

La présente invention concerne un système de multi-proj ection et un système d'affichage utilisant celui-ci. Le système de multi-proj ection pour projeter une pluralité d'images (200) incluses dans un faisceau sur un écran (207) comprend une source de faisceau fournissant le faisceau ; un séparateur d'image (202) à proximité de la source de faisceau et possède un rapport d'agrandissement positif ; et un dispositif d'imagerie (203, 204) à proximité du séparateur d'image (202), dans lequel le faisceau passe à travers le séparateur d'image (202) et le dispositif d'imagerie (203, 204) pour être projeté sur l'écran (207).

Подробнее
03-05-2013 дата публикации

CONCRETE ELEMENT COVERED With a COATING PHOTOCATALYTIQUE

Номер: FR0002968653B1
Принадлежит: LAFARGE

Подробнее
16-07-2010 дата публикации

HEAD MASSAGE ELECTROMAGNETIC AND MASSAGE MACHINE PROVIDED WITH THE MASSAGE HEAD ELECTROMAGNETIC

Номер: FR0002940906A1
Принадлежит: SHENZHEN DIVERSE INDUSTRY CO LTD

L'invention concerne une tête de massage électromagnétique et une machine de massage équipée de cette sorte de tête, la tête de massage comprenant une tête rotative électromagnétique résistante (100) et une vis électromagnétique (200) ; sur la vis, il y a une pièce d'entraînement axiale rotative et une pièce de fixation ; la tête rotative électromagnétique résistante est raccordée de manière fixe à la pièce d'entraînement rotative de la vis électromagnétique et est entraînée en rotation axiale par la vis électromagnétique. Grâce à la structure mentionnée ci-dessus, lorsque cette tête de massage électromagnétique et la machine de massage équipée de la tête de massage électromagnétique sont alimentés électriquement, la tête de massage exerce un pétrissage, un pincement, un massage, une frappe, un tremblement sur les parties massées ; En outre, la force magnétique des éléments magnétiques exerce une pression sur les acupoints et les nerfs du corps humain, ce qui a un certain effet sur le soulagement ...

Подробнее
03-10-2014 дата публикации

SOCKET WRENCH

Номер: FR0003003785A3
Автор: CHEN KUO-LUNG
Принадлежит:

Clé à douille pourvue d'une tête de poignée (11), d'un pignon d'entraînement (30), de premier et second cliquets d'arrêt (42, 43) et d'une douille (20). Dans une position de repos, une bille (17) de ressort comprimable (16) est poussée dans une seconde cavité (36), une butée principale (34) est disposée dans une partie intermédiaire d'une ouverture (14), les dents d'un élément d'engrenage (22) ne sont pas en engagement avec les dents de cliquet (421, 431) des premier et second cliquets (42, 43) et la douille (20) peut tourner dans le sens horaire ou antihoraire. Dans une première position de fonctionnement, la bille (17) est poussée dans une première cavité (35) de sorte que la douille (20) peut tourner dans le sens horaire. Dans une seconde position de fonctionnement, la bille (17) est poussée dans une troisième cavité (37) de sorte que la douille (20) peut tourner dans le sens antihoraire.

Подробнее
26-10-2012 дата публикации

Leveling instrument has LED and photoelectric elements that are arranged on sides of tubular element, where light-dense areas are arranged on tubular element for shading portion of light emitted from LED on tubular element

Номер: FR0002974411A3
Принадлежит:

La présente invention propose un niveau qui comprend au moins un tube à bulle, un élément d'émission de lumière et une paire d'éléments photoélectriques, l'élément d'émission de lumière et les éléments photoélectriques étant disposés respectivement de chacun des deux côtés du tube à bulle, et la lumière émise par l'élément d'émission de lumière et passant à travers la bulle étant reçue par les éléments photoélectriques, des parties opaques destinées à bloquer une partie de la lumière étant disposées sur le tube à bulle.

Подробнее
10-02-2012 дата публикации

DUST COLLECTOR APPARATUS REMOVABLE FOR a VENTILATION SHAFT

Номер: FR0002955507B1
Автор: CHEN DA-KAI
Принадлежит: CHEN

Подробнее
02-09-2011 дата публикации

Method for determining oxidizing and reducing substance e.g. sulfur dioxide in food, involves providing reaction potential to reaction electrode of analysis sample and obtaining content of analyzed substance from electrical signal

Номер: FR0002956904A1
Принадлежит: EUMED BIOTECHNOLOGY CO., LTD.

L'invention porte sur un procédé de détermination de substances oxydantes et réductrices dans un aliment, lequel procédé est réalisé avec un échantillon d'analyse et un dispositif de mesure, et lequel procédé comprend les étapes consistant à : prétraiter une quantité appropriée d'un échantillon alimentaire ; dissoudre une quantité donnée de l'échantillon alimentaire prétraité dans une solution tampon ; amener la solution tampon dissolvant une susbtance analysée à entrer en contact avec une couche formulée sur l'échantillon d'analyse pour entreprendre une réaction redox ; fournir par le dispositif de mesure un potentiel de réaction à des électrodes de réaction de l'échantillon d'analyse pour obtenir un signal électrique ; et obtenir la teneur de la substance analysée à partir du signal électrique. L'invention porte de plus sur l'échantillon d'analyse et le dispositif de mesure utilisés pour mettre en œuvre le procédé mentionné ci-dessus.

Подробнее
21-10-2011 дата публикации

Polytherapy distraction shoe for use as sports shoe, has insole equipped with two rear mechanical caster wheel devices that are localized in hole located at middle of caster wheel support, and push bar connected with sliding-blocking bars

Номер: FR0002958861A3
Принадлежит: EURADIS INTERNATIONAL

L'invention concerne une chaussure de distraction polyvalente permettant à ses utilisateurs de marcher ou de rouler. Le dessus de la chaussure est conçu et fabriqué comme une chaussure de sport, mais sa semelle est équipée d'un dispositif de roulettes sur la partie avant et d'un autre sur la partie de talon. Les roulettes avant sont amovibles et peuvent être mises en œuvre à la main. Les roulettes de derrière sont rétractables par un dispositif mécanique à actionner par la main ou par le pied. Avec la même chaussure, les utilisateurs peuvent marcher après avoir démonté et rétracté toutes les roulettes, ou rouler soit avec toutes les roulettes comme des patins à roulettes, soit uniquement avec les roulettes arrière.

Подробнее
17-04-2015 дата публикации

A TOASTER

Номер: FR0003011726A3
Принадлежит:

L'invention concerne un grille-pain et il comprend un boîtier qui contient une chambre de travail et une chambre de chauffage. Un côté du boîtier comporte une première ouverture et le boîtier est pourvu d'au moins une deuxième ouverture. La chambre de travail et la chambre de chauffage sont reliés l'un avec l'autre ; Les rainures longitudinales autant que les deuxièmes ouvertures sont situées dans le boîtier et s'étendent à partir des deuxièmes ouvertures. Au moins une cloison mobile transparente est reliée de manière coulissante à la rainure longitudinale, et la surface latérale de la paroi mobile correspond à la première ouverture. Au moins un mécanisme de positionnement reliée au boîtier et positionne ou soutient une cloison mobile. De l'extérieur du grille-pain, à travers la première ouverture et la cloison mobile transparente sera en mesure d'observer la nourriture pour faciliter à l'utilisateur de comprendre l'état de la nourriture chauffée. La cloison mobile est reliée aux rainures ...

Подробнее
21-06-2013 дата публикации

Machine tool for grinding large surface, has grinding disks coupled to different connecting portions and including grinding zones, where grinding disks are rotated by rotary support in synchronism to form joint grinding plane

Номер: FR0002984198A3
Автор: CHEN BACH PANGHO
Принадлежит:

Une machine-outil offrant une grande surface de meulage comprend un corps d'outil (1), un support rotatif (3) et plusieurs parties de meulage (2). Le corps d'outil (1) comprend un moteur d'entraînement (11) entraînant une broche en rotation axiale. Le support rotatif (3) est accouplé à et entraîné en rotation par la broche pour former un plan de rotation et comprend plusieurs parties de liaison (31) espacées de manière équidistante les unes des autres et formées de manière annulaire sur le plan de rotation du support rotatif (3). Les parties de meulage (2) sont accouplées aux parties de liaison (31) et ont des zones de meulage au même niveau horizontal et sont entraînées en rotation par le support rotatif (3) de manière synchrone avec celui-ci, pour former conjointement un plan de meulage. Ainsi, une surface de meulage plus grande peut être obtenue avec des disques de meulage plus petits.

Подробнее
02-12-2005 дата публикации

DEVICE OF MOBILE COMMUNICATION OF THE TYPE HAS SMALL ISLANDS

Номер: FR0002858904B1
Автор: HSU, CHEN, LEE
Принадлежит: FAR EAS TONE TELECOMMUNICATIONS CO LTD

Подробнее
22-07-2011 дата публикации

FAST MECHANISM OF TIGHTENING FOR ELECTRIC HAMMER

Номер: FR0002955283A3
Принадлежит:

Un mécanisme de serrage rapide pour un marteau électrique permettant de serrer des pièces de fixation telles que des clous inclut une unité de sollicitation permettant de rendre l'unité de serrage mobile entre une position fermée et une position ouverte. L'unité de serrage inclut au moins deux mâchoires de serrage (5, 4), et chacune des mâchoires de serrage (5, 4) est raccordée avec faculté de pivotement à un arbre de pivotement (7), et les deux mâchoires de serrage (5, 4) peuvent être fermées et ouvertes en pivotement, et la fermeture des deux mâchoires de serrage (5, 4) est réalisée par l'un quelconque d'un ressort de torsion (6) et d'un aimant (6'), ou leur combinaison. Les deux mâchoires de serrage (5, 4) sont raccordées avec faculté de pivotement à l'arbre de pivotement (7), et des pièces de fixation peuvent être serrées ou libérées par les deux mâchoires de serrage (5, 4).

Подробнее
26-02-2016 дата публикации

LIFTING EQUIPMENT FOR A SMALL VEHICLE SUCH AS A ELECTROPHORETIC ANALYSIS

Номер: FR0003024980A1
Автор: CHEN JUN

Equipement de levage pour relever en position inclinée une charge telle qu'une tondeuse à conducteur porté, - un châssis (100), - un cadre relevable (200) dont un côté est relié par une première articulation (110) à un côté (101) du châssis (100) et dont le côté opposé (202), relevable est muni d'un organe de guidage (210), - un mât de levage (220) dont une extrémité (221) est reliée au châssis (100) par une seconde articulation (120), et dont l'extrémité libre (222) est munie d'un mécanisme de levage (230) - le mât (220) forme un rail pour l'organe de guidage (210) pour les opérations de relevage ou d'abaissement du cadre relevable (200).

Подробнее
09-05-2014 дата публикации

REACTOR AND ALKYLATION PROCESS USING THE REACTOR

Номер: FR0002997637A1

La présente invention prévoit un réacteur pour au moins deux matières liquides, comportant un logement de réacteur fermé (1) ; un tube d'alimentation (10) ayant des entrées de matière liquide (11, 12) destinées à recevoir des matières liquides correspondantes de manière respective ; un tube de distribution (20) qui communique avec le tube d'alimentation et s'étendant dans le logement de réacteur, le tube de distribution étant pourvu d'une pluralité de trous de distribution (21) dans la zone s'étendant dans le logement de réacteur ; un lit rotatif (30) sous la forme d'un cylindre creux, qui est disposé dans le logement de réacteur par l'intermédiaire d'un mécanisme de fixation (40), en divisant ainsi une cavité intérieure du logement de réacteur en une zone centrale (45) et une zone extérieure (46), le lit rotatif étant capable d'être entraîné en rotation par un mécanisme d'entraînement (42) ; et une sortie de matière (6) prévue dans une partie inférieure du logement de réacteur pour une ...

Подробнее
30-12-2016 дата публикации

NOVEL BICYCLIC DERIVATIVES, PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM

Номер: FR0003037959A1

Composés de formule (I) : où R1, R2, R3, R4, R5, R6, R7, R8, R14, W, A et n sont tels que définis dans la description. Médicaments.

Подробнее
24-03-2017 дата публикации

POWERED DEVICE FOR ELECTRONIC ATOMIZER

Номер: FR0003041477A1
Принадлежит: SHENZHEN JIESHIBO TECHNOLOGY CO., LTD.

La présente invention rend public un dispositif à piles (20) pour atomiseur électronique (10), comprenant : une enveloppe externe (22), dont l'intérieur forme un compartiment des piles (23), au moins une pile (25) agencée dans ledit compartiment des piles (23), un circuit de contrôle (26), dont le côté entrée forme une connexion de circuit avec les piles (25) agencées dans ledit compartiment des piles (23), tandis que son côté sortie est connecté à une borne d'électrode de sortie (21), ledit circuit de contrôle (26) comprend un microcontrôleur (260), un module de sélection de mode (262) destiné à sélectionner le mode de fonctionnement de l'atomiseur (10) et connecté audit microcontrôleur (260), un module de réglage (263) servant à régler les paramètres de fonctionnement de l'atomiseur électronique (10) et connecté audit microcontrôleur (260) ainsi qu'un bouton de démarrage (264) contrôlant la mise en marche ou l'arrêt de l'atomiseur (10) et connecté audit microcontrôleur (260), dans lequel ...

Подробнее
24-02-2017 дата публикации

SCRAPING DEVICE

Номер: FR0003030598B3
Автор: CHEN SHOU-HUNG
Принадлежит: CHEN

Подробнее
17-07-2015 дата публикации

BODY LOCATION FOR AN ELECTRONIC DEVICE

Номер: FR0003003624B3

Подробнее
13-05-2011 дата публикации

CLOSING THEFT PROTECTION DEVICE.

Номер: FR0002946105B3
Автор: CHEN ANTONY
Принадлежит: CHEN, CHOU

Подробнее
01-06-2012 дата публикации

DEVICE OF PAPER DETECTION FOR PRINTER Of LABELS

Номер: FR0002959446B3
Автор: CHEN CHING WEN
Принадлежит: TSC AUTO ID TECHNOLOGY CO.,LTD

Подробнее
09-02-2018 дата публикации

FACE MASK

Номер: FR0003043316B3
Автор: CHEN I MING
Принадлежит: CHEN

Подробнее
04-05-2012 дата публикации

STRUCTURE OF COLLECTION OF DUST BY ASPIRATION FOR ELECTRIC TOOLS

Номер: FR0002959684B3
Автор: CHEN BACH PANGHO
Принадлежит: X'POLE PRECISION TOOLS INC.

Подробнее
05-07-2013 дата публикации

LIMP WITH DEVICE Of OPENING

Номер: FR0002977871B3
Автор: CHEN SHAO NUNG
Принадлежит: U JERRY S CO LTD

Подробнее
16-09-2011 дата публикации

ELECTRIC AND PROCEEDED ASSEMBLY OF CONNECTOR

Номер: FR0002950487B3
Автор: CHEN XIAOQUN, WANG YONG
Принадлежит: TYCO ELECTRONICS (SHANGHAI) CO. LTD.

Подробнее
07-02-2014 дата публикации

BATTERY SYSTEM FOR ITS MAKING FOLDABLE

Номер: FR0002980762B3
Принадлежит: SHENZHEN XIDESHENG BICYCLE CO., LTD

Подробнее
10-10-2008 дата публикации

PROSTHETIC ARTICULATION OF KNEE

Номер: FR0002908292B3
Автор: CHEN
Принадлежит: CHEN

Подробнее
31-07-2015 дата публикации

FOAM GENERATION APPARATUS

Номер: FR0003002862B3
Автор: CHEN YEN-AN
Принадлежит: CHEN, CHANG

Подробнее
17-08-2012 дата публикации

ASSOCIATED DUST-PROTECTING STRUCTURE HAS A MACHINE TOOL

Номер: FR0002964049B3
Автор: CHEN BACH PANGHO
Принадлежит: X'POLE PRECISION TOOLS INC.

Подробнее
28-10-2011 дата публикации

LIMP OF JUNCTION

Номер: FR0002951879B3
Принадлежит: TYCO ELECTRONICS (SHANGHAI) CO. LTD.

Подробнее
09-12-2011 дата публикации

>

Номер: FR0002952847B3
Автор: CHEN LIANG
Принадлежит: CHERVON LIMITED

Подробнее
25-05-2012 дата публикации

Mold release composition, useful for manufacturing molding piece in hydraulic setting material which is a hydraulic cement based material including a concrete, comprises surfactant

Номер: FR0002967688A1
Принадлежит: LAFARGE

La présente invention se rapporte à une composition de démoulage, destinée à la fabrication de pièces en un matériau à prise hydraulique, comprenant au moins 50 % en masse d'au moins un tensioactif dont la température de solidification est supérieure à 20°C. La présente invention se rapporte également à un procédé de préparation de pièces moulées en un matériau à prise hydraulique, comprenant les étapes consistant à enduire les parois d'un moule avec la composition de démoulage ; à introduire le matériau à prise hydraulique à l'état frais dans le moule ; et à retirer la pièce du moule après durcissement dudit matériau à prise hydraulique.

Подробнее
13-10-2017 дата публикации

A FLUID DISPENSER ASSEMBLY.

Номер: FR0003049880A1
Автор: CHEN ALEXANDRE
Принадлежит: APTAR FRANCE SAS

Ensemble de distribution de produit fluide comprenant un réservoir de produit fluide (R), un organe de distribution (5) et un orifice de distribution (62), le réservoir de produit fluide (R) comprenant un piston (42) déplaçable en coulissement étanche dans un fût de coulissement (41) sur une course maximale définie entre une position de départ correspondant à un état sensiblement plein du réservoir et une position d'arrivée correspondant à un état sensiblement vide du réservoir, caractérisé en ce qu'il comprend en outre des moyens de détection (35) disposés à l'extérieur du réservoir de produit fluide (R) et aptes à détecter à distance une propriété physique déterminée qui entre dans un champ de détection restreint couvrant une position d'alerte du piston qui est plus proche de la position d'arrivée que de la position de départ, le piston (42) portant ladite propriété physique déterminée détectable, les moyens de détection (35) délivrant, en réponse à une détection de la propriété physique ...

Подробнее
30-01-2015 дата публикации

"NOVEL INDOLIZINE DERIVATIVES, PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM"

Номер: FR0003008976A1
Принадлежит:

Composés de formule (I) : dans laquelle Ra, Rb, Rc, Rd, T, R3, R4, R5, X, Y et Het sont tels que définis dans la description.

Подробнее
01-06-2012 дата публикации

DEVICE OF POWER SAW COMPRISING TWO WEBS

Номер: FR0002967928A1
Автор: CHEN CHUNG-KUANG
Принадлежит:

Dispositif de scie électrique (1) comportant deux lames de scie (20, 30) qui est agencé pour permettre aux deux lames de scie (20, 30) d'être entraînées pour tourner dans des directions opposées. Les deux lames de scie (20, 30) sont respectivement constituées de rainures circulaires (21, 31) sur leurs surfaces intérieures à des positions en correspondance l'une avec l'autre tout en permettant l'alignement des centres des deux rainures circulaires (21, 31) avec les axes de rotation de leurs lames de scie correspondantes. Le dispositif de scie électrique (1) comprend une pluralité de billes d'acier (40), chacune d'entre elles étant prise en sandwich entre les deux lames de scie tout en entrant simultanément dans les rainures circulaires pour séparer les deux lames de scie d'un intervalle spécifique. Par conséquent, lorsque les deux lames de scie (20, 30) tournent dans des directions opposées, chacune des billes d'acier (40) agit comme un roulement à billes pour maintenir les deux lames de ...

Подробнее
11-03-2016 дата публикации

MAGNETIC TARGET

Номер: FR0003025666A1
Принадлежит: SC2N

Подробнее
11-07-2014 дата публикации

EDGE-LIT BACKLIGHT MODULE

Номер: FR0003000809A1
Принадлежит: UNITY OPTO TECHNOLOGY CO., LTD.

La présente invention porte sur un module de rétroéclairage à éclairage périphérique (1) ayant un panneau arrière rectangulaire (11) ayant une microstructure réfléchissante, une première partie de lumière (110) ayant un plan incliné ou une cambrure étant prévue pour réfléchir les lumières émises par une pluralité de diodes électroluminescentes (DEL) (12) avec un angle d'ouverture par rapport à la normale relativement plus petit, et une deuxième partie de lumière (111) étant prévue pour réfléchir la lumière ayant un angle d'ouverture légèrement plus grand, et une troisième partie de lumière (112) étant prévue pour réfléchir la lumière ayant le plus grand angle d'ouverture, pour guider des lumières de différentes intensités vers différents trajets et projeter les lumières à chaque position d'un panneau avant (10) de manière à atteindre un rendement d'extraction de lumière avec une distribution uniforme de l'intensité lumineuse d'une source de lumière à DEL.

Подробнее
16-10-2009 дата публикации

SYSTEM OF COMBUSTION WITH SEAL HAS MULTIPLE PASSAGES OF COOLANT

Номер: FR0002929992A1
Принадлежит: GENERAL ELECTRIC COMPANY

Système de combustion pour turbine à gaz comprenant un premier élément (20) de système de combustion et un second élément (24) de système de combustion. Le second élément de système de combustion est au moins partiellement insérable dans le premier élément de système de combustion, et le premier élément de système de combustion et le second élément de système de combustion définissent un passage de fluide de combustion. Un joint d'étanchéité (60) de système de combustion est situé entre le premier élément de système de combustion et le second élément de système de combustion. Le joint (60) de système de combustion définit au moins un passage intérieur de refroidissement (86) entre le joint (60) de système de combustion et le second élément (24) de système de combustion et au moins un passage extérieur de refroidissement (84) entre le joint d'étanchéité (60) de système de combustion et le premier élément (20) de système de combustion pour refroidir le premier élément de système de combustion ...

Подробнее
11-08-2017 дата публикации

ELASTOMER COMPOUNDS

Номер: FR0003047486A1
Принадлежит:

Il est décrit ici des composés élastomères comprenant : au moins un élastomère qui est résistant à la chaleur pendant 70 h à 100°C de sorte que le au moins un élastomère présente au moins une des propriétés suivantes choisies parmi : (a) une modification de dureté d'après duromètre d'au plus 15 points, (b) une modification de résistance à la traction d'au plus 40 %, et (c) une modification d'allongement à la rupture d'au plus 40 %. Le composé élastomère comprend de plus au moins un matériau à base de graphène présent dans une quantité de 0,01 pcc à 30 pcc par rapport au au moins un élastomère et au moins un noir de carbone présent dans une quantité de 15 pcc à 150 pcc par rapport au au moins un élastomère. Il est également décrit des procédés de fabrication de tels composés, et des articles comprenant des composés élastomères.

Подробнее
30-08-2013 дата публикации

ROAST BREAD

Номер: FR0002987251A3
Принадлежит:

Le modèle d'utilité concerne un grille-pain et il comprend un boîtier qui contient une chambre de travail et une chambre de chauffage. Un côté du boîtier comporte une première ouverture et le boîtier est pourvu d'au moins une deuxième ouverture. La chambre de travail et la chambre de chauffage sont reliées l'un avec l'autre ; Les rainures longitudinales autant que les deuxièmes ouvertures sont situées dans le boîtier et s'étendent à partir des deuxièmes ouvertures. Au moins une cloison mobile transparente est reliée de manière coulissante à la rainure longitudinale, et la surface latérale de la paroi mobile correspond à la première ouverture. Au moins un mécanisme de positionnement reliée au boîtier et positionne ou soutient une cloison mobile. De l'extérieur du grille-pain, à travers la première ouverture et la cloison mobile transparente sera en mesure d'observer la nourriture pour faciliter à l'utilisateur de comprendre l'état de la nourriture chauffée. La cloison mobile est reliée aux ...

Подробнее
18-02-2005 дата публикации

“PROCEEDED AND AUTOMATIC SYSTEM OF LOCALIZATION OF ZONES OF TEXT IN UNEIMAGE”

Номер: FR0002843220B1
Автор: MAHDI, ARDEBILIAN, CHEN
Принадлежит: ECOLE CENTRALE DE LYON

Подробнее
20-06-2008 дата публикации

SIMPLE SYSTEM AND PROCESS OF NAVIGATION

Номер: FR0002851647B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
05-01-2018 дата публикации

TEXTILE STRUCTURE WITH COMPOSITE MATERIAL THE STAIN AND ANTIBACTERIAL

Номер: FR0003053275A3
Принадлежит:

L'invention concerne une structure textile (10) ayant une matière composite anti-taches et antibactérienne, qui comprend une étoffe (11) et une couche de matière composite anti-taches et antibactérienne (12) qui adhère à une surface de l'étoffe (11). L'étoffe (11) est faite d'une matière fibreuse. La couche de matière composite anti-taches et antibactérienne (12) comprend une matière nano-zinc (121) et une couche d'adhérence (122) fixant la matière nano-zinc (121) sur l'étoffe (11).

Подробнее
23-09-2005 дата публикации

SYSTEM RESPONDER FOR CUSTOMER SERVICE

Номер: FR0002856543B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
31-05-2013 дата публикации

LUNETTES-MASQUE

Номер: FR0002974426B1
Автор: CHEN CHIH-MING
Принадлежит: HWA MEEI OPTICAL CO., LTD.

Подробнее
24-08-2012 дата публикации

Machine tool, has handle comprising opening for receiving control switch, and dust-proof cap comprising support portion formed on circumference of opening of cap for pressing handle and ensuring sealing of handle opening

Номер: FR0002971726A3
Автор: CHEN BACH PANGHO
Принадлежит:

L'invention porte sur une machine-outil (10) à interrupteur étanche à la poussière, qui a une poignée (11) avec une ouverture (12), recevant un interrupteur de commande (20) déplaçable vers le haut et le bas, et une barre de commande (14) articulée sur celle-ci pour suivre une course de pivotement pour appuyer sur l'interrupteur de commande (20). La poignée (11) est couplée à un capuchon étanche à la poussière (30) qui a une ouverture (31) pour un accouplement sur l'interrupteur de commande (20) et une partie d'appui (32) formée sur la périphérie de l'ouverture (31) pour appuyer sur la poignée (11) et assurer l'étanchéité de l'ouverture (12). Ainsi, lors du fonctionnement de la machine-outil (10), on peut empêcher la poussière d'entrer dans la machine-outil (10) à travers l'interrupteur de commande (20) et l'ouverture (12) pour maintenir un fonctionnement stable de la machine-outil (10) et améliorer sa durée de vie.

Подробнее
09-09-2011 дата публикации

Storage furniture unit, has assembling frame including outer surfaces aligned with corresponding outer surfaces of polygonal flange of shell in plane, and attachment ends formed on corresponding outer surfaces of assembling frame

Номер: FR0002956957A3
Принадлежит:

Un ensemble meuble de rangement comporte au moins une unité de meuble de rangement et de multiples plaques de couverture (20). Chaque unité de meuble de rangement comporte une coque tronconique (40) et un cadre de montage (50). La coque tronconique (40) est creuse et possède une première extrémité (411), une seconde extrémité (412), de multiples surfaces externes (421) et de multiples premiers ensembles d'attaches (43, 43'). Un diamètre de la seconde extrémité (412) est inférieur à un diamètre de la première extrémité (411). Chaque multiple premier ensemble d'attaches (43, 43') est formé sur une surface externe correspondante (421). Le cadre de montage (50) est monté autour de la seconde extrémité (412) de la coque tronconique (40) et possède de multiples surfaces extérieures (53) et de multiples deuxièmes ensembles d'attaches (54, 54'). Chaque surface extérieure (53) s'aligne avec une surface externe correspondante (421) de la coque tronconique (40) dans un plan. Chaque deuxième ensemble ...

Подробнее
13-07-2012 дата публикации

Transmission system for forward and backward pedaling of exercise bicycle for exercising various parts of foot of user, has driven shaft including driven gear meshing with gear of pinion, and another driven gear connected to another gear

Номер: FR0002970236A3
Автор: CHEN JUNG CHIEH
Принадлежит:

Ce système de transmission pour vélo comprend un arbre de transmission (1) relié à ses deux extrémités aux bielles (2) des pédales (3) ; un pignon directionnel (10), engagé à coulissement sur l'arbre (1) et calé sur celui-ci, dont les deux extrémités axiales sont dentelées et forment chacune des dents ; à une extrémité de ce pignon directionnel (10), il y a un premier pignon (11) qui n'est pas en prise avec l'arbre de transmission 1 ; sur ce premier pignon (11), il y a des dents (13) de venue en prise avec le pignon directionnel (10) et une chemise (12) d'arbre entraîné qui est associée avec le plateau (6) d'entraînement de la chaîne du vélo ;.à l'autre extrémité du pignon directionnel (10), il y a un deuxième pignon (20) qui n'est pas en prise avec l'arbre de transmission (1), et qui comprend des dents (21) de venue en prise avec le pignon directionnel (10) ; un arbre entraîné (30) comprend à une extrémité un premier pignon entraîné (31), qui engrène avec ledit premier pignon (11), et ...

Подробнее
06-04-2012 дата публикации

Machine tool i.e. grinder for grinding workpiece, has support element arranged in contact with heat sources of printed circuit board and ldirecting heat generated by heat sources towards heat dissipation element for dissipating heat

Номер: FR0002965499A3
Автор: CHEN BACH PANGHO
Принадлежит:

La présente invention porte sur une machine-outil avec structure de conduction de chaleur, comprenant une machine-outil (10) comprenant une poignée (11) ayant dans celle-ci un espace de réception (12) recevant une carte de circuits imprimés (30) et comprenant une ouverture (13) à l'extrémité, et un siège de dissipation de chaleur (20) comprenant un élément de dissipation de chaleur (21) disposé sur l'ouverture (13) et un élément de support (22) relié à l'élément de dissipation de chaleur (21) et s'étendant dans l'espace de réception (12), l'élément de support (22) supportant la carte de circuits imprimés (30) et étant en contact avec une pluralité de sources de chaleur de la carte de circuits imprimés (30) et conduisant la chaleur générée par les sources de chaleur vers l'élément de dissipation de chaleur (21) pour dissiper la chaleur.

Подробнее
03-08-2012 дата публикации

Rotary cosmetic pen e.g. lipstick, for use by women, has extrusion assembly mounted around piston, and compression ring fixedly mounted around bushing and including periphery locked against inner wall of body

Номер: FR0002970850A3
Автор: CHEN YUNG-FENG
Принадлежит:

L'invention porte sur un stylo cosmétique rotatif qui comprend un corps (10) rempli d'un produit cosmétique liquide, un ensemble rotatif (20) monté à travers une extrémité ouverte du corps (10) et ayant un piston (23), un tube de décharge (231) et une brosse (22), et un ensemble d'extrusion (30) monté dans le corps (10). Par rotation de l'ensemble rotatif (20), l'ensemble d'extrusion (30) vissé sur le piston (23) est déplacé le long du piston (23) pour comprimer le produit cosmétique liquide, de sorte que ce dernier entre dans un trou d'entrée sur une extrémité arrière du piston (23) et est exprimé à partir d'un trou de sortie (2311) sur une extrémité avant du tube de décharge (231) pour humidifier la brosse (22) formée sur une pointe de stylo. Par conséquent, on peut obtenir une opération efficace à une seule main pour l'apport de produit cosmétique liquide à la brosse (22) ...

Подробнее
10-01-2014 дата публикации

LUMINAIRE

Номер: FR0002993133A3
Принадлежит: JUSTING TECHNOLOGY (TAIWAN) PTE LTD.

Un luminaire de l'invention comprend : un convertisseur CA-CC destiné à être relié à une source d'énergie, un module photoélectrique, un circuit de commande relié électriquement entre le convertisseur CA-CC et le module photoélectrique, qui sont disposés dans un corps de luminaire ; le circuit de commande intégrant en outre : un module de gradation, un module de stabilisation de courant/tension, un module d'émission/réception sans fil relié électriquement au convertisseur CA-CC, pour émettre/recevoir un signal vers/à partir d'au moins une unité de commande à distance externe correspondante, un module de détection de courant/tension, un module d'amplification de puissance et un module de traitement de signal. Les informations de tension/courant délivrées au module photoélectrique sont détectées principalement à l'aide du module de détection de courant/tension, la transmission d'informations est réalisée avec l'unité de commande à distance correspondante par l'intermédiaire du module d'émission ...

Подробнее
20-04-2018 дата публикации

SUSPENSION STRUCTURE OF A HANGER ASSEMBLY

Номер: FR0003057629A3
Принадлежит:

Une structure de suspension d'un ensemble crochet de suspension comprend un substrat (10), ayant des première et seconde faces, une première couche adhésive (20), dont une première surface se relie à ladite seconde face et dont une seconde surface est apte à adhérer à une paroi en ciment, une paroi perforée ou une paroi lisse, un papier anti-adhésif (30) amené à adhérer à la seconde surface de la première couche adhésive (20), un support (40) relié à la première face du substrat (10) et comprenant une base (41) et un élément de fixation (43) ayant une partie filetée (431), et un crochet (50), comprenant un segment en crochet et un segment de vissage. Le crochet (50) est apte à être retiré aisément en tirant sur le segment en crochet et le segment de vissage est apte à être vissé à la partie filetée (431) de l'élément de fixation (43).

Подробнее
14-04-2017 дата публикации

SOLID STATE LAMP

Номер: FR0003042257A3
Принадлежит:

L'invention concerne une lampe à DEL ayant un tube (10) et deux couvercles d'extrémité (11) reliés sur les deux côtés du tube (10) pour recevoir un élément d'alimentation électrique (12) ou un élément de conversion de puissance (13), et ayant un premier trou de pénétration (111) pour mettre en prise un élément de raccordement (2) pour accrocher ou installer la lampe à DEL. La lampe à DEL comprend en outre au moins une plaque arrière (14) ayant au moins deux premiers trous de verrouillage, et chaque couvercle d'extrémité (11) a au moins un second trou de verrouillage correspondant aux premiers trous de verrouillage. Au moins deux éléments de verrouillage passent à travers les premier et second trous de verrouillage pour relier la plaque arrière (14) et le couvercle d'extrémité (11), la plaque arrière (14) est reliée étroitement avec le couvercle d'extrémité (11) et ne sera pas séparée facilement.

Подробнее
21-10-2011 дата публикации

Football shoe, has rod and lugs, where rod and sole of shoe are molded in single part and are made of polyurethane or thermoplastic polyurethane materials, lining fixed on internal face of rod, and inner sole fixed on internal face of sole

Номер: FR0002958835A3
Автор: CHEN WENBIAO
Принадлежит: SHUANGCHI INDUSTRIAL CO., LTD

La présente invention concerne une chaussure de football moulée en une seule pièce, dont la semelle et/ou la tige sont fabriquées en matériaux transparents. La chaussure de football est fabriquée en matériaux écologiques, tels que du polyuréthanne thermoplastique ou du polyuréthanne. Dans la présente invention, la tige et la semelle peuvent être moulées en une seule pièce par une procédure d'injection en une seule étape ou une procédure d'injection en plusieurs étapes, selon les besoins spécifiques de chaque partie de la chaussure de football concernant les matériaux et la dureté, etc. Des lignes, des chiffres ou des dessins sont appliqués sur la surface de la doublure dirigée vers la tige, et/ou sur la surface de la semelle intérieure dirigée vers la semelle. La chaussure de football selon la présente invention permet de réduire grandement les coûts de production et l'utilisation de main d'œuvre, et de diminuer considérablement la pollution environnementale, et il ne s'y produira jamais ...

Подробнее
22-03-2013 дата публикации

Portable machine tool, has rotor whose magnetic poles are coupled with magnetic poles of stator to generate magnetic force to lead exit pin to turn with respect to stator, where number of magnetic poles of rotor is in specific range

Номер: FR0002980128A3
Автор: CHEN BACH PANGHO
Принадлежит:

La présente invention porte sur une machine-outil portative présentant un rendement de sortie amélioré, qui comprend un carter pour maintenir un circuit de commande connecté à une source de courant et un moteur électrique qui comprend un stator (30), un rotor (32) et une broche de sortie (31). Le stator (30) a un noyau (301) et une pluralité de pôles magnétiques de stator (302) s'étendant radialement. Les pôles magnétiques de stator sont entourés par un bobinage d'excitation (303). Le noyau a un trou d'axe traversé par la broche de sortie. Le rotor a une partie de transmission (323) fixée à la broche de sortie et une partie d'induction (321) s'étendant à partir de la partie de transmission correspondant horizontalement aux pôles magnétiques de stator. La partie d'induction a une pluralité de pôles magnétiques de rotor (322) sur une bordure interne de celle-ci, correspondant aux pôles magnétiques de stator. Les pôles magnétiques de rotor et les pôles magnétiques de stator sont couplés magnétiquement ...

Подробнее
15-06-2012 дата публикации

Emery cloth, has interface layer including warp and weft threads mutually crossing to define suction holes, hook and loop fasteners formed on threads, through holes formed in body of grinding unit, and abrasive grains distributed over body

Номер: FR0002968588A3
Автор: CHEN YUNG-CHANG
Принадлежит:

Une toile d'émeri (200) comprend une couche de tissu d'interface (20) et une unité de meulage (30). La couche de tissu d'interface (20) comporte des première et deuxième surfaces (26, 27) opposées, et comprend une pluralité de fils de chaîne (21) et une pluralité de fils de trame (22) tissés ensemble et se croisant mutuellement pour définir une pluralité de trous d'aspiration (25), et des éléments de fixation de type Velcro (23) formés sur les fils de chaîne et de trame (21, 22) au niveau de la première surface (26). L'unité de meulage (30) comprend un corps réticulaire (31) relié à la deuxième surface (27) de la couche de tissu d'interface (20), une pluralité de trous traversants (32) formés dans le corps réticulaire (31), et une pluralité de grains abrasifs (33) répartis sur le corps réticulaire (31).

Подробнее
07-06-2013 дата публикации

Gauge device for indication of pressure in inflating machine, has tubular body receiving elastic element between protection end and push block, and measurement bar arranged corresponding to trajectory of displacement of plate

Номер: FR0002983580A3
Автор: CHEN CHI-WEN
Принадлежит:

Selon l'invention, le dispositif (1) d'indication de pression est destiné à être connecté à un mécanisme de gonflage d'une machine de gonflage. Le dispositif (1) d'indication de pression comprend une plaque (15) mobile linéairement et un élément élastique (16). Une barre de mesure ayant des graduations est disposée le long d'une trajectoire de déplacement de la plaque (15). La force élastique de compression de l'élément élastique (16) sert de force de réaction (restauration et force de rappel) pour le mouvement linéaire de la plaque (15). Simultanément, une pression est générée dans un compartiment à air du mécanisme de gonflage de telle sorte que la distance de déplacement de la plaque (15) est indicatrice du niveau de pression et qu'une mesure précise de la pression peut donc être réalisée.

Подробнее
26-10-2012 дата публикации

CONCRETE ELEMENT HAS SURFACE SUPERHYDROPHOBE

Номер: FR0002955858B1
Принадлежит: LAFARGE

Подробнее
12-08-2005 дата публикации

SYSTEM OF REPORT ON THE CIRCULATION TERMS IN REAL-TIME

Номер: FR0002832836B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
12-10-2012 дата публикации

PROCESS OF SELECTIVE GROWTH ON A STRUCTURE SEMICONDUCTRICE

Номер: FR0002973936A1

Selon ce procédé, qui s'applique notamment en électronique, on forme une structure semiconductrice (12) à partir de premiers flux gazeux ou moléculaires ; en même temps ou de façon décalée, on ajoute à ceux-ci au moins un deuxième flux gazeux ou moléculaire, pour faire croître sélectivement et in situ une couche diélectrique (14) sur la structure ; puis on fait croître sur celle-ci une autre structure semiconductrice (16) à partir de troisièmes flux gazeux ou moléculaires.

Подробнее
28-01-2005 дата публикации

PROCESS AND FAST KIT Of IDENTIFICATION OF BACILLUS CEREUS IN a SAMPLE

Номер: FR0002820147B1
Автор: CHEN, CHANG, DING
Принадлежит: EXECUTIVE YUAN COUNCIL OF AGRICULTURE

Подробнее
17-09-2004 дата публикации

WARNING SYSTEM Of FLOOD ON VEHICLE

Номер: FR0002840436B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
17-06-2011 дата публикации

A PLANE WHOSE THRUST/WEIGHT RATIO LOWER EAST HAS 1 IS ABLE TO TAKE OFF AND LAND IN A VERTICAL WAY

Номер: FR0002953808A1
Автор: CHEN LI JING
Принадлежит: CHEN

Un avion dont le rapport poussée-poids est inférieur à 1 arrive à décoller et atterrir de façon verticale (6, 7, 8, 9, 10, 18, 19, 20). Etant dirigé contre le défaut de l'avion traditionnel qui décolle et atterrit de façon verticale, que ce type traditionnel d'avion ne puisse pas décoller et atterrir de façon verticale au cas où le rapport poussée-poids est inférieur à 1, les avions (6, 7, 8, 9, 10, 18, 19, 20) produits en se basant sur la présente invention arrivent à obtenir une sustentation produite hors de la façon traditionnelle de décoller et atterrir verticalement en installant le profil mince (2) de façon horizontale au milieu de la ligne verticale de la section horizontale au sein de la conduite d'air (5, 6), à part d'une façon traditionnelle pour obtenir la sustentation verticale de décoller et atterrir verticalement. La présente invention sert à développer et restyler les avions dont le rapport poussée-poids est inférieur à 1.

Подробнее
02-03-2018 дата публикации

MECHANISM FOR DRIVING THE CONTROL SHAFT OF THE REACTOR.

Номер: FR0003055464A1
Принадлежит:

Un mécanisme d'entraînement de tige de commande comprenant un ensemble de coque d'étanchéité, un boîtier mobile fixement raccordé à l'ensemble de coque d'étanchéité, un ensemble de bobine emmanché sur l'ensemble de coque d'étanchéité, et un ensemble de crochet disposé dans l'ensemble de coque d'étanchéité, dans lequel l'ensemble de coque d'étanchéité comprend une coque d'étanchéité et une base de tube, et la coque d'étanchéité et la base de tube forment une structure solidaire. La structure solidaire supprime le processus pour souder la base de tube sur la coque d'étanchéité. De cette manière, le temps pour fabriquer et assembler le réacteur dans son ensemble peut être économisé. Egalement, par rapport à l'art antérieur, le réacteur utilisant un tel procédé réduit une soudure nucléaire lisse, réduisant le risque de fuite. En somme, la structure décrite ci-dessus prolonge la durée de vie du mécanisme d'entraînement de tige de commande et réduit considérablement le travail pour le contrôle ...

Подробнее
22-12-2006 дата публикации

Button fastening device for bags, has circuit actuating unit including conductive units, and operable through engagement and disengagement of button units to control electrical connection and disconnection between conductive units

Номер: FR0002887365A1
Автор: CHANG, CHEN
Принадлежит: CHEN

Dispositif de fixation formant bouton avec capacité d'actionnement de circuit comprenant : une première partie de bouton (2) ; une seconde partie de bouton (3) pouvant être mise en prise de façon amovible avec la première partie de bouton (2) pour fixer des articles ensemble ; et une unité d'actionnement de circuit (1) montée sur au moins une des première et seconde parties de bouton (2, 3), conçue pour être électriquement reliée à un circuit et pouvant fonctionner pour commander les états de circuit du circuit par la mise en prise et le dégagement des première et seconde parties de bouton (2, 3).

Подробнее
10-08-2018 дата публикации

METHOD FOR ESTIMATING THE ANGULAR POSITION OF A ROTOR OF AN ELECTRIC DRIVE SYSTEM

Номер: FR0003062762A1
Принадлежит: VALEO SIEMENS EAUTOMOTIVE FRANCE SAS

La présente invention a pour objet un procédé d'estimation de la position angulaire d'un rotor par rapport à un stator dans une machine électrique tournante (M), telle qu'une machine électrique d'un système de motorisation électrique ou hybride, comprenant : - l'estimation de la position angulaire et/ou de la vitesse de rotation du rotor (θHF, QHF) par une méthode d'injection de signaux haute fréquence tant que la valeur absolue de la vitesse de rotation du rotor, dérivée de ladite position angulaire, est inférieure à un premier seuil prédéfini (V1) ; - l'estimation de la position angulaire et/ou de la vitesse de rotation du rotor (θML, ΩML) par un modèle issu d'une méthode d'apprentissage tant que la valeur absolue de la vitesse de rotation du rotor, dérivée de ladite position angulaire, est supérieure à un deuxième seuil prédéfini (V2).

Подробнее
15-11-2013 дата публикации

Fluid collector for use in negative pressure based wounds therapy system to collect e.g. pus, has adjustment assembly mounted in T-tube and including screw assemblies mounted in output end and connection end

Номер: FR0002990354A1
Принадлежит: APEX MEDICAL CORP.

Un collecteur de fluide présente un contenant (10), un tube en T (20), une première unité de vis (31) et une seconde unité de vis (32). Le tube en T (20) est relié au contenant (10) et a un conduit principal (21) et un conduit de dérivation (22). La première unité de vis (31) est montée dans le conduit principal (21). La seconde unité de vis (32) est montée dans le conduit de dérivation (22). Par changement de la longueur des première et seconde unités de vis (31, 32), différentes valeurs de détection de la pression sont ajustées conformément à des contenants (10) ayant différentes capacités. De plus, avec la résistance d'écoulement résultant des première et seconde unités de vis (31, 32), différentes variations de pression sont réalisées lorsque du liquide ou de l'air passe à travers le tube en T (20). Par conséquent, une hémorragie massive est nettement identifiée pour maintenir le patient en sécurité.

Подробнее
26-12-2014 дата публикации

PEN HAS DECORATION MOBILE FUN

Номер: FR0002993205B3
Автор: CHEN CHAO-SHENG

Подробнее
23-03-2012 дата публикации

DRIVING MECHANISM WITH the PUTTING AND the SHORT-SWING OF GOLF

Номер: FR0002959136B3
Автор: CHEN YUNG-SHEN
Принадлежит: CHEN

Подробнее
09-11-2012 дата публикации

THIN ROLLER OF CABLE

Номер: FR0002968645B3
Автор: CHANG WEN HAN, CHEN KO AN
Принадлежит: CHANG, CHEN

Подробнее
10-02-2017 дата публикации

LOCKING MECHANISM FOR BLOWER AND SUCTION AND BLOWING AND SUCTION DEVICE.

Номер: FR0003028198B3
Автор: CHEN PENG, ZHU BLAO

Подробнее
31-10-2014 дата публикации

ELECTRICAL CONNECTOR

Номер: FR0002990804B3
Принадлежит: POWER QUOTIENT INTERNATIONAL CO., LTD.

Подробнее
30-11-2012 дата публикации

TRANSFER Of STATE BETWEEN DEVICES HAS CHECK-POINTS

Номер: FR0002975801A1
Принадлежит: GOOGLE INC.

Un premier dispositif client (102-1) exécute une pluralité d'applications actives, chacune ayant un ou plusieurs points de contrôle, chaque point de contrôle identifiant un point d'interruption d'exécution auquel une application respective peut être suspendue, puis reprise. Le premier dispositif client (102-1) détecte une condition de déclenchement de transfert, mémorise un état d'application pour chacune des différentes applications actives et transmet à un second dispositif ou système client (102-2) l'état d'application mémorisé pour chacune des différentes applications actives. L'état d'application mémorisé pour chaque application respective de la pluralité d'applications actives correspond à un point de contrôle de l'application respective. Eventuellement, la condition de déclenchement de transfert comprend la présence du second dispositif ou système client (102-2) dans les limites d'une proximité prédéfinie du premier dispositif ou système client (102-1 ), la proximité prédéfinie étant ...

Подробнее
15-04-2011 дата публикации

DOCUMENT-CASE

Номер: FR0002945919B3
Автор: CHEN LI-HUA
Принадлежит: CHEN

Подробнее
30-03-2012 дата публикации

PAIR OF GLASSES

Номер: FR0002959024B3
Автор: CHEN SHIH-HSIN
Принадлежит: CHEN

Подробнее
01-08-2014 дата публикации

ELECTRICAL CONNECTOR

Номер: FR0002991513B3
Принадлежит: POWER QUOTIENT INTERNATIONAL CO., LTD.

Подробнее
05-10-2012 дата публикации

MACHINE TOOL WITH STRUCTURE OF CONDUCTION OF HEAT

Номер: FR0002965499B3
Автор: CHEN BACH PANGHO
Принадлежит: X'POLE PRECISION TOOLS INC.

Подробнее
12-02-2016 дата публикации

AIR COMPRESSOR

Номер: FR0003013779B3
Автор: CHEN CHI-WEN
Принадлежит: CHEN

Подробнее
21-07-2017 дата публикации

LAMP DIMMING AND AUTOMATIC DETECTION

Номер: FR0003038202B3
Принадлежит: UNITY OPTO TECHNOLOGY CO., LTD.

Подробнее
27-06-2008 дата публикации

HAIR DRIER.

Номер: FR0002905054B3
Автор: CHEN
Принадлежит: CHEN

Подробнее
05-10-2012 дата публикации

MACHINE TOOL WITH STRUCTURE Of DAMPING AUXILIARY

Номер: FR0002965742B3
Автор: CHEN BACH PANGHO
Принадлежит: X'POLE PRECISION TOOLS INC.

Подробнее
30-10-2015 дата публикации

ELASTIC STRUCTURE OF THE SPECTACLE FRAME

Номер: FR0003010539B3
Автор: CHEN SHIH-HSIN
Принадлежит: VICTORY EYEWEAR CO., LTD.

Подробнее
09-02-2012 дата публикации

SEQUENCES DIAGNOSTIC FOR SHRIMP PATHOGENS

Номер: US20120034263A1
Принадлежит: E.I. Du Pont De Nemours and Company

Primers have been isolated that are diagnostic for the detection of the white spot syndrome virus (WSSV). The primers are based on a new portion of the WSSV genome and may be used in primer directed amplification or nucleic acid hybridization assay methods. 1. An isolated WSSV diagnostic primer sequence as set forth in SEQ ID NO:5 or an isolated nucleic acid molecule that is completely complementary to SEQ ID NO:5.2. An isolated WSSV diagnostic primer sequence as set forth in SEQ ID NO:6 or an isolated nucleic acid molecule that is completely complementary to SEQ ID NO:6.3. A pair of WSSV diagnostic primer sequences as set forth in SEQ ID NO:5 and SEQ ID NO:6.4. A kit for the detection of WSSV comprising the pair of WSSV diagnostic primer sequences of .5. A kit for the detection of WSSV according to wherein the kit further comprises at least one reagent selected from the group consisting of a thermostable polymerase claim 4 , a mixture of four different deoxynucleotide triphosphates claim 4 , a nucleic acid-binding fluorescent molecule claim 4 , at least one pair of internal sample control primers claim 4 , at least one internal template control and at least one pair of internal template control primers claim 4 , and a probe comprising a complementary sequence to a portion of at least one region of nucleic acid within the WSSV genome which is capable of being amplified with the at least one pair of WSSV diagnostic primer sequences.6. A method for detecting the presence of WSSV in a sample comprising:(i) providing DNA from a sample suspected of containing the WSSV; and{'claim-ref': [{'@idref': 'CLM-00001', 'claim 1'}, {'@idref': 'CLM-00002', '2'}], '(ii) probing the DNA with a probe derived from the isolated WSSV diagnostic primer sequence of any of or under suitable hybridization conditions;'}wherein the identification of a hybridizable nucleic acid fragment confirms the presence of WSSV.7. A method for detecting the presence of WSSV in a sample according to wherein ...

Подробнее
01-03-2012 дата публикации

Forming Crown Active Regions for FinFETs

Номер: US20120049294A1

A device includes a plurality of intra-device insulation regions having a first height; and a plurality of semiconductor fins horizontally spaced apart from each other by the plurality of intra-device insulation regions. A portion of the plurality of semiconductor fins is disposed above the plurality of intra-device insulation regions. The device further includes a first inter-device insulation region and a second inter-device insulation region with the plurality of semiconductor fins disposed therebetween. The first and the second inter-device insulation regions have a second height greater than the first height. 1. A device comprising:a plurality of intra-device insulation regions having a first height;a plurality of semiconductor fins horizontally spaced apart from each other by the plurality of intra-device insulation regions, wherein a portion of the plurality of semiconductor fins is disposed above the plurality of intra-device insulation regions; anda first inter-device insulation region and a second inter-device insulation region with the plurality of semiconductor fins disposed therebetween, wherein the first and the second inter-device insulation regions have a second height greater than the first height.2. The device of claim 1 , wherein a ratio of the second height to the first height is greater than about 1.2.3. The device of claim 2 , wherein the ratio is greater than about 2.0.4. The device of claim 1 , wherein one of the plurality of semiconductor fins comprises a first sidewall vertically aligned to a sidewall of one of the plurality of intra-device insulation regions claim 1 , and a second sidewall vertically aligned to a sidewall of the first inter-device insulation region.5. The device of further comprising a semiconductor substrate comprising a portion directly under and contacting the plurality of semiconductor fins and the plurality of intra-device insulation regions claim 1 , wherein the portion of the semiconductor substrate is formed of a ...

Подробнее
05-07-2012 дата публикации

Method and apparatus for tracking target object

Номер: US20120170804A1

A method and apparatus for tracking a target object are provided. A plurality of images is received, and one of the images is selected as a current image. A specific color of the current image is extracted. And the current image is compared with a template image to search a target object in the current image. If the target object is not found in the current image, a previous image with the target object is searched in the images received before the current image. And the target object is searched in the current image according to an object feature of the previous image. The object feature and an object location are updated into a storage unit when the target object is found. 1. A method for tracking a target object , comprising:A. receiving a plurality of images, and selecting one of the images as a current image;B. extracting a specific color of the current image;C. comparing the current image with a template image to search a target object in the current image;D. determining whether the current image contains the target object, and if yes, updating an object feature and an object location of the target object into a storage unit; and if not, performing a next step: a. searching a previous image with the target object in the images received before the current image;', 'b. searching whether the current image contains the target object according to the object feature of the previous image; and', 'c. finding the target object of the current image according to the object feature of the previous image, and updating the object feature and the object location of the current image into the storage unit., 'E. using a micro processor to perform the following steps2. The method for tracking a target object according to claim 1 , wherein the step B comprises:respectively performing an interpolation on a vector converted from the specific color and vectors converted from a plurality of color pixels of the current image to respectively obtain a color similarity between each of ...

Подробнее
18-10-2012 дата публикации

DEPTH IMAGE ACQUIRING DEVICE, SYSTEM AND METHOD

Номер: US20120262553A1

A depth image acquiring device is provided, which includes at least one projecting device and at least one image sensing device. The projecting device projects a projection pattern to an object. The image sensing device senses a real image. In addition, the projecting device also serves as a virtual image sensing device. The depth image acquiring device generates a disparity image by matching three sets of dual-images formed by two real images and one virtual image, and generates a depth image according to the disparity image. In addition, the depth image acquiring device also generates a depth image by matching two real images, or a virtual image and a real image without verification. 1. A depth image acquiring device , comprising:at least one projecting device, for projecting a projection pattern to an object, wherein the projecting device serves as a virtual image sensing device, and an inherent image of the projection pattern serves as a virtual image;a first and a second image sensing device, for sensing the projection pattern projected to the object respectively, to generate a first real image and a second real image; andan image processing unit, coupled to the projecting device and the first and the second image sensing device,wherein the image processing unit matches pixels in the first real image with those in the second real image respectively, to obtain a first matched image, andmatches the pixels in the first real image with those in the virtual image respectively, to obtain a second matched image;performs match verification by using the first and the second matched image, to output a verified matched image, wherein a pixel in the verified matched image is one of the pixels in the first and the second matched image; andgenerates a disparity image according to values of pixels in the first real image and pixels in the verified matched image, and calculates a corresponding depth value according to the disparity image, so as to generate a depth image.2. The ...

Подробнее
27-12-2012 дата публикации

ELECTRONIC DEVICE AND FIXING STRUCTURE THEREOF

Номер: US20120329408A1
Принадлежит: INVENTEC CORPORATION

A fixing structure including a metal housing and a fixing base is disclosed. The housing has a carrying plane and the fixing base having a first contact end and, opposite, a second contact end is disposed on the housing. The perpendicular distance from the first contact end to the carrying plane is greater than that from the second contact end to the carrying plane. A wireless transmission device is disposed on the fixing base and in contact with the first contact end and the second contact end, so that a perpendicular distance from an antenna end of the wireless transmission device to the carrying plane is greater than a perpendicular distance from a fixed end of the wireless transmission device to the carrying plane. Therefore, a relatively great distance is kept between the antenna end and the housing for preventing the antenna end from being interfered by the metal housing. 1. An electronic device , comprising:a housing, having a carrying plane;a fixing base disposed on the housing, the fixing base having a first contact end and a second contact end opposite to each other, and a perpendicular distance from the first contact end to the carrying plane being greater than a perpendicular distance from the second contact end to the carrying plane; anda wireless transmission device disposed on the fixing base and contacting the first contact end and the second contact end, the wireless transmission device having a fixing end and an antenna end opposite to each other, and a perpendicular distance from the antenna end to the carrying plane being greater than a perpendicular distance from the fixing end to the carrying plane.2. The electronic device according to claim 1 , wherein the fixing base has a first side wall and a second side wall located on two opposite sides of the fixing base claim 1 , and two opposite sides of the electronic device respectively abutted the first side wall and the second side wall.3. The electronic device according to claim 1 , wherein the ...

Подробнее
06-03-2014 дата публикации

METHOD FOR EXTREME ULTRAVIOLET ELECTROSTATIC CHUCK WITH REDUCED CLAMPING EFFECT

Номер: US20140061655A1

The present disclosure provides one embodiment of a semiconductor structure. The semiconductor structure includes a semiconductor substrate having a front surface and a backside surface; integrated circuit features formed on the front surface of the semiconductor substrate; and a polycrystalline silicon layer disposed on the backside surface of the semiconductor substrate. 16-. (canceled)7. A method , comprising:providing a semiconductor substrate having a front surface and a backside surface;forming a first polycrystalline silicon layer and a second polycrystalline silicon layer on the front surface and the backside surface of the semiconductor substrate, respectively;removing the first polycrystalline silicon layer from the front surface of the semiconductor substrate;implanting the second polycrystalline silicon layer on the backside surface of the semiconductor substrate to form a rough surface; andsecuring the backside surface of the semiconductor substrate to an electrostatic chuck such that the rough surface makes contact with the electrostatic chuck.8. The method of claim 7 , wherein the implanting the second polycrystalline silicon layer generates the second polycrystalline silicon layer with a surface roughness ranging between about 7 angstrom and about 20 angstrom.9. The method of claim 7 , wherein the implanting the second polycrystalline silicon layer includes implanting with a dopant selected from the group consisting of phosphorous claim 7 , boron claim 7 , nitrogen claim 7 , and a combination thereof.10. The method of claim 7 , wherein the forming a first polycrystalline silicon layer and a second polycrystalline silicon layer includes using a precursor including silane (SiH4).11. The method of claim 10 , wherein the forming the first polycrystalline silicon layer and the second polycrystalline silicon layer includes forming the first polycrystalline silicon layer and the second polycrystalline silicon layer a deposition temperature ranging between ...

Подробнее
07-01-2016 дата публикации

LIGHT-EMITTING DEVICE HAVING CIRCULAR LIGHT EMISSION

Номер: US20160003425A1
Принадлежит:

The present invention provides a light-emitting device having circular light emission. By disposing a light-emitting chip on a substrate and a frame on one side of the light-emitting chip, a transparent sheet is disposed on the frame. In addition, a shade layer is disposed on one surface of the transparent sheet and an opening is disposed at the center of the shade layer for providing circular light emission. Alternatively, the opening can be formed on the frame and aligning with the light-emitting and thus providing circular light emission. 1. A light-emitting device having circular light emission , comprising:a substrate;a light-emitting chip, disposed on said substrate;a frame, disposed on one side of said light-emitting chip; anda transparent sheet. disposed on said frame, located on a light-emitting direction of said light-emitting chip, having a shade layer on one surface, said shade layer having an opening corresponding to the location of said light-emitting chip, and forming circular light mission via said opening.2. The light-emitting device of claim 1 , wherein a gap is located between said transparent sheet and said light-emitting chip.3. The light-emitting device of claim 1 , wherein said shade layer is located between said transparent sheet and said light-emitting chip.4. The light-emitting device of claim 1 , wherein said shade layer is located on said transparent sheet.5. The light-emitting device of claim 1 , wherein the diameter of said opening is less than or equal to the minimum width of said light-emitting chip.6. The light-emitting device of claim 1 , and further comprising a fluorescent layer disposed between said light-emitting chip and said shade layer.7. A light-emitting device having circular light emission claim 1 , comprising:a substrate;a light-emitting chip, disposed on said substrate; anda frame, disposed on one side of said light-emitting chip, having an opening corresponding to the location of said light-emitting chip, and forming ...

Подробнее
02-01-2020 дата публикации

DROPLET GENERATOR AND METHOD OF SEVICING EXTREME ULTRAVIOLET RADIATION SOURCE APPARATUS

Номер: US20200004168A1
Принадлежит:

An extreme ultraviolet radiation source apparatus includes a chamber including at least a droplet generator, a nozzle of the droplet generator, and a dry ice blasting assembly. The droplet generator includes a reservoir for a molten metal, and the nozzle has a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. The dry ice blasting assembly includes a blasting nozzle, a blasting air inlet and a blaster carbon dioxide (CO) inlet. The blasting nozzle is disposed inside the chamber. The blasting nozzle is arranged to direct a pressurized air stream and dry ice particles at the nozzle of the droplet generator. 1. A method of cleaning an extreme ultra violet (EUV) radiation source apparatus , wherein the EUV radiation source apparatus comprises:a target droplet generator for generating a metal droplet within a chamber; anda dry ice blasting assembly having a blasting nozzle disposed inside the chamber and a dry ice supporting member, forming pressurized dry ice particles including dry ice particles and a pressurized air stream from the dry ice supporting member of the dry ice blasting assembly;', 'ejecting the pressurized dry ice particles through the blasting nozzle toward residual material at a nozzle of the target droplet generator;', 'removing the residual material from the target droplet generator; and', 'collecting the residual material and sublimated gaseous carbon dioxide from the pressurized dry ice particles., 'the method comprising2. The cleaning method of claim 1 , further comprising positioning the blasting nozzle with respect to the residual material by an extendable positioner.3. The cleaning method of claim 1 , further comprising oscillating the pressure of the pressurized dry ice particles.4. The cleaning method of claim 1 , further comprising:monitoring the residual material in the droplet generator;adjusting valves of the blasting pump when an amount of the residual material in the droplet ...

Подробнее
13-01-2022 дата публикации

Dc power attachment device

Номер: US20220014014A1
Автор: Kong-Chen Chen
Принадлежит: Individual

A DC power attachment device provides a convenient solution in adapting DC powers from AC sockets connected to an existing power distribution circuit. It enables multitude DC devices to concurrently access multiple DC powers at the same or at different voltages on the same power attachment device, which may be coupled to a DC power source, an existing socket, or directly connected to a DC power distribution circuit. The method on the assembly of a DC power attachment device is also addressed.

Подробнее
15-01-2015 дата публикации

WHITE LIGHT LED MODULE STRUCTURE INCLUDING ULTRAVIOLET LIGHT

Номер: US20150014715A1
Принадлежит: Prolight Opto Technology Corporation

A white light LED module structure including ultraviolet light comprises a white light LED module radiating a white light. An ultraviolet light LED chip is disposed in a packaging structure of the white light LED module. The ultraviolet light LED chip radiates an ultraviolet light. The white light and the ultraviolet light simultaneously illuminate an object. Alternatively, a white light LED module can be disposed for radiating a white light, and an ultraviolet light LED module can be disposed at one side of the white light LED module for radiating an ultraviolet light. The white light and the ultraviolet light simultaneously illuminate an object. The above-mentioned two methods allow an addition of an ultraviolet light wave band to the white light LED module, thereby activating fabrics containing fluorescent materials. 1. A white light LED module structure including ultraviolet light comprising:a white light LED module radiating a white light; wherein an ultraviolet light LED chip is disposed in a packaging structure of said white light LED module; said ultraviolet light LED chip radiating an ultraviolet light; said white light and said ultraviolet light simultaneously illuminating an object.2. The white light LED module structure as claimed in claim 1 , wherein said packaging structure includes a LED chip and fluorescent powder.3. The white light LED module structure as claimed in claim 2 , wherein said LED chip is electrically connected with said ultraviolet LED chip in parallel claim 2 , in series or in a parallel-series combination.4. The white light LED module structure as claimed in claim 2 , wherein said fluorescent powder covers a luminous route of said LED chip claim 2 , but said fluorescent powder does not cover a luminous route of said ultraviolet light LED chip.5. The white light LED module structure as claimed in claim 2 , wherein said fluorescent powder covers both luminous routes of said LED chip and said ultraviolet light LED chip.6. The white light ...

Подробнее
03-02-2022 дата публикации

Transistor Gate Structures and Methods of Forming the Same

Номер: US20220037498A1
Принадлежит:

In an embodiment, a device includes: an isolation region; nanostructures protruding above a top surface of the isolation region; a gate structure wrapped around the nanostructures, the gate structure having a bottom surface contacting the isolation region, the bottom surface of the gate structure extending away from the nanostructures a first distance, the gate structure having a sidewall disposed a second distance from the nanostructures, the first distance less than or equal to the second distance; and a hybrid fin on the sidewall of the gate structure. 1. A device comprising:an isolation region;nanostructures protruding above a top surface of the isolation region;a gate structure wrapped around the nanostructures, the gate structure having a bottom surface contacting the isolation region, the bottom surface of the gate structure extending away from the nanostructures a first distance, the gate structure having a sidewall disposed a second distance from the nanostructures, the first distance less than or equal to the second distance; anda hybrid fin on the sidewall of the gate structure.2. The device of claim 1 , wherein the first distance is less than the second distance.3. The device of claim 2 , wherein the hybrid fin extends into a sidewall recess of the gate structure.4. The device of claim 1 , wherein the first distance is equal to the second distance.5. The device of claim 1 , wherein the first distance and the second distance are each in a range of 0.5 nm to 30 nm.6. The device of further comprising:a protective layer disposed between the hybrid fin and the gate structure, the protective layer covering an upper portion of the sidewall of the gate structure, a lower portion of the sidewall of the gate structure uncovered by the protective layer.7. A device comprising:an isolation region;a semiconductor fin protruding above a top surface of the isolation region;nanostructures over the semiconductor fin;a gate structure wrapped around the nanostructures; anda ...

Подробнее
24-01-2019 дата публикации

AIR EXHAUST VALVE

Номер: US20190023454A1
Автор: Chen Chia-Chen
Принадлежит:

The present disclosure provides an air exhaust valve, including a first valve body, a second valve body and a gasket. The first valve body and the second valve body are engaged with each other. The second valve body includes a first opening, a second opening, a first spacer and a second spacer. The first opening is provided within a bottom portion of the second valve body. The second opening is adjacent to and in communication with the first opening, and is provided within the bottom portion of the second valve body. The first spacer is provided within the first opening to form at least one first channel, and the second spacer is provided within the second opening to form at least one second channel. The first channel and the second channel are staggered with respect to each other. 1. An air exhaust valve , comprising:a first valve body; a first opening formed at a bottom of the second valve body and in communication with the receiving space;', 'a second opening formed at the bottom of the second valve body and positioned adjacent to the first opening and being in communication with the first opening;', 'a first spacer provided within the first opening and forming at least one first channel; and', 'a second spacer provided within the second opening and forming at least one second channel,', 'wherein the first channel and the second channel are staggered and spaced apart at a gap; and, 'a second valve body engaged with the first valve body to form a receiving space between the first valve body and the second valve body, the second valve body comprisinga gasket provided in the receiving space.2. The air exhaust valve of claim 1 , wherein the first spacer includes a first cross part and a plurality of concave parts formed at the first cross part claim 1 , and the first cross part equally divide the first opening; and the second spacer includes a second cross part and a second annular part coupled to the second cross part claim 1 , the second cross part and the second ...

Подробнее
23-01-2020 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20200025688A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:capturing, by way of a single shot of a panoramic camera configured for use within an extreme ultraviolet (EUV) vessel, an image of an interior of the EUV vessel, wherein the panoramic camera includes two opposing fish-eye camera lenses;comparing the image to another image that conforms to a defined specification; andbased on the comparing, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the captured image includes an image of an entirety of the interior of the EUV vessel.3. The method of claim 1 , further comprising:while capturing the image, providing a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the captured image includes a first image of a collector region of the EUV vessel and a second image of a lower cone region of the EUV vessel.5. The method of claim 4 , wherein the first image is captured by a first lens of the two opposing fish-eye camera lenses claim 4 , and wherein the second image is captured by a second lens of the two opposing fish-eye camera lenses.6. The method ...

Подробнее
24-04-2014 дата публикации

METHOD AND APPARATUS FOR ULTRAVIOLET (UV) PATTERNING WITH REDUCED OUTGASSING

Номер: US20140111781A1

A method and apparatus for ultraviolet (UV) and extreme ultraviolet (EUV) lithography patterning is provided. A UV or EUV light beam is generated and directed to the surface of a substrate disposed on a stage and coated with photoresist. A laminar flow of a layer of inert gas is directed across and in close proximity to the substrate surface coated with photoresist during the exposure, i.e. lithography operation. The inert gas is exhausted quickly and includes a short resonance time at the exposure location. The inert gas flow prevents flue gasses and other contaminants produced by outgassing of the photoresist, to precipitate on and contaminate other features of the lithography apparatus. 1. An ultraviolet (UV) lithography apparatus comprising:a stage for receiving thereon a substrate to be patterned;an ultraviolet (UV) light source that directs UV light onto a substrate disposed on said stage;a gas delivery source that causes an inert gas to flow across and in close proximity to a surface of said substrate disposed on said stage; andan exhaust system with exhaust ports capable of exhausting said inert gas.2. The UV lithography apparatus as in claim 1 , wherein said UV light source comprises an extreme ultraviolet (EUV) light source that emits EUV light having a wavelength of about 13.5 nm.3. The UV lithography apparatus as in claim 2 , wherein said EUV light source further comprises at least one reflective member capable of directing said EUV light onto said substrate and a further gas source that causes an inert gas to flow across and in close proximity to a surface of said at least one reflective member.4. The UV lithography apparatus as in claim 2 , wherein said gas delivery source comprises a plurality of gas delivery tubes positioned parallel to said surface of said substrate claim 2 , each said gas delivery tube having an associated gas delivery port claim 2 , and wherein said gas delivery ports surround and face said substrate.5. The UV lithography ...

Подробнее
04-02-2016 дата публикации

LIGHT-EMITTING APPARATUS HAVING LIGHT-PERVIOUS PLATE

Номер: US20160035942A1
Принадлежит:

The present invention provides a light-emitting apparatus having light-pervious plate. A circuit layer is disposed on a substrate. The circuit layer is adjacent to the LEDs, so that the LEDs can be connected electrically to the circuit layer. In addition, a frame is disposed on the circuit layer. A light-pervious plate is disposed on the frame and located in a light-emitting direction of the LEDs. Moreover, there is a gap between the light-pervious plate and the LEDs. 1. A light-emitting apparatus having light-pervious plate , comprising:a substrate;a circuit layer, disposed on said substrate;at least four light-emitting diodes, disposed on said substrate and adjacent to said circuit layer, and coupled to said circuit layer;a frame, disposed on said circuit layer and located on the side of said plurality of light-emitting diodes; anda light-pervious plate, disposed on said frame, located in a light-emitting direction of said plurality of light-emitting diodes, including a gap between said light-pervious plate and said plurality of light-emitting diodes, and the distance between said plurality of light-emitting diodes not greater than 400 micrometers;wherein said circuit layer includes a penetrating opening to expose a portion of said substrate; said plurality of light-emitting diodes are disposed in said penetrating opening, and the height of said plurality of light-emitting diodes is smaller than the height of said penetrating opening.2. The light-emitting apparatus of claim 1 , wherein said circuit layer is located on the side of said penetrating opening.3. The light-emitting apparatus of claim 2 , wherein a first side of said light-pervious plate is greater than a second side of said penetrating opening and said light-pervious plate covers said penetrating opening.4. The light-emitting apparatus of claim 2 , wherein the distance between said substrate and said light-pervious plate is greater than or equal to the height of said penetrating opening.5. The light- ...

Подробнее
04-02-2016 дата публикации

PACKAGE STRUCTURE OF LIGHT-EMITTING DIODE MODULE AND METHOD FOR MANUFACTURING THE SAME

Номер: US20160035947A1
Принадлежит:

The present invention provides a package structure of LED module and the method for manufacturing the same. The method comprises steps of providing a light-emitting module; disposing a light-pervious member on the light-emitting path of the light-emitting module; and dripping a colloid member on the light-pervious member. The light-pervious member is a transparent structure; and the colloid member forms a transparent structure with a thick center and a thin periphery using the surface tension of colloid material. In the above structure, the light-pervious member and colloid member are used for reducing the total reflection effect in the package. 1. A method for manufacturing a light-emitting diode module , comprising steps of:providing a light-emitting module including at least a light-emitting diode disposed in a recess of a chip fixing member, and said chip fixing member is a transparent structure;disposing a light-pervious member in the light-emitting path of said light-emitting module, and said light-pervious member being a transparent structure; anddripping a plurality of colloid members on said light-pervious member and a plurality of surrounding surfaces of the light-emitting module respectively, and each said colloid member forming a transparent structure having a thick center and a thin periphery owing to the surface tension of the colloid material.2. The method for manufacturing a light-emitting diode module of claim 1 , wherein said colloid member is silica gel claim 1 , silica gel composite material claim 1 , epoxy resin claim 1 , or epoxy resin composite material.3. The method for manufacturing a light-emitting diode module of claim 1 , wherein said light-pervious member is glass or transparent ceramics.4. A package structure of light-emitting diode module claim 1 , comprising:a chip fixing member, having a recess, and having at least a light-emitting diode disposed in said recess;a light-pervious member, used for covering said recess, and being a flat ...

Подробнее
31-01-2019 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20190033225A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:providing a panoramic camera adapted for use within an extreme ultraviolet (EUV) vessel;capturing, by way of a single shot of the panoramic camera, an image of an interior of the EUV vessel; andbased on the image of the interior of the EUV vessel, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the captured image includes an image of an entirety of the interior of the EUV vessel.3. The method of claim 1 , further comprising:while capturing the image, providing, by an illuminator disposed adjacent to the panoramic camera, a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the panoramic camera includes two opposing fish-eye camera lenses.5. The method of claim 4 , further comprising:capturing, by a first lens of the two opposing fish-eye camera lenses, a first image of the interior of the EUV vessel that includes a collector region; andcapturing, by a second lens of the two opposing fish-eye camera lenses, a second image of the interior of the EUV vessel that includes a lower cone ...

Подробнее
08-05-2014 дата публикации

SYSTEMS AND METHODS OF LOCAL FOCUS ERROR COMPENSATION FOR SEMICONDUCTOR PROCESSES

Номер: US20140127836A1

A system and method of compensating for local focus errors in a semiconductor process. The method includes providing a reticle and applying, at a first portion of the reticle, a step height based on an estimated local focus error for a first portion of a wafer corresponding to the first portion of the reticle. A multilayer coating is formed over the reticle and an absorber layer is formed over the multilayer coating. A photoresist is formed over the absorber layer. The photoresist is patterned, an etch is performed of the absorber layer and residual photoresist is removed. 1. A method of compensating for local focus errors in a semiconductor process , comprising:a. estimating an erosion level at a first portion of a wafer based on a pattern density in a first portion of a design; andb. applying a step height to a portion of a reticle corresponding to the first portion of the design so as to compensate for a local focus error induced by the erosion level.2. The method of claim 1 , further comprising:a. estimating a surface height of the first portion of the wafer based on the erosion level;b. estimating the local focus error based on the estimated surface height; andc. determining the step height based at least partially on the estimated local focus error.3. The method of claim 2 , further comprising:a. selecting the first portion of the design having a pattern density greater than a second portion of the design;b. determining the pattern density at the first portion; andc. comparing the determined pattern density to a threshold pattern density.4. The method of claim 3 , wherein the step of estimating a local focus error comprises:a. estimating an image height at the first portion of the wafer corresponding to the determined pattern density and the estimated erosion level; andb. estimating the local focus error based on the estimated image height.5. The method of claim 4 , wherein the step of determining a step height comprises applying a conversion factor to the ...

Подробнее
26-02-2015 дата публикации

LED CHIP PACKAGE

Номер: US20150054017A1
Принадлежит: Prolight Opto Technology Corporation

An LED chip package having a topographical glass coating on top surface for enhancing heat dissipation is disclosed. A circular wall is optionally built to surround the LED chip for reflecting light beams from the LED chips; the glass coating further extends to cove the inner wall surface of the circular wall. The larger area the glass coating covers, the more heat the package dissipates in a time unit. The LED chip package according to the present invention exhibits higher thermal dissipation and helps to last longer the life of the LED chip package than a traditional one. 1. An LED chip package , comprising:an LED chip; has a first top electrode and a second top electrode;a middle copper nugget, carrying the LED chip;a left copper nugget;a right copper nugget;a first metal wire, electrically coupling the first top electrode to the left copper nugget;a second metal wire, electrically coupling the second top electrode to the right copper nugget; anda topographical glass coating, covering the surface of the bonding wires, the top surface of the LED chip, and the exposed top surface of the copper nuggets.2. The LED chip package as claimed in claim 1 , further comprising:a circular wall, surrounding the LED chip and the bonding wires; wherein the topographical glass coating, covering the top surface of an area surrounded by the circular wall.3. The LED chip package as claimed in claim 2 , wherein the topographical glass coating claim 2 , further extending to cover the inner wall surface of the circular wall.4. An LED chip package claim 2 , comprising:a left copper nugget;a right copper nugget;an LED chip, having a first bottom electrode and a second bottom electrode; straddles over the left copper nugget and the right copper nugget; wherein the first bottom electrode electrically coupled to the left copper nugget, and the second bottom electrode electrically coupled to the right copper nugget, anda topographical glass coating, covering the top surface of the LED chip ...

Подробнее
25-02-2016 дата публикации

SYSTEM AND METHOD FOR PERFORMING LITHOGRAPHY PROCESS IN SEMICONDUCTOR DEVICE FABRICATION

Номер: US20160054664A9
Принадлежит:

Systems and methods that include providing for measuring a first topographical height of a substrate at a first coordinate on the substrate and measuring a second topographical height of the substrate at a second coordinate on the substrate are provided. The measured first and second topographical heights may be provided as a wafer map. An exposure process is then performed on the substrate using the wafer map. The exposure process can include using a first focal point when exposing the first coordinate on the substrate and using a second focal plane when exposing the second coordinate on the substrate. The first focal point is determined using the first topographical height and the second focal point is determined using the second topographical height. 1. A method , comprising:measuring a first topographical height at a first coordinate on a substrate;measuring a second topographical height at a second coordinate on the substrate;providing the measured first and second topographical heights as a wafer map; and using a first focal point when exposing the first coordinate on the substrate, wherein the first focal point is determined using the first topographical height; and', 'using a second focal point when exposing the second coordinate on the substrate, wherein the second focal point is determined using the second topographical height., 'performing an exposure process on the substrate using the wafer map, wherein the exposure process includes2. The method of claim 1 , wherein the measuring the first and second topographical heights are performed concurrently using a multi-tip atomic force microscopy (AFM) tool.3. The method of claim 1 , wherein the measuring the second topographical height is performed substantially concurrently with the exposing of the first coordinate.4. The method of claim 1 , wherein the wafer map is generated by determining an offset value between the first topographical height and a third height associated with the first coordinate.5. The ...

Подробнее
25-02-2021 дата публикации

DISPLAY WITH ROTATABLE CAMERA STRUCTURE

Номер: US20210055765A1
Принадлежит:

A display with a rotatable camera structure has a display region and includes a bezel with a lens and a rear cover connected to the bezel, and the rear cover has an opening. The bezel is disposed around the display region. The rotatable camera structure is between the bezel and the rear cover. The rotatable camera structure includes a dome holder, a camera and a dome cover. The dome holder with an opening is configured on the bezel and covers the lens. The camera is in the dome holder. The dome cover covers the opening of the dome holder, and the dome cover is connected to the camera through the opening of the dome holder. The dome cover has an angle adjustment structure exposed from the opening of the rear cover to adjust the filming angle of the camera for users. 1. A display having a display region , comprising:a bezel disposed at a periphery of the display region and having a lens;a rear cover connected to the bezel and having an first opening; and a dome holder configured on the bezel and covering the lens, wherein the bottom of the dome cover has an second opening;', 'a camera disposed in the dome holder and having a camera lens aligned with the lens of the bezel; and', 'a dome cover connected to the camera through the second opening, wherein the dome cover has an angle adjustment structure exposed from the first opening to allow users to adjust the filming angle of the camera., 'a rotatable camera structure disposed between the bezel and the rear cover, the rotatable camera structure comprising2. The display of claim 1 , wherein the angle adjustment structure comprises a protruding structure.3. The display of claim 1 , further comprising:a handle disposed in the dome holder, wherein the camera is disposed on the handle, the handle has a side surface, the side surface is slidably attached to the inner wall of the dome holder,wherein the dome cover is connected to the handle through the second opening.4. The display of claim 3 , wherein the dome cover covers ...

Подробнее
10-03-2022 дата публикации

FAN

Номер: US20220074424A1
Принадлежит:

A fan includes a fan hub and a plurality of blades. At least one of the blades includes an axial blade portion and a centrifugal blade portion. The axial blade portion has an axial arc surface. The axial arc surface extends between opposite two edges of the at least one blade, and connects with one of the two edges. The centrifugal blade portion has a guide trench. The guide trench extends away from the centrifugal blade portion. A width of the guide trench increases as it extends away from the centrifugal blade portion. 1. A fan , comprising:a fan hub; and{'claim-text': ['an axial blade portion connected to the fan hub and having an axial arc surface, the axial arc surface being extended between opposite two edges of the blade and connected to at least one of the two edges; and', 'a centrifugal blade portion connected to an end of the axial blade portion that is away from the fan hub and having a guide trench, wherein the guide trench extends away from the centrifugal blade portion, and a width of the guide trench increases as the guide trench extends away from the centrifugal blade portion.'], '#text': 'a plurality of blades, wherein at least one of the blades comprises:'}2. The fan of claim 1 , wherein a dimension of the width of the guide trench is parallel to a rotation axis of the fan hub.3. The fan of claim 1 , wherein the at least one of the blades has a first side and a second side opposite to each other claim 1 , the axial arc surface tilts from the second side to the first side claim 1 , and the guide trench is recessed from the first side to the second side.4. The fan of claim 1 , wherein the at least one of the blades has a first side and a second side opposite to each other claim 1 , the at least one of the blades further comprises a winglet structure claim 1 , the winglet structure is located at the at least one of the two edges claim 1 , is adjacent to an end of the centrifugal blade portion that is away from the fan hub claim 1 , and is extended ...

Подробнее
15-05-2014 дата публикации

Critical path emulating apparatus using hybrid architecture

Номер: US20140136177A1
Принадлежит: MediaTek Inc

A critical path emulating apparatus includes a critical path emulator (CPE) and an interconnection circuit. The CPE is capable of emulating a critical path of a target device, and supporting a plurality of speed information detection modes. The interconnection circuit is capable of supporting a plurality of interconnection arrangements, wherein when the interconnection circuit is configured to have a first interconnection arrangement, the CPE is capable of being used in a first speed information detection mode, and when the interconnection circuit is configured to have a second interconnection arrangement, the CPE is capable of being used in a second speed information detection mode.

Подробнее
22-05-2014 дата публикации

OPTICAL-SEE-THROUGH HEAD MOUNTED DISPLAY SYSTEM AND INTERACTIVE OPERATION

Номер: US20140139453A1

An optical-see-through head mounted display (HMD) system is provided. The optical-see-through HMD system has a camera for generating image frames, a display device and a processor. The processor proceeds an interactive operation on each image frame. In the interactive operation, an image analysis is performed on the image frame to obtain positioning information of a marker and 3-dimensional information of an input device. According to the positioning information, the 3-dimensional information and eye position of an user, an image shielding process is performed to correct a portion of the frame to be displayed which is corresponding to the input device and a collision test is performed according to the positioning information and the 3-dimensional information of an input device to determine whether the input device touches the virtual image displayed by HMD. Then, an event corresponding to the touch position of the virtual image is executed. 1. An interaction operating method for an optical-see-through head mounted display system , wherein the optical-see-through head mounted display system is mounted on a user and comprises a camera device and a display device and the camera device continuously shoots a space to form a plurality of image frames , for each of the image frame , the interaction operating method comprising:performing an image analysis to obtain positioning information of a marker in the space and input device three-dimensional information of an input device in the space;determining an image size and an image position of an image to be displayed by the display device and a virtual image position of a virtual image of the image according to the positioning information;performing an image shielding process to calculate a shielding area in the image corresponding to the input device according to the input device three-dimensional information and an eye position of the user and performing an image process on a portion of the image within the shielding area ...

Подробнее
10-03-2016 дата публикации

SEMI-SOLID CHEWABLE DOSAGE FORM FOR OVER-THE-COUNTER MEDICATIONS AND METHOD FOR PRODUCING SAME

Номер: US20160067180A1
Принадлежит: Santa Cruz Pharmaceuticals, Inc.

The invention provides a semi-solid chewable dosage form for use as an over-the-counter medication that contains an active pharmaceutical ingredient to treat symptoms associated with allergies, colds, coughs, fever, pain, gastrointestinal disorders, sleep, and other common conditions. The invention further provides a semi-solid chewable dosage form that contains an active pharmaceutical ingredient, a gelling agent, gelatin, sugar, a polyol, and a pH adjusting agent. The invention also provides a semi-solid chewable dosage form that contains a gelling agent, sugar, a polyol, glycerin, and a pH adjusting agent. 1. A semi-solid chewable dosage form comprising an active pharmaceutical ingredient , a gelling agent , gelatin , sugar , a polyol , and a pH adjusting agent.2. The semi-solid chewable dosage form of claim 1 , wherein the gelling agent is pectin claim 1 , the gelatin is hydrolyzed gelatin claim 1 , and the polyol is hydrolyzed starch hydrolysate.3. The semi-solid dosage form of claim 2 , wherein pectin is present in an amount from about 0.5% by weight to about 7% by weight claim 2 , hydrolyzed gelatin is present in an amount from about 0.5% by weight to about 8% by weight claim 2 , and hydrolyzed starch hydrolysate is present in an amount from about 40% by weight to about 90% by weight.4. The semi-solid chewable dosage form of claim 1 , wherein the active pharmaceutical ingredient is diphenhydramine hydrochloride.5. The semi-solid chewable dosage form of claim 4 , wherein the gelling agent is pectin.6. The semi-solid chewable dosage form of claim 4 , wherein the gelatin is hydrolyzed gelatin.7. The semi-solid chewable dosage form of claim 4 , wherein the polyol is hydrolyzed starch hydrolysate.8. The semi-solid dosage form of claim 4 , wherein the gelling agent is pectin present in an amount from about 0.5% by weight to about 7% by weight claim 4 , the gelatin is hydrolyzed gelatin present in an amount from about 0.5% by weight to about 8% by weight claim 4 , ...

Подробнее
10-03-2016 дата публикации

SEMI-SOLID CHEWABLE DOSAGE FORM FOR OVER-THE-COUNTER MEDICATIONS AND METHOD FOR PRODUCING SAME

Номер: US20160067340A1
Принадлежит:

The invention provides a semi-solid chewable dosage form that contains one or more active pharmaceutical ingredients that are generally available as over-the-counter medications including, for example, chlorpheniramine maleate, phenylephrine hydrochloride, guaifenesin, dextromethorphan hydrobromide, loratadine, or a combination thereof. The invention further provides a semi-solid chewable dosage form that contains chlorpheniramine maleate, phenylephrine hydrochloride or a combination thereof, a gelling agent, gelatin, sugar, a polyol, and a pH adjusting agent. The invention further provides a semi-solid chewable dosage form that contains the active pharmaceutical ingredient chlorpheniramine maleate, phenylephrine hydrochloride or a combination thereof, a gelling agent, gelatin, sugar, corn syrup, and a pH adjusting agent. The semi-solid chewable dosage form is useful for administration to individuals to treat symptoms from allergies, colds, congestion, and the like. 1. A semi-solid chewable dosage form comprising an active pharmaceutical ingredient selected from the group consisting of chlorpheniramine maleate , phenylephrine hydrochloride , and a combination thereof , a gelling agent , gelatin , sugar , a polyol , and a pH adjusting agent.2. The semi-solid chewable dosage form of claim 1 , wherein the active pharmaceutical ingredient is a combination of chlorpheniramine maleate and phenylephrine hydrochloride.3. The semi-solid chewable dosage form of claim 2 , wherein the gelling agent is pectin.4. The semi-solid chewable dosage form of claim 2 , wherein the gelatin is hydrolyzed gelatin.5. The semi-solid chewable dosage form of claim 2 , wherein the polyol is hydrolyzed starch hydrolysate.6. The semi-solid chewable dosage form of claim 2 , wherein the pH adjusting agent is sodium citrate and citric acid.7. The semi-solid chewable dosage of claim 1 , wherein the gelling agent is pectin in an amount from about 0.5% by weight to about 7% by weight claim 1 , the ...

Подробнее
12-03-2015 дата публикации

WAVEFRONT ADJUSTMENT IN EXTREME ULTRA-VIOLET (EUV) LITHOGRAPHY

Номер: US20150069253A1

Some embodiments of the present disclosure related to a method to form and operate the reflective surface to compensate for aberration effects on pattern uniformity. In some embodiments, the reflective surface comprises a mirror of within reduction optics of an EUV illumination tool. In some embodiments, the reflective surface comprises a reflective reticle. An EUV reflective surface topography comprising a reflective surface is disposed on a surface of a substrate, and is manipulated by mechanical force or thermal deformation. The substrate includes a plurality of cavities, where each cavity is coupled to a deformation element configured to expand a volume of the cavity and consequently deform a portion of the reflective surface above each cavity, for local control of the reflective surface through thermal deformation of a resistive material subject to an electric current, or mechanical deformation due to pressurized gas within the cavity or a piezoelectric effect. 1. A reflective surface , comprising a mirror disposed on a surface of a substrate comprising a plurality of cavities , wherein each cavity is coupled to a deformation element configured to expand a volume of the cavity and consequently deform a portion of the mirror above the cavity.2. The reflective surface of claim 1 , wherein the mirror comprises a reflective reticle further comprising a multilayer mirror comprising a plurality of reflective layers separated by a plurality of spacer layers.3. The reflective surface of claim 2 , wherein the reflective layers comprise molybdenum or ruthenium and the spacer layers comprise silicon.4. The reflective surface of claim 1 , wherein the deformation element comprises a gas source configured to expand or contract the volume of the cavity by increasing or decreasing a pressure of a gas within the cavity claim 1 , and consequently deform the surface and mirror.5. The reflective surface of claim 1 , wherein dimensions and spacing of the a plurality of cavities are ...

Подробнее
27-02-2020 дата публикации

Lithography System With An Embedded Cleaning Module

Номер: US20200064747A1
Принадлежит:

The present disclosure provides a lithography system. The lithography system includes an exposing module configured to perform a lithography exposing process using a mask secured on a mask stage; and a cleaning module integrated in the exposing module and designed to clean at least one of the mask and the mask stage using an attraction mechanism. 1. A system , comprising:one or more masks;a mask library that is configured to store the one or more masks;a mask stage configured to secure the one or more masks thereon; anda cleaning structure that is configured to remove contaminant particles from a surface of the one or more masks or a surface of the mask stage using a sticking material or using an electrostatic force.2. The system of claim 1 , wherein the cleaning structure has a same shape and dimensions as at least one mask of the one or more masks.3. The system of claim 2 , wherein a shape and a dimension of the cleaning structure are configured such that the cleaning structure is capable of being stored in the mask library or secured on the mask stage.4. The system of claim 1 , wherein the one or more masks claim 1 , the mask library claim 1 , the mask stage claim 1 , and the cleaning structure are integrated into a chamber.5. The system of claim 1 , further including: a mask handler that is configured to transfer the one or more masks and apply a pressure on the cleaning structure as the cleaning structure is in contact with the surface of the one or more masks or with the surface of the mask stage.6. The system of claim 1 , wherein the sticking material includes non-polar chains.7. The system of claim 1 , wherein the sticking material includes a polar compound.8. The system of claim 1 , wherein the sticking material includes a material with —OH claim 1 , —H claim 1 , and —O.9. The system of claim 1 , wherein the sticking material includes polysaccharide.10. The system of claim 1 , wherein the sticking material includes polyvinyl alcohol with —OH bond.11. The ...

Подробнее
19-03-2015 дата публикации

Method of Overlay In Extreme Ultra-Violet (EUV) Lithography

Номер: US20150077733A1

Some embodiments of the present disclosure relate to a method of overlay control which utilizes a deformable electrostatic chuck. The method comprises exposing a substrate to radiation which is reflected off of a reticle. The reticle is mounted to a deformable electrostatic chuck by a plurality of raised contacts, where each raised contact is configured to independently vary in height from a surface of the deformable electrostatic chuck. After exposure of the substrate to radiation which is reflected off of the reticle, a displacement between a first alignment shape formed on a first layer disposed on a surface of the substrate and a second alignment shape formed by the exposure is measured. The height of one or more of the plurality of raised contact is changed based upon the displacement to alter a surface topology of the reticle, which negates some effects of clamping topology. Other embodiments are also disclosed. 1. An electrostatic chuck , comprising:a first pattern of electrodes disposed within a dielectric layer which resides over a top surface of a first layer of insulating material; anda second pattern of raised contacts formed on a bottom surface of a second layer of insulating material which is substantially identical to the first pattern of electrodes;wherein a top surface of the second layer of insulating material comprises a third pattern of recessed areas which is substantially identical to the first and second patterns; andwherein a resistive material resides within each recessed area.2. The electrostatic chuck of claim 1 , wherein an individual first electric supply is coupled to the resistive material within each recessed area.3. The electrostatic chuck of claim 2 , wherein the raised contacts are covered with a ceramic material.4. The electrostatic chuck of claim 3 , wherein the ceramic material comprises titanium nitride.5. The electrostatic chuck of claim 1 , wherein a second electric supply is coupled to each electrode of the first pattern.6. ...

Подробнее
16-03-2017 дата публикации

Screwdriver capable of accommodating screw bits

Номер: US20170072554A1
Автор: Kun-Chen Chen
Принадлежит: A-TINA TOOLS Co Ltd

A screwdriver has a handle, a cap, a shaft, and multiple screw bits; wherein the handle has two ends. The cap and the shaft are respectively disposed at the two ends of the handle. The multiple screw bits are received inside the handle. The handle has multiple chambers to contain the screw bits that differ in specifications. The handle has multiple first positioning structures. The cap has multiple second positioning structures complementary to the first positioning structures. Because the first positioning structures and the second positioning structures are engaged with each other, the cap cannot be rotated inadvertently, thereby preventing the screw bits dropping out from the handle inadvertently.

Подробнее
05-06-2014 дата публикации

Manufacturing Process Monitoring and Control System

Номер: US20140156047A1
Принадлежит: Boeing Co

A method and apparatus for controlling a manufacturing process. Statistical correlations between a plurality of tasks comprising the manufacturing process are identified. The manufacturing process is controlled using the statistical correlations.

Подробнее
24-03-2022 дата публикации

Systems and methods for determining residual compounds in plasma process

Номер: US20220093429A1

The present disclosure provides a system and method for predicting wafer fabrication defects resulting from plasma processing of wafers in a plasma processing chamber. The system and method include processing electromagnetic signals emitted from residual compounds peeled from the chamber walls during the plasma processing of the wafers to indirectly determine the likelihood that the wafers are incurring fabrication processing defects during the plasma processing.

Подробнее
26-03-2015 дата публикации

ROTARY EUV COLLECTOR

Номер: US20150085264A1
Принадлежит:

An EUV collector is rotated between or during operations of an EUV photolithography system. Rotating the EUV collector causes contamination to distribute more evenly over the collector's surface. This reduces the rate at which the EUV photolithography system loses image fidelity with increasing contamination and thereby increases the collector lifetime. Rotating the collector during operation of the EUV photolithography system can induce convection and reduce the contamination rate. By rotating the collector at sufficient speed, some contaminating debris can be removed through the action of centrifugal force. 1. A method of operating an extreme ultraviolet (EUV) lithography system , comprising:generating EUV light;using a collector to gather and focus the light onto an optical system that illuminates a reticle and projects an image from the reticle onto a target;rotating the collector to a new position; andagain using the collector to gather and focus the light onto the optical system that illuminates a reticle and projects an image from the reticle onto a target.2. The method of claim 1 , wherein the collector is rotated while the collector is gathering and focusing the light.3. The method of claim 2 , wherein the collector is rotated continuously while the collector is gathering and focusing the light.4. The method of claim 1 , wherein the collector is rotated by applying a mechanical force to the collector.5. The method of claim 1 , wherein the collector is rotated by applying an electromagnetic force to the collector.6. The method of claim 1 , wherein the collector is rotated while within an enclosed chamber of the EUV lithography system.7. The method of claim 1 , wherein the collector is rotated while the EUV lithography system is online.8. The method of claim 6 , further comprising:while rotating the collector, controlling the pressure of gas in a chamber that houses the collector.9. The method of claim 8 , further comprising:while rotating the collector, ...

Подробнее
05-03-2020 дата публикации

Techniques for vertical cavity surface emitting laser oxidation

Номер: US20200076162A1

Some embodiments relate to a method for manufacturing a vertical cavity surface emitting laser. The method includes forming an optically active layer over a first reflective layer and forming a second reflective layer over the optically active layer. Forming a masking layer over the second reflective layer, where the masking layer leaves a sacrificial portion of the second reflective layer exposed. A first etch is performed to remove the sacrificial portion of the second reflective layer, defining a second reflector. Forming a first spacer covering outer sidewalls of the second reflector and masking layer. An oxidation process is performed with the first spacer in place to oxidize a peripheral region of the optically active layer while leaving a central region of the optically active layer un-oxidized. A second etch is performed to remove a portion of the oxidized peripheral region, defining an optically active region. Forming a second spacer covering outer sidewalls of the first spacer, the optically active region, and the first reflector.

Подробнее
14-03-2019 дата публикации

Exhaust System with U-Shaped Pipes

Номер: US20190079418A1
Принадлежит:

The present disclosure provides an exhaust system for discharging from semiconductor manufacturing equipment a hazardous gas. The exhaust system includes: a main exhaust pipe having a top surface and a bottom surface; a first branch pipe including an upstream end coupled to a source of a gas mixture containing the hazardous gas and a downstream end connected to the main exhaust pipe through the top surface; a second branch pipe including a downstream end connected to the main exhaust pipe through the bottom surface; and a detector configured to detect presence of the hazardous gas in the second branch pipe. 1. An exhaust system for discharging from semiconductor manufacturing equipment a hazardous gas , comprising:a main exhaust pipe having a top surface and a bottom surface;a first branch pipe including an upstream end coupled to a source of a gas mixture containing the hazardous gas and a downstream end connected to the main exhaust pipe through the top surface;a second branch pipe including a downstream end connected to the main exhaust pipe through the bottom surface; anda detector configured to detect presence of the hazardous gas in the second branch pipe.2. The exhaust system of claim 1 , wherein the gas mixture is denser than air and the hazardous gas is less dense than air.3. The exhaust system of claim 2 , wherein the main exhaust pipe is positioned below the semiconductor manufacturing equipment.4. The exhaust system of claim 3 , wherein the second branch pipe comprises a U-shaped portion claim 3 , the U-shaped portion including a downstream end connecting to the bottom surface of the main exhaust pipe.5. The exhaust system of claim 4 , wherein the U-shaped portion has a shape of an upright letter U.6. The exhaust system of claim 1 , wherein the semiconductor manufacturing equipment includes a droplet generator of an extreme ultraviolet lithography system claim 1 , the droplet generator drawing pressure from the gas mixture.7. The exhaust system of claim ...

Подробнее
02-04-2015 дата публикации

LIGHT EMITTING DIODE PACKAGE STRUCTURE

Номер: US20150091026A1
Принадлежит:

A light emitting diode package structure is provided. The light emitting diode package structure includes at least one light emitting diode unit, an encapsulating body and at least one isolation film. The encapsulating body includes a plurality of surfaces and at least one light-emitting surface, wherein one of the surfaces supports the light emitting diode unit, the other surfaces are exposed. The at least one isolation film is formed on the exposed surfaces. Wherein the isolation film blocks or reflects a portion of light emitted from the light emitting diode unit. 1. A light emitting diode package structure , comprising:at least one light emitting diode unit;an encapsulating body comprising a plurality of surfaces and at least one light-emitting surface, wherein one of the surfaces supports the light emitting diode unit, the other surfaces are exposed; andat least one isolation film formed on the exposed surfaces;wherein the isolation blocks or reflects a portion of light emitted from the light emitting diode unit.2. The light emitting diode package structure of claim 1 , wherein the at least one isolation film is two or more isolation films sequentially stacked on the exposed surfaces.3. The light emitting diode package structure of claim 2 , wherein a total thickness of the isolation films is between 0.2 μm and 20 μm.4. The light emitting diode package structure of claim 1 , wherein a thickness of each isolation film is between 0.2 μm and 20 μm.5. The light emitting diode package structure of claim 1 , wherein the isolation film is formed from a polymer claim 1 , an inorganic component or a metal.6. The light emitting claim 2 , diode package structure of claim 2 , wherein the isolation film is formed from a polymer claim 2 , an inorganic component or a metal.7. The light emitting diode package structure of claim 5 , wherein the metal is Al claim 5 , Pt claim 5 , Au claim 5 , Ag claim 5 , Zn or Cu.8. The light emitting diode package structure of claim 5 , ...

Подробнее
02-04-2015 дата публикации

LIGHT ENHANCING STRUCTURE FOR A LIGHT EMITTING DIODE

Номер: US20150091033A1
Принадлежит:

A light enhancing structure includes a light emitting diode in it and at least one coating layer. The light emitting diode unit includes a plurality of surfaces and a light-emitting surface. The light-emitting surface is for allowing a plurality of lights generated inside the light emitting diode unit to emit through. The coating layer is formed on the surfaces for blocking or reflecting one of the lights generated inside the light emitting diode unit, and to light intensity of the light emitting diode unit is enhanced. 1. A light enhancing structure for a light emitting diode comprising:a light emitting diode unit comprising a plurality of surfaces and a light-emitting surface, wherein the light-emitting surface is for allowing a plurality of lights generated inside the light emitting diode unit to emit through; andat least one coating layer formed on the surfaces for blocking or reflecting one of the lights generated inside the light emitting diode unit, whereby a light intensity of the light emitting diode unit is enhanced.2. The light enhancing structure for the light emitting diode of claim 1 , wherein a number of the coating layer is greater than two claim 1 , and the coating claim 1 , layers are stacked orderly on the surfaces.3. The light enhancing structure for the light emitting diode of claim 2 , where a total thickness of the coating layers is 0.2 μm to 20 μm.4. The light enhancing structure for the light emitting diode of claim 1 , wherein a thickness of each of the coating layer is 0.1 μm to 20 μm.5. The light enhancing structure for the light emitting diode of claim 1 , wherein the coating layer is made of a polymer claim 1 , an inorganic compound or a metal.6. The light enhancing structure for the light emitting diode of claim 5 , wherein the metal is Al claim 5 , Pt claim 5 , Au claim 5 , Ag claim 5 , Zn or Cu.7. The light enhancing structure for the light emitting diode of claim 5 , wherein the inorganic compound is ZrO claim 5 , TiO claim 5 , BaSO ...

Подробнее
31-03-2016 дата публикации

LIGHT EMITTING DIODE

Номер: US20160093778A1
Принадлежит:

A light emitting diode is provided. The light emitting diode comprises a lead frame, a resin base, an emitting chip and a glue. The lead frame has a plurality of electrode portions thereon. The resin base is provided on the lead frame, the resin base having an outer wall thereon and around an edge to form an opening, and the opening exposing the electrode portions; and an inner wall extending from the outer wall, and the inner side of the inner wall has a slope to decrease the inner diameter of the opening gradually. The emitting chip is attached on the top surface(s) of one or two the electrode portion(s). The glue is filled into a space between the emitting chip and the inner wall to expose the top surface of the emitting chip. Therefore, the illumination of the light emitting diode can be enhanced. 1. A light emitting diode , comprising:{'b': 1', '11', '11', '11', '11', '11', '11', '111', '111', '111', '112', '112', '112', '12', '11', '11, 'a lead frame ()_having a plurality of electrode portions (, ′, ″) thereon, each of the electrode portions (, ′, ″) having a top surface (, ′, ″) and a bottom surface (, ′ ″), and a gap () provided between every two electrode portions (, ′);'}{'b': 2', '1', '112', '112', '112', '11', '11', '11', '2', '21', '211', '22', '22', '111', '111', '111', '11', '11', '11', '23', '21', '23', '231', '232', '231', '232', '233', '231', '23', '211', '21', '232', '23', '22, 'a resin base () provided on the lead frame (), and the bottom surfaces (, ′ ″) of the electrode portions (, ′, ″) being exposed, the resin base () having an outer wall () with a top portion () thereon and around an edge to form an opening (), and the opening () exposing the top surfaces (, ′, ″) of the electrode portions (, ′, ″); and an inner wall () extending from the outer wall (), and the inner wall () having a planar top portion () and an inner side (), wherein the planar top portion () and the inner side () have a junction to form a first corner line (), and the top ...

Подробнее
21-03-2019 дата публикации

Three-dimensional display device and display method thereof

Номер: US20190089948A1
Принадлежит: BOE Technology Group Co Ltd

The present disclosure provides a three-dimensional display device and a display method thereof. The three-dimensional display device includes a display panel including a plurality of first display units and a plurality of second display units; a plurality of light emitting unit groups disposed on one side of the display panel, wherein each of the light emitting unit groups includes a plurality of first light emitting units respectively corresponding to the first display units, and a plurality of second light emitting units respectively corresponding to the second display units; and a control unit configured to control the first light emitting units and the second light emitting units in a light emitting unit group corresponding to a target position to emit lights so that the first light emitting units and the second light emitting units emit lights along a direction pointed to the target position.

Подробнее
26-06-2014 дата публикации

IMAGE INTERACTION SYSTEM, METHOD FOR DETECTING FINGER POSITION, STEREO DISPLAY SYSTEM AND CONTROL METHOD OF STEREO DISPLAY

Номер: US20140176676A1
Принадлежит: Industrial Technology Research Institue

The disclosure provides a stereo display system including a stereo display, a depth detector, and a computing processor. The stereo display displays a left eye image and a right eye image, such that a left eye and a right eye of a viewer generate a parallax to view a stereo image. The depth detector captures a depth data of a three-dimensional space. The computing processor controls image display of the stereo display. The computing processor analyzes an eyes position of the viewer according to the depth data, and when the viewer moves horizontally, vertically, or obliquely in the three-dimensional space relative to the stereo display, the computing processor adjusts the left eye image and the right eye image based on variations of the eyes position. Furthermore, an image interaction system, a method for detecting finger position, and a control method of stereo display are also provided. 1. A stereo display system comprising:a stereo display configured to display a left eye image and a right eye image, such that a left eye and a right eye of a viewer generate a parallax to view a stereo image;a depth detector configured to capture a depth data of a three-dimensional space; anda computing processor coupled to the stereo display and the depth detector and configured to control image display of the stereo display, wherein the computing processor analyzes an eyes position of the viewer according to the depth data, and when the viewer moves horizontally, vertically, or obliquely in the three-dimensional space relative to the stereo display, the computing processor adjusts the left eye image and the right eye image based on variations of the eyes position.2. The stereo display system as recited in claim 1 , wherein the computing processor computes an appearance position of the stereo image appeared in the three-dimensional space according to the eyes position and a display position of the left eye image and the right eye image displayed in the stereo display.3. The stereo ...

Подробнее
26-06-2014 дата публикации

VIRTUAL IMAGE DISPLAY APPARATUS

Номер: US20140177063A1
Принадлежит:

A virtual image display apparatus configured to be in front of at least one eye of a user includes an image display unit, a first beam splitting unit, and a reflection-refraction unit. The image display unit provides an image beam. The first beam splitting unit disposed on transmission paths of the image beam and an object beam causes at least one portion of the object beam to propagate to the eye and causes at least one portion of the image beam to propagate to the reflection-refraction unit. The reflection-refraction unit includes a lens portion and a reflecting portion on a first curved surface of the lens portion. At least part of the image beam travels through the lens portion, is reflected by the reflecting portion, travels trough the lens portion again, and is propagated to the eye by the first beam splitting unit in sequence. 1. A virtual image display apparatus configured to be disposed in front of at least one eye of a user , the virtual image display apparatus comprising:an image display unit providing an image beam;a first beam splitting unit disposed on a transmission path of the image beam and a transmission path of an object beam from a foreign object, the first beam splitting unit causing at least one portion of the object beam to propagate to the at least one eye; and a lens portion comprising a first curved surface; and', 'a reflecting portion located on the first curved surface of the lens portion, wherein at least one portion of the image beam from the first beam splitting unit travels through the lens portion, is reflected by the reflecting portion, travels trough the lens portion again, and is propagated to the at least one eye by the first beam splitting unit in sequence., 'a reflection-refraction unit, the first beam splitting unit causing at least one portion of the image beam to propagate to the reflection-refraction unit, the reflection-refraction unit comprising2. The virtual image display apparatus as recited in claim 1 , wherein at ...

Подробнее
26-06-2014 дата публикации

THREE DIMENSIONAL SENSING METHOD AND THREE DIMENSIONAL SENSING APPARATUS

Номер: US20140177942A1

A three dimensional (3D) sensing method and an apparatus thereof are provided. The 3D sensing method includes the following steps. A resolution scaling process is performed on a first pending image and a second pending image so as to produce a first scaled image and a second scaled image. A full-scene 3D measurement is performed on the first and second scaled images so as to obtain a full-scene depth image. The full-scene depth image is analyzed to set a first region of interest (ROI) and a second ROI. A first ROI image and a second ROI image is obtained according to the first and second ROI. Then, a partial-scene 3D measurement is performed on the first and second ROI images accordingly, such that a partial-scene depth image is produced. 1. A three dimensional sensing method , for performing a depth image operation upon a first pending image and a second pending image , and a resolution of the first pending image and a resolution of the second image are respectively M×N , the method comprising:performing a resolution scaling on the first pending image and the second pending image to respectively generate a first scaled image and a second scaled image, and a resolution of the first scaled image and a resolution of the second scaled image are respectively m×n, where m Подробнее

16-04-2015 дата публикации

TOOL CONFIGURATION AND METHOD FOR EXTREME ULTRA-VIOLET (EUV) PATTERNING WITH A DEFORMABLE REFLECTIVE SURFACE

Номер: US20150104745A1

Some embodiments of the present disclosure relates to a tool configuration and method for EUV patterning with a deformable reflective surface comprising a mirror or reticle. A radiation source provides EUV radiation which is reflected off the deformable reflective surface to transfer a reticle pattern a semiconductor workpiece. A metrology tool measures a residual vector formed between a first shape of the semiconductor workpiece and a second shape of the reticle pattern. And, a topology of the deformable reflective surface is changed based upon the residual vector to minimize a total magnitude of the residual vector. 1. A metrology system , comprising:a radiation source configured to transfer a reticle pattern from a reticle to a semiconductor workpiece;a deformable reflective surface configured to reflect radiation carrying the reticle pattern;a metrology tool configured to measure a residual vector formed between a first shape of the semiconductor workpiece and a second shape of the reticle pattern; anda plurality of deformation elements configured to change a topology of the deformable reflective surface based upon the residual vector.2. The metrology system of claim 1 , further comprising a controller coupled to the plurality of deformation elements and metrology tool claim 1 , and configured to apply an independent voltage to each deformation element to change the topology of the deformable reflective surface.3. The metrology system of claim 2 , further comprising a calibration tool coupled to the controller and configured to determine a magnitude of the residual vector as a function of the applied voltage the deformation element.4. The metrology system of claim 1 , wherein the deformable reflective surface comprises a reticle coupled to a deformable electrostatic chuck comprising a plurality of electrodes which are disposed within a dielectric layer on a surface of a substrate claim 1 , wherein the pluralities of electrodes and cavities form corresponding ...

Подробнее
03-07-2014 дата публикации

DEVICE FOR ACQUIRING DEPTH IMAGE, CALIBRATING METHOD AND MEASURING METHOD THEREFOR

Номер: US20140184751A1

A device for acquiring depth image, a calibrating method and a measuring method therefore are provided. The device includes at least one projecting device, at least one image sensing device, a mechanism device and a processing unit. The projecting device projects a projection pattern to a measured object. The image sensing device is controlled to adjust a focal length and focus position, and therefore sense real images. The mechanism device adjusts a location and/or a convergence angle of the image sensing device. The processing unit calibrates the at least one image sensing device and generates a three dimension (3D) measuring parameter set at a model focal length according to a plurality of image setting parameter reference sets corresponding to a model focal length and a plurality of default node distances, respectively, and then estimates a depth map or depth information of the measured object. 1. A depth image acquiring device , comprising:at least one projecting device, projecting a projection pattern to a measured object;a first and a second image sensing devices, receiving focal length adjusting signals to adjust focal lengths thereof, and respectively sensing the projection pattern projected to the measured object to generate a first real image and a second real image;a mechanism device, coupled to the first and the second image sensing devices, and receiving lens adjusting signals to adjust locations and/or convergence angles of the first and the second image sensing devices;a processing unit, coupled to the at least one projecting device, the first and the second image sensing devices and the mechanism device, providing the focal length adjusting signals and the lens adjusting signals to adjust the focal lengths, locations and/or convergence angles of the first and the second image sensing devices, and performing a depth operation on the first and the second real images to generate depth information,wherein the processing unit calibrates and obtains a ...

Подробнее
21-04-2016 дата публикации

LIGHT-EMITTING DEVICE CAPABLE OF ADJUSTING BRIGHTNESS

Номер: US20160113080A1
Принадлежит:

The present invention provides a light-emitting device capable of adjusting brightness. A plurality of light-emitting regions is disposed on a substrate. A power control module is connected electrically to the plurality of light-emitting regions and an input power source. The power control module converts the input power as a plurality of supply power sources, which turn on the plurality of light-emitting diodes in different regions and thus enabling them to emit light. Thereby, the light-emitting regions, and hence the brightness, can be adjusted according to the usage requirements. 1. A light-emitting device capable of adjusting brightness , comprising:a substrate;a plurality of first light-emitting regions, disposed on said substrate;a plurality of second light-emitting regions, disposed on said substrate, and interlaced with said plurality of first light-emitting regions; anda power control module, connected electrically with said plurality of light-emitting regions and an input power source, converting said input power source as a first supply power source or a second supply power source, said first supply power source turning on said plurality of first light-emitting regions and enabling said plurality of first light-emitting regions to emit light, and said second supply power source driving said plurality of first light-emitting regions and said plurality of second light-emitting regions to emit light concurrently:wherein said plurality of first light-emitting regions and said plurality of second light-emitting regions are disposed on said substrate evenly.2. The light-emitting device of claim 1 , wherein said first light-emitting region and said second light-emitting region comprise a plurality of light-emitting diodes claim 1 , respectively.3. The light-emitting device of claim 1 , and further comprising a plurality of third light-emitting regions and a plurality of fourth light-emitting regions claim 1 , wherein when the voltage level of said plurality of ...

Подробнее
11-04-2019 дата публикации

FAN MODULE

Номер: US20190107115A1
Принадлежит:

A fan module includes a housing, a bearing, a rotating shaft, and a fan wheel. The housing includes a bottom covering plate, a top covering plate, and a side wall connected between the bottom covering plate and the top covering plate and surrounding to form a housing space. The bearing is disposed on the bottom covering plate and includes a supporting pillar. The supporting pillar extends from the bottom covering plate toward the top covering plate. The rotating shaft has a first through hole therein. The rotating shaft is rotatably sleeved onto the supporting pillar by the first through hole. The fan wheel is connected to an outer edge of the rotating shaft. 1. A fan module , comprising: a bottom covering plate;', 'a top covering plate; and', 'a side wall connected between the bottom covering plate and the top covering plate and surrounding to form a housing space;, 'a housing comprisinga bearing disposed on the bottom covering plate and comprising a supporting pillar, and the supporting pillar extending from the bottom covering plate toward the top covering plate;a rotating shaft having a first through hole therein, wherein the rotating shaft is rotatably sleeved onto the supporting pillar by the first through hole; anda fan wheel connected to an outer edge of the rotating shaft.2. The fan module of claim 1 , wherein the top covering plate has a second through hole exposing the support pillar.3. The fan module of claim 2 , wherein the supporting pillar is located in the housing space claim 2 , and the supporting pillar and the second through hole are spaced apart by a distance in a direction perpendicular to the top covering plate.4. The fan module of claim 2 , wherein the supporting pillar has a first height relative to the bottom covering plate claim 2 , the top covering plate has a surface facing away from the bottom covering plate claim 2 , the surface has a second height relative to the bottom covering plate claim 2 , and the second height is substantially ...

Подробнее
29-04-2021 дата публикации

Fin-End Gate Structures and Method Forming Same

Номер: US20210126110A1
Принадлежит:

A method includes simultaneously forming a first dummy gate stack and a second dummy gate stack on a first portion and a second portion of a protruding fin, simultaneously removing a first gate electrode of the first dummy gate stack and a second gate electrode of the second dummy gate stack to form a first trench and a second trench, respectively, forming an etching mask, wherein the etching mask fills the first trench and the second trench, patterning the etching mask to remove the etching mask from the first trench, removing a first dummy gate dielectric of the first dummy gate stack, with the etching mask protecting a second gate dielectric of the first dummy gate stack from being removed, and forming a first replacement gate stack and a second replacement gate stack in the first trench and the second trench, respectively. 1. A method comprising:depositing stacked layers on a first protruding fin; [ a first gate dielectric on an intermediate portion of the first protruding fin; and', 'a first gate electrode on the first gate dielectric; and, 'a first gate stack comprising, a second gate dielectric on an end portion of the first protruding fin; and', 'a second gate electrode on the second gate dielectric;, 'a second gate stack comprising], 'patterning the stacked layers to formremoving the first gate electrode and the second gate electrode to reveal the first gate dielectric and the second gate dielectric, respectively;removing the first gate dielectric, wherein the second gate dielectric remains after the first gate dielectric is removed;forming a replacement gate dielectric on the intermediate portion of the first protruding fin; andforming a first replacement gate electrode and a second replacement gate electrode on the replacement gate dielectric and the second gate dielectric, respectively.2. The method of claim 1 , wherein the replacement gate dielectric is formed to have a smaller thickness than the first gate dielectric.3. The method of claim 1 , wherein ...

Подробнее
26-04-2018 дата публикации

ILLUMINANT MODULE

Номер: US20180116028A1
Принадлежит:

An illuminant module includes a first illuminant unit, a second illuminant unit, a first phosphor layer, and a second phosphor layer. The first illuminant unit includes one or more first LED dice and a current regulator electrically connected to the LED dice in series. The second illuminant unit includes one or more second LED dice. The first phosphor layer at least covers the first LED dice, wherein first phosphor layer and the first LED dice collectively provide an emission having a first color temperature. The second phosphor layer at least covers the second LED dice, wherein second phosphor layer and the second LED dice collectively provide an emission having a second color temperature, the current regulator is configured to adjust currents flowing to the first illuminant unit and the second illuminant unit for changing luminous flux of emissions emitted from the first illuminant unit and the second illuminant unit. 1. An illuminant module , comprising:at least one first illuminant unit comprising one or more first light emitting diode (LED) dice and a current regulator electrically connected to the light emitting diode dice in series;at least one second illuminant unit comprising one or more second LED dice;a first phosphor layer at least covering the first LED dice, wherein first phosphor layer and the first LED dice collectively provide an emission having a first color temperature;a second phosphor layer at least covering the second LED dice, wherein second phosphor layer and the second LED dice collectively provide an emission having a second color temperature;wherein the current regulator is configured to adjust currents flowing to the first illuminant unit and the second illuminant unit for changing luminous flux of emissions emitted from the first illuminant unit and the second illuminant unit to adjust a color temperature of the illuminant module.2. The illuminant module of claim 1 , further comprising a substrate claim 1 , the first illuminant unit and ...

Подробнее
09-06-2022 дата публикации

Multi-Channel Devices and Methods of Manufacture

Номер: US20220181214A1
Принадлежит:

The disclosure is directed towards semiconductor devices and methods of manufacturing the semiconductor devices. The methods include forming fins in a device region and forming other fins in a multilayer stack of semiconductor materials in a multi-channel device region. A topmost nanostructure may be exposed in the multi-channel device region by removing a sacrificial layer from the top of the multilayer stack. Once removed, a stack of nanostructures are formed from the multilayer stack. A native oxide layer is formed to a first thickness over the topmost nanostructure and to a second thickness over the remaining nanostructures of the stack, the first thickness being greater than the second thickness. A gate dielectric is formed over the fins in the device region. A gate electrode is formed over the gate dielectric in the device region and surrounding the native oxide layer in the multi-channel device region. 1. A semiconductor device comprising:a stack of nanostructures over a substrate;a gate electrode over the stack of nanostructures; anda first dielectric layer around a first nanostructure and a second nanostructure of the stack of nanostructures, wherein the first dielectric layer has a first thickness surrounding the first nanostructure of the stack of nanostructures, wherein the first dielectric layer has a second thickness surrounding the second nanostructure of the stack of nanostructures, the second nanostructure being a topmost nanostructure of the stack of nanostructures and the second thickness being greater than the first thickness.2. The semiconductor device of claim 1 , wherein the second thickness is between about 3 Å and about 60 Å.3. The semiconductor device of claim 2 , wherein the first thickness is between about 3 Å and about 50 Å.4. The semiconductor device of claim 1 , further comprising a semiconductor fin over the substrate claim 1 , wherein the gate electrode extends over the semiconductor fin.5. The semiconductor device of claim 4 , ...

Подробнее
04-05-2017 дата публикации

OBJECT SCANNING METHOD

Номер: US20170127049A1

An object scanning method comprising following steps is provided. An object is scanned and a depth information of the object is captured by a depth sensor. A motor is moved and another depth information of the object after the movement of the motor is captured at least once. Under the circumstance that the axis coordinate of the motor are not calibrated, a movement amount of the motor is captured. A comparison of at least one feature point is made between two depth information of the object according to the movement amount of the motor, and an iterative algorithm is used to obtain corresponding coordinate of each feature point until the comparison of each feature point is completed. A 3D model of the object is created according to the corresponding coordinate of each feature point. 1. An object scanning method , comprising:scanning an object and capturing a depth information of the object by a depth sensor;moving a motor and capturing another depth information of the object after the movement of the motor at least once;capturing a movement amount of the motor under the circumstance that axis coordinate of the motor are not calibrated;comparing at least one feature point between two depth information of the object according to the movement amount of the motor, and obtaining corresponding coordinate of each feature point by using an iterative algorithm until the comparison of each feature point is completed; andcreating a 3D model of the object according to the corresponding coordinate of each feature point.2. The scanning method according to claim 1 , wherein when the motor is moved linearly claim 1 , another depth information of the object after the movement of the motor is captured at least once.3. The scanning method according to claim 2 , wherein the step of comparing the feature point comprises:{'sub': x', 'y', 'z', 'x', 'y', 'z, 'sup': 2', '2', '2', '2, 'setting an equation of a coordinate transformation matrix from a coordinate system of the depth sensor to ...

Подробнее
17-05-2018 дата публикации

HANDHELD 3D SCANNING DEVICE AND THE METHOD THEREOF

Номер: US20180132939A1

In an embodiment of the disclosure, a handheld 3D scanning device is provided. The handheld 3D scanning device comprises at least one first 3D sensing module, at least one second 3D sensing module, and a fixing unit. Each of the at least one first 3D sensing module and the at least one second 3D sensing module comprises at least one projecting unit and at least one image sensing unit for performing a 3D scanning to an object to be measured. The fixing unit is provided to fix the at least one first 3D sensing module and the at least one second 3D sensing module at specific locations, respectively. 1. A handheld three dimensional (3D) scanning device , comprising:at least one first 3D sensing module and at least one second 3D sensing module, wherein each of the at least one first 3D sensing module and the at least one second 3D sensing module comprises at least one projecting unit and at least one image sensing unit for performing a 3D scanning to an object to be measured; anda fixing unit, provided to fix the at least one first 3D sensing module and the at least one second 3D sensing module at specific locations, respectively.2. The handheld 3D scanning device according to claim 1 , wherein the fixing unit comprises a first fixing part and a second fixing part claim 1 , and the at least one first 3D sensing module is fixed to the first fixing part claim 1 , the at least one second 3D sensing module is fixed to the second fixing part.3. The handheld 3D scanning device according to claim 2 , wherein a shape of the fixing unit is a ring structure.4. The handheld 3D scanning device according to claim 3 , wherein the ring structure is a circle claim 3 , an ellipse claim 3 , a parabolic shape claim 3 , a polygon or an irregular-shaped ring.5. The handheld 3D scanning device according to claim 2 , wherein the fixing unit further comprises an opening claim 2 , for facilitating a placement or a removal of the object to be measured.6. The handheld 3D scanning device according ...

Подробнее
07-08-2014 дата публикации

SYSTEM, METHOD AND RETICLE FOR IMPROVED PATTERN QUALITY IN EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY AND METHOD FOR FORMING THE RETICLE

Номер: US20140218714A1

A reticle for use in an extreme ultraviolet (euv) lithography tool includes a trench formed in the opaque border formed around the image field of the reticle. The trench is coated with an absorber material. The reticle is used in an euv lithography tool in conjunction with a reticle mask and the positioning of the reticle mask and the presence of the trench combine to prevent any divergent beams of radiation from reaching any undesired areas on the substrate being patterned. In this manner, only the exposure field of the substrate is exposed to the euv radiation. Pattern integrity in neighboring fields is maintained. 1. A reticle for patterning a semiconductor device in an extreme ultraviolet (euv) lithography tool , said reticle comprising:a reticle substrate includinga base material having a pattern side and an opposed back side and having an image field and an opaque border region at least partially surrounding said image field, wherein said pattern side includes a trench in said base material, said trench disposed in said opaque border region or disposed at an interface between said image field and said opaque border region; andan absorber material along sidewalls and a bottom of said trench.2. The reticle as in claim 1 , wherein said base material is formed of at least one of glass and TiO claim 1 , said opaque border region is a black border that completely surrounds said image field claim 1 , said trench completely surrounds said image field claim 1 , and wherein one of said sidewalls of said trench is an outermost edge of said image field.3. The reticle as in claim 1 , further comprising a multilayer reflective material disposed between said absorber material and said bottom and sidewalls of said trench.4. The reticle as in claim 3 , wherein said image field includes a pattern thereon formed of portions of said multilayer reflective material and portions of said absorber material.5. The reticle as in claim 1 , wherein said absorber material further forms a ...

Подробнее
17-05-2018 дата публикации

IMAGE SYNTHESIS METHOD AND IMAGE SYNTHESIS DEVICE FOR VIRTUAL OBJECT

Номер: US20180137682A1
Принадлежит:

An image synthesis method of a virtual object and the apparatus thereof are provided. The image synthesis method of the virtual object comprises providing a first depth image of a scene and a first two-dimensional image of the scene; providing a second depth image of the virtual object; adjusting a second depth value of the virtual object in the first depth image according to an objective location in the first depth image and a reference point of the second depth image; rendering a second two-dimensional image of the virtual object; and synthesizing the first two-dimensional image and the second two-dimensional image according to a lighting direction of the first two-dimensional image, an adjusted second depth value and the objective location in the first depth image. 1. An image synthesis method for a virtual object , comprising:providing a first depth image of a scene and at least one first two-dimensional image of the scene;providing a second depth image of the virtual object;adjusting a second depth value of the virtual object according to an object location of the first depth image and a reference point of the second depth image;rendering at least one second two-dimensional image of the virtual object according to an image lighting direction of the first two-dimensional image, the second depth value which is adjusted and a first depth value at the objection location of the first depth image; andsynthesizing the first two-dimensional image and the second two-dimensional image.2. The image synthesis method according to claim 1 , wherein the step of rendering the second two-dimensional image of the virtual object comprises:hollowing out a portion of the virtual object which is hidden from one object of the first two-dimensional image, when the second two-dimensional image of the virtual object is rendered.3. The image synthesis method according to claim 1 , wherein a number of the at least one first two-dimensional image of the scene is plurality claim 1 , and the ...

Подробнее
02-06-2016 дата публикации

SEMI-SOLID CHEWABLE DOSAGE FORM FOR OVER-THE-COUNTER MEDICATIONS AND METHOD FOR PRODUCING SAME

Номер: US20160151279A1
Принадлежит: Santa Cruz Pharmaceuticals, Inc.

The invention provides a semi-solid chewable dosage form for use as an over-the-counter medication that contains an active pharmaceutical ingredient to treat symptoms associated with allergies, colds, coughs, fever, pain, gastrointestinal disorders, sleep, and other common conditions. The invention further provides a semi-solid chewable dosage form that contains an active pharmaceutical ingredient, a gelling agent, gelatin, sugar, a polyol, and a pH adjusting agent. The invention also provides a semi-solid chewable dosage form that contains a gelling agent, sugar, a polyol, glycerin, and a pH adjusting agent. 1. A semi-solid chewable dosage form comprising loratadine , pectin , sugar , hydrolyzed starch hydrolysate , glycerin , water , and a pH adjusting agent.2. The semi-solid dosage form of claim 1 , wherein pectin is present in an amount from about 0.5% by weight to about 7% by weight claim 1 , hydrolyzed starch hydrolysate is present in an amount from about 40% by weight to about 90% by weight claim 1 , and glycerin is present in an amount from about 0.1% by weight to about 10% by weight.3. The semi-solid dosage form of claim 2 , wherein the water content of the semi-solid dosage form is from about 8% by weight to about 15% by weight.4. The semi-solid dosage form of claim 2 , wherein loratadine is present in an amount of from about 0.1% to about 1.0% by weight.5. The semi-solid dosage form of claim 2 , wherein loratadine is present in an amount of about 10 mg.6. The semi-solid dosage form of claim 2 , wherein pectin is present in an amount from about 1% by weight to about 5% by weight.7. The semi-solid dosage form of claim 2 , wherein hydrolyzed starch hydrolysate is present in an amount from about 40% by weight to about 60% by weight.8. The semi-solid dosage form of claim 2 , wherein glycerin is present in an amount from about 0.5% by weight to about 5% by weight.10. The semi-solid dosage form of claim 9 , wherein loratadine is present in an amount of from ...

Подробнее
24-05-2018 дата публикации

SAFETY LAMP

Номер: US20180146530A1
Принадлежит:

A safety lamp includes a light sensing unit, a first driving unit, a motion sensing unit, a second driving unit, a time setting unit, and a light-emitting unit. The first driving unit outputs a low-current power and the second driving unit outputs a high-current power. The motion sensing unit senses a movement of an object and output a sensing signal. The time setting unit counts the number of lighting times of the light-emitting unit. The light-emitting unit is driven by the low-current power when the light sensing unit is turned on; the light-emitting unit is driven by the low-current power and the high-current power when the motion sensing unit is turned on; when the number of lighting times is completed, the time setting unit disconnects the high-current power outputted from the second driving unit to the light emitting unit. 1. A safety lamp connected to a light-emitting unit , the safety lamp comprising:a light sensing unit configured to sense ambient light;a first driving unit electrically connected to the light sensing unit, and the first driving unit configured to receive a first signal outputted from the light sensing unit to output a low-current power;a motion sensing unit electrically connected to the light sensing unit, and the motion sensing unit configured to sense a movement of an object to output a sensing signal;a second driving unit electrically connected to the motion sensing unit, and the second driving unit configured to receive a second signal outputted from the motion sensing unit to output a high-current power; anda time setting unit electrically connected to the second driving unit, and the time setting unit configured to count the number of lighting times of the light-emitting unit;wherein the light-emitting unit is driven by the low-current power when the light sensing unit is turned on; the light-emitting unit is driven by the low-current power and the high-current power when the motion sensing unit is turned on; when the number of ...

Подробнее
23-05-2019 дата публикации

Pet pad and pet carrier

Номер: US20190150397A1
Автор: Li-Chen Chen
Принадлежит: Individual

A pet pad includes a pad body and a liquid permeable sheet body. The pad body is sheet shaped and has a carrying surface. The carrying surface has a mating portion. The liquid permeable sheet body has a liquid permeable portion and an annular portion circumferentially connected to a periphery of the liquid permeable portion. The annular portion includes a fixing segment and a positioning segment. The fixing segment is fixed to the carrying surface, the positioning segment is detachably positioned on the mating portion, and a length of the fixing segment is greater than a length of the positioning segment. The positioning segment is capable of being separated from the mating portion to form an opening, and the pet pad is configured to provide a moisture absorbing sheet body to be placed between the liquid permeable sheet body and the pad body through the opening.

Подробнее
23-05-2019 дата публикации

APPARATUS AND METHOD FOR CLEANING RETICLE STAGE

Номер: US20190155178A1
Принадлежит:

An apparatus for cleaning an electrostatic reticle holder used in a lithography system includes a chamber for providing a low pressure environment for the electrostatic reticle holder and an ultrasound transducer configured to apply ultrasound waves to the electrostatic reticle holder. The apparatus further includes a controller configured to control the ultrasound transducer and a gas flow controller. The gas flow controller is configured to enable pressurizing or depressurizing the chamber. 1. An apparatus for lithography comprising:a chamber providing a low-pressure environment and having a gas flow controller configured to enable pressurizing or depressurizing the chamber;an electrostatic reticle holder disposed in the chamber, the electrostatic reticle holder configured to secure a reticle; andan ultrasound transducer configured to apply ultrasound waves to the electrostatic reticle holder,wherein the ultrasound waves are configured to dislodge particulate matter from the electrostatic reticle holder.2. The apparatus of claim 1 , further comprising a controller configured to control the ultrasound transducer and the gas flow controller.3. The apparatus of claim 2 , wherein the controller is configured to synchronize a pressurization or a depressurization operation using the gas flow controller with an application of ultrasound waves to the electrostatic reticle holder using the ultrasound transducer.4. The apparatus of claim 1 , further comprising a reticle sensor configured to sense a presence of a reticle on the electrostatic reticle holder.5. The apparatus of claim 4 , further comprising a controller configured to control the ultrasound transducer and the gas flow controller claim 4 , and to initiate an application of ultrasound waves in response to a signal from the reticle sensor that the reticle is not on the electrostatic reticle holder.6. The apparatus of claim 1 , wherein the electrostatic reticle holder comprises a plurality of burls having a height ...

Подробнее
21-05-2020 дата публикации

Pet cushion

Номер: US20200154669A1
Автор: Li-Chen Chen
Принадлежит: Individual

A pet cushion includes a main body and a passage structure. The passage structure is connected to a surface of the main body, and includes at least two passage main bodies and at least one passage connecting portion. The at least one passage connecting portion is connected to an end of each of the at least two passage main bodies. A material of the at least one passage connecting portion is the same as a material of the at least two passage main bodies.

Подробнее
18-09-2014 дата публикации

Lithography System with an Embedded Cleaning Module

Номер: US20140268074A1

The present disclosure provides a lithography system. The lithography system includes an exposing module configured to perform a lithography exposing process using a mask secured on a mask stage; and a cleaning module integrated in the exposing module and designed to clean at least one of the mask and the mask stage using an attraction mechanism. 1. A lithography system , comprising:an exposing module configured to perform a lithography exposing process using a mask secured on a mask stage; anda cleaning module integrated in the exposing module and designed to clean at least one of the mask and the mask stage using an attraction mechanism.2. The lithography system of claim 1 , wherein the cleaning module includes a cleaning structure with the attraction mechanism and a handling mechanism that is designed to secure and manipulate the cleaning structure.3. The lithography system of claim 2 , wherein the cleaning structure includes a carrier substrate and an attracting object attached to the carrier substrate.4. The lithography system of claim 3 , wherein the carrier substrate is a mask substrate having a shape and dimensions of the mask.5. The lithography system of claim 3 , wherein the attracting object includes a material with non-polar chains and polar compound.6. The lithography system of claim 3 , wherein the attracting object includes a sticking material selected from the group consisting of adhesive tape claim 3 , polysaccharide claim 3 , polyvinyl alcohol (PVA) with —OH bond and high chemical polarity claim 3 , and natural latex with surfactant.7. The lithography system of claim 2 , wherein the cleaning structure includes a current driven electrostatic mechanism to generate electrostatic force for attracting particles.8. The lithography system of claim 2 , wherein the cleaning structure includes a roller with a sticking surface and designed to roll on a surface to be cleaned.9. The lithography system of claim 1 , wherein the cleaning module includesan ...

Подробнее
02-07-2015 дата публикации

SYSTEMS AND METHODS OF LOCAL FOCUS ERROR COMPENSATION FOR SEMICONDUCTOR PROCESSES

Номер: US20150187663A1
Принадлежит:

A system and method of compensating for local focus errors in a semiconductor process. The method includes providing a reticle and applying, at a first portion of the reticle, a step height based on an estimated local focus error for a first portion of a wafer corresponding to the first portion of the reticle. A multilayer coating is formed over the reticle and an absorber layer is formed over the multilayer coating. A photoresist is formed over the absorber layer. The photoresist is patterned, an etch is performed of the absorber layer and residual photoresist is removed. 1. A method of compensating for local focus errors in a semiconductor process , comprising:estimating a correlation between a plurality of wafer local focus errors and a plurality of reticle step heights for a semiconductor wafer process or tool;estimating a local erosion level across a first wafer surface portion based on a density of a pattern to be projected from a first reticle surface portion across the first wafer surface portion, the first wafer surface portion having predetermined planar dimensions and wherein the predetermined planar dimensions are less than the planar dimensions of the entire wafer surface;etching or depositing a material across the first reticle surface portion to a reticle step height determined based on the estimated correlation and the estimated local erosion level so as to compensate for a wafer local focus error induced by the local erosion level.2. The method of claim 1 , wherein the step of etching or depositing is performed if the density is greater than a threshold density of a pattern to be projected from a reticle surface portion having the same planar dimensions as the first reticle surface portion.3. The method of claim 1 , wherein the step of estimating a local erosion level is further based on the type of material to be formed across the first wafer surface portion.4. The method of claim 3 , further comprising:estimating a local image height across the- ...

Подробнее
28-05-2020 дата публикации

INSOLE DESIGN METHOD AND INSOLE DESIGN SYSTEM

Номер: US20200168004A1
Принадлежит:

An insole design method and an insole design system are provided, and the method includes: capturing an uncompressed free foot model by a depth camera and obtaining a free foot model three-dimensional image; capturing a pressed foot model stepped on a transparent pedal by the depth camera and obtaining a pressed foot model three-dimensional image; aligning the free foot model three-dimensional image with the pressed foot model three-dimensional image; calculating and obtaining a plantar deformation quantity according to the aligned free foot model three-dimensional image and the aligned pressed foot model three-dimensional image; and completing the designed insole according to a sole projection plane or a three-dimensional profile of the specific sole and the plantar deformation quantity. 1. An insole design method , comprising:capturing an uncompressed free foot model by a depth camera and obtaining a free foot model three-dimensional image;capturing a pressed foot model stepped on a transparent pedal by the depth camera and obtaining a pressed foot model three-dimensional image;aligning the free foot model three-dimensional image with the pressed foot model three-dimensional image;calculating and obtaining a plantar deformation quantity according to the aligned free foot model three-dimensional image and the aligned pressed foot model three-dimensional image; andcompleting the designed insole according to a sole projection plane or a three-dimensional profile of the specific sole and the plantar deformation quantity.2. The insole design method of claim 1 , wherein the step of aligning the free foot model three-dimensional image with the pressed foot model three-dimensional image further comprising:rotating or moving the pressed foot model three-dimensional image to a plane, which its z axis is equal to zero, to produce the aligned pressed foot model three-dimensional image; andaligning the area of dorsum pedis of the free foot model three-dimensional image with ...

Подробнее
08-07-2021 дата публикации

Laser Writing for Colour Centres in Crystals

Номер: US20210207287A1
Принадлежит:

A method of fabricating one or more colour centres in a crystal is described. The method comprises focusing a laser into a crystal to induce the creation, modification, or diffusion of defects within a focal region of the laser. Fluorescence detection is used to determine when one or more colour centres are formed within the focal region and the laser is terminated when a desired number of colour centres have been formed. The method enables colour centres to be formed in a crystal with a high degree of control in terms of both the number and location of colour centres within the crystal, and a degree of control over other parameters such as colour centre orientation and local environment. In particular, it is possible to form a well-defined pattern of colour centres within a crystal. 1. A crystal comprising:a crystal lattice; anda plurality of colour centres disposed within the crystal lattice,wherein the colour centres are configured to map onto a pattern of points having defined locations, within the crystal lattice, andwherein the colour centres have a maximum deviation from the defined locations of no more than 1 micrometre in a two-dimensional projection of the pattern of points.2. The crystal according to claim 1 ,wherein the maximum deviation of the colour centres in the two-dimensional projection of the pattern of points is no more than one of: 750 nm; 500 nm; 300 nm; 200 nm; 150 nm; 100 nm; 80 nm; 50 nm; and 20 nm.3. The crystal according to claim 1 ,wherein the colour centres have a maximum deviation from the defined locations in a depth direction orthogonal to the two-dimensional projection of no more than one of: 4 micrometres; 2 micrometres; 1 micrometre; 750 nm; 500 nm; 300 nm; 200 nm; 150 nm; 100 nm; or 50 nm.4. The crystal according to claim 1 ,wherein only a single colour centre is disposed at least at 55%, 60%, 70%, 80%, 90%, or 100% of the points of the pattern within said maximum deviation.5. The crystal according to claim 1 ,wherein a ...

Подробнее
18-09-2014 дата публикации

Structure and Method for Reflective-Type Mask

Номер: US20140272678A1
Принадлежит:

The present disclosure provides an embodiment of a reflective mask that includes a substrate; a reflective multilayer formed on the substrate; a capping layer formed on the reflective multilayer and having a hardness greater than about 8; and an absorber layer formed on the capping layer and patterned according to an integrated circuit layout. 1. A reflective mask , comprising:a substrate;a reflective multilayer formed on the substrate;a capping layer formed on the reflective multilayer and having a hardness greater than about 8; andan absorber layer formed on the capping layer and patterned according to an integrated circuit layout.2. The reflective mask of claim 1 , wherein the capping layer has a refractive index greater than about 0.95 and an extinction coefficient less than 0.005.3. The reflective mask of claim 1 , wherein the capping layer includes silicon carbide.4. The reflective mask of claim 1 , wherein the capping layer includes a Ru alloy selected from the group consisting of YRu claim 1 , ZrRu claim 1 , CrRu claim 1 , RuCo claim 1 , RuNi claim 1 , HfRu claim 1 , RuGe claim 1 , and combination thereof.5. The reflective mask of claim 1 , wherein the capping layer includes an yttrium (Y) layer.6. The reflective mask of claim 1 , wherein the substrate includes a low thermal expansion material (LTEM).7. The reflective mask of claim 1 , wherein the substrate includes one material selected from the group consisting of fused silica claim 1 , titanium oxide (TiO) doped fused silica (SiO) claim 1 , fused quartz claim 1 , calcium fluoride (CaF) claim 1 , and a combination thereof.8. The reflective mask of claim 1 , wherein the reflective multilayer includes a plurality of alternating molybdenum-silicon (Mo/Si) films.9. The reflective mask of claim 1 , wherein the reflective multilayer includes a plurality of alternating molybdenum-beryllium (Mo/Be) films.10. The reflective mask of claim 1 , wherein the absorber layer includes tantalum boron nitride (TaBN).11. The ...

Подробнее
25-09-2014 дата публикации

SEMICONDUCTOR STRUCTURE FOR EXTREME ULTRAVIOLET ELECTROSTATIC CHUCK WITH REDUCED CLAMPING EFFECT

Номер: US20140284604A1
Принадлежит:

The present disclosure provides one embodiment of a semiconductor structure. The semiconductor structure includes a semiconductor substrate having a front surface and a backside surface; integrated circuit features formed on the front surface of the semiconductor substrate; and a polycrystalline silicon layer disposed on the backside surface of the semiconductor substrate. 1. A semiconductor structure , comprising:a semiconductor substrate having a front surface and a backside surface;integrated circuit features formed on the front surface of the semiconductor substrate; anda polycrystalline silicon layer disposed on the backside surface of the semiconductor substrate.2. The semiconductor structure of claim 1 , wherein the polycrystalline silicon layer has a surface roughness ranging between about 7 angstrom and about 20 angstrom.3. The semiconductor structure of claim 1 , wherein the polycrystalline silicon layer further includes a minority dopant selected from the group consisting of phosphorous claim 1 , boron claim 1 , nitrogen claim 1 , and combinations thereof.4. The semiconductor structure of claim 3 , wherein the minority dopant in the polycrystalline silicon layer has a concentration ranging between 3×10/cmand 6×10/cm.5. The semiconductor structure of claim 1 , wherein the polycrystalline silicon layer includes polycrystalline silicon grains with grain size ranging between about 15 angstrom and about 40 angstrom.6. The semiconductor structure of claim 1 , wherein the integrated circuit features include a doped well claim 1 , a shallow trench isolation feature claim 1 , a field effect transistor claim 1 , a photodiode claim 1 , or combinations thereof.7. The semiconductor structure of claim 1 , wherein a thickness of the polycrystalline silicon layer ranges between about 5 nm and about 20 nm.8. A semiconductor structure claim 1 , comprising:a semiconductor substrate having a front surface and a backside surface;a polycrystalline silicon layer disposed on the ...

Подробнее
29-07-2021 дата публикации

Exhaust System with U-Shaped Pipes

Номер: US20210232054A1
Принадлежит:

The present disclosure provides an exhaust system for discharging from semiconductor manufacturing equipment a hazardous gas. The exhaust system includes: a main exhaust pipe having a top surface and a bottom surface; a first branch pipe including an upstream end coupled to a source of a gas mixture containing the hazardous gas and a downstream end connected to the main exhaust pipe through the top surface; a second branch pipe including a downstream end connected to the main exhaust pipe through the bottom surface; and a detector configured to detect presence of the hazardous gas in the second branch pipe. 1. A method , comprising:discharging a gas mixture having a hazardous gas into a main exhaust pipe via a first branch pipe, the main exhaust pipe having a top surface on a first side of the main exhaust pipe and a bottom surface on a second side of the main exhaust pipe, the bottom surface extending parallel to the top surface; anddetecting presence of the hazardous gas in a second branch pipe connected to the main exhaust pipe,wherein the first branch pipe includes an upstream end on the first side of the main exhaust pipe and a downstream end on the first side of the main exhaust pipe;wherein the second branch pipe includes an upstream end on the first side of the main exhaust pipe and a downstream end on the second side of the main exhaust pipe and connected to the main exhaust pipe through the bottom surface.2. The method of claim 1 , wherein the discharging includes discharging from a semiconductor manufacturing equipment.3. The method of claim 1 , wherein the discharging includes discharging from an extreme ultraviolet (EUV) lithography system.4. The method of claim 1 , wherein the discharging includes discharging from above the top surface of the main exhaust pipe.5. The method of claim 1 , further comprising:triggering an alarm when the presence of the hazardous gas is detected in the second branch pipe.6. The method of claim 1 , wherein the detecting ...

Подробнее
27-07-2017 дата публикации

SEMI-SOLID CHEWABLE DOSAGE FORM FOR OVER-THE-COUNTER MEDICATIONS AND METHOD FOR PRODUCING SAME

Номер: US20170209369A1
Принадлежит: Santa Cruz Pharmaceuticals, Inc.

The invention provides a semi-solid chewable dosage form that contains one or more active pharmaceutical ingredients that are generally available as over-the-counter medications including, for example, chlorpheniramine maleate, phenylephrine hydrochloride, guaifenesin, dextromethorphan hydrobromide, loratadine, or a combination thereof. The invention further provides a semi-solid chewable dosage form that contains chlorpheniramine maleate, phenylephrine hydrochloride or a combination thereof, a gelling agent, gelatin, sugar, a polyol, and a pH adjusting agent. The invention further provides a semi-solid chewable dosage form that contains the active pharmaceutical ingredient chlorpheniramine maleate, phenylephrine hydrochloride or a combination thereof, a gelling agent, gelatin, sugar, corn syrup, and a pH adjusting agent. The semi-solid chewable dosage form is useful for administration to individuals to treat symptoms from allergies, colds, congestion, and the like. 1. A semi-solid chewable dosage form comprising an active pharmaceutical ingredient selected from the group consisting of chlorpheniramine maleate , phenylephrine hydrochloride , and a combination thereof , a gelling agent , gelatin , sugar , a polyol , and a pH adjusting agent.2. The semi-solid chewable dosage form of claim 1 , wherein the active pharmaceutical ingredient is a combination of chlorpheniramine maleate and phenylephrine hydrochloride.3. The semi-solid chewable dosage form of claim 2 , wherein the gelling agent is pectin.4. The semi-solid chewable dosage form of claim 2 , wherein the gelatin is hydrolyzed gelatin.5. The semi-solid chewable dosage form of claim 2 , wherein the polyol is hydrolyzed starch hydrolysate.6. The semi-solid chewable dosage form of claim 2 , wherein the pH adjusting agent is sodium citrate and citric acid.7. The semi-solid chewable dosage of claim 1 , wherein the gelling agent is pectin in an amount from about 0.5% by weight to about 7% by weight claim 1 , the ...

Подробнее
27-07-2017 дата публикации

SEMI-SOLID CHEWABLE DOSAGE FORM FOR OVER-THE-COUNTER MEDICATIONS AND METHOD FOR PRODUCING SAME

Номер: US20170209370A1
Принадлежит: Santa Cruz Pharmaceuticals, Inc.

The invention provides a semi-solid chewable dosage form for use as an over-the-counter medication that contains an active pharmaceutical ingredient to treat symptoms associated with allergies, colds, coughs, fever, pain, gastrointestinal disorders, sleep, and other common conditions. The invention further provides a semi-solid chewable dosage form that contains an active pharmaceutical ingredient, a gelling agent, gelatin, sugar, a polyol, and a pH adjusting agent. The invention also provides a semi-solid chewable dosage form that contains a gelling agent, sugar, a polyol, glycerin, and a pH adjusting agent. 1. A semi-solid chewable dosage form comprising an active pharmaceutical ingredient , a gelling agent , gelatin , sugar , a polyol , and a pH adjusting agent.2. The semi-solid chewable dosage form of claim 1 , wherein the gelling agent is pectin claim 1 , the gelatin is hydrolyzed gelatin claim 1 , and the polyol is hydrolyzed starch hydrolysate.3. The semi-solid dosage form of claim 2 , wherein pectin is present in an amount from about 0.5% by weight to about 7% by weight claim 2 , hydrolyzed gelatin is present in an amount from about 0.5% by weight to about 8% by weight claim 2 , and hydrolyzed starch hydrolysate is present in an amount from about 40% by weight to about 90% by weight.4. The semi-solid chewable dosage form of claim 1 , wherein the active pharmaceutical ingredient is diphenhydramine hydrochloride.5. The semi-solid chewable dosage form of claim 4 , wherein the gelling agent is pectin.6. The semi-solid chewable dosage form of claim 4 , wherein the gelatin is hydrolyzed gelatin.7. The semi-solid chewable dosage form of claim 4 , wherein the polyol is hydrolyzed starch hydrolysate.8. The semi-solid dosage form of claim 4 , wherein the gelling agent is pectin present in an amount from about 0.5% by weight to about 7% by weight claim 4 , the gelatin is hydrolyzed gelatin present in an amount from about 0.5% by weight to about 8% by weight claim 4 , ...

Подробнее
26-07-2018 дата публикации

Service panel circuit for automatic ac and dc power distribution

Номер: US20180212420A1
Автор: Kong-Chen Chen
Принадлежит: Kong-Chen Chen

A method selects between AC and DC power inputs for delivery to power distribution circuits in a building. Power distribution circuits includes power distribution wiring and a socket. Power distribution wiring connects between the socket and a circuit breaker and is selectively coupled through the circuit breaker to either the AC or DC power input. DC and AC power inputs, and the circuit breaker are dispositioned at a building service panel. The method includes monitoring a voltage level of the DC power input using a detection circuit in the circuit breaker, using a switch circuit in the circuit breaker to couple the power connection wiring to the AC power input when the detection circuit detects the voltage level is below a threshold level, and coupling the power connection wiring to the DC power input when the detection circuit detects the voltage level is equal to or above the threshold level.

Подробнее
18-07-2019 дата публикации

OVEN CONTROLLED CRYSTAL OSCILLATOR CONSISTING OF HEATER-EMBEDDED CERAMIC PACKAGE

Номер: US20190222214A1
Принадлежит:

An oven controlled crystal oscillator consisting of heater-embedded ceramic package includes a substrate, a crystal package, a crystal blank, a metal lid, a first IC chip, and a cover lid. The crystal package is mounted on the substrate, and a central bottom of the crystal package is provided with the first IC chip. The crystal blank is mounted in the crystal package and sealed by the metal lid. The crystal package has an embedded heater layer establishing a symmetric thermal field with respect to the first IC chip and the crystal blank. Alternatively, a heater-embedded ceramic carrier substrate is arranged between the first IC chip and the crystal blank to establish a symmetric thermal field with respect to the first IC chip and the crystal blank. The cover lid is combined with the substrate to cover the crystal package and the metal lid. 1. An oven controlled crystal oscillator comprising:a substrate;a heater-embedded crystal ceramic package, having a first embedded heater layer, mounted on said substrate;a crystal blank mounted on said heater-embedded crystal ceramic package; anda first integrated circuit (IC) chip mounted below said heater-embedded crystal ceramic package and connected to said heater-embedded crystal ceramic package;wherein said first embedded heater layer is located between said first IC chip and said crystal blank.2. The oven controlled crystal oscillator according to claim 1 , wherein said substrate has a first cavity above said substrate; said heater-embedded crystal ceramic package is mounted on said substrate above said first cavity; and said first IC chip is housed inside said first cavity.3. The oven controlled crystal oscillator according to claim 1 , wherein a top of said heater-embedded crystal ceramic package is provided with a second cavity; and said crystal blank is housed in said second cavity.4. The oven controlled crystal oscillator according to claim 3 , further comprising a metal lid mounted on said heater-embedded crystal ...

Подробнее
26-08-2021 дата публикации

Semiconductor device

Номер: US20210265285A1

A device includes an outer seal ring, an integrated circuit, and an inner seal ring. The outer seal ring forms a first closed loop. The integrated circuit is surrounded by the outer seal ring. The inner seal ring is between the outer seal ring and the integrated circuit. The inner seal ring forms a second closed loop that defines an enclosed region external to the integrated circuit.

Подробнее
13-11-2014 дата публикации

Printable Reusable Gel Film

Номер: US20140335302A1
Принадлежит:

A printable reusable gel film formed of a polyvinyl acetic acid (PVA) or polyvinyl alcohol (PVOH) layer, a carrier layer, an acrylic adhesive layer, an elastic plastic sheet layer, an elastomer layer and a strippable plastic film is disclosed. The polyvinyl acetic acid (PVA) or polyvinyl alcohol (PVOH) layer and the carrier layer each have a protruding portion for guidance in printing. The strippable plastic film has perforations for ventilation to prevent accumulation of air during printing, assuring a high level of printing quality. 1. A printable reusable gel film , comprising:a polyvinyl acetic acid (PVA)/polyvinyl alcohol (PVOH) layer defining opposing front surface and back surface, said polyvinyl acetic acid (PVA)/polyvinyl alcohol (PVOH) layer comprising a protruding portion extended from one end thereof;a carrier layer defining opposing front surface and back surface, the front surface of said carrier layer being bonded to the back surface of said polyvinyl acetic acid (PVA)/polyvinyl alcohol (PVOH) layer, said carrier layer comprising a protruding portion extended from one end thereof and bonded to the protruding portion of said polyvinyl acetic acid (PVA)/polyvinyl alcohol (PVOH) layer;an acrylic adhesive layer defining opposing front surface and back surface, the front surface of said acrylic adhesive layer being bonded to the back surface of said carrier layer;an elastic plastic sheet layer made from polyvinyl chloride (PVC) or polyethylene terephthalate (PET) and defining opposing front surface and back surface, the front surface of said elastic plastic sheet layer being bonded to the back surface of said acrylic adhesive layer;an elastomer layer formed of a mixture of an elastomer solute and toluene solvent and coated on the back surface of said elastic plastic sheet layer; anda strippable plastic film covered on one side of said elastomer layer opposite to said elastic plastic sheet layer, said strippable plastic film comprising a plurality of ...

Подробнее
10-09-2015 дата публикации

SYSTEM, METHOD AND RETICLE FOR IMPROVED PATTERN QUALITY IN EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY AND METHOD FOR FORMING THE RETICLE

Номер: US20150255272A1

A reticle for use in an extreme ultraviolet (euv) lithography tool includes a trench formed in the opaque border formed around the image field of the reticle. The trench is coated with an absorber material. The reticle is used in an euv lithography tool in conjunction with a reticle mask and the positioning of the reticle mask and the presence of the trench combine to prevent any divergent beams of radiation from reaching any undesired areas on the substrate being patterned. In this manner, only the exposure field of the substrate is exposed to the euv radiation. Pattern integrity in neighboring fields is maintained. 1. A method for patterning a semiconductor device in an extreme ultraviolet (EUV) lithography apparatus , said method comprising:providing an EUV lithography apparatus with an EUV radiation source;providing a substrate to be patterned, on a stage in said EUV lithography apparatus, said substrate coated with photoresist;positioning a reticle having a pattern side in confronting relation with said coated substrate; anddirecting a light beam from said EUV radiation source, to said pattern side at an oblique angle such that said light beam reflects off said reticle and onto said coated semiconductor substrate coated with photoresist,wherein said reticle includes a back side opposite the patterned side and includes a base material having a trench formed in said base material at an interface between an image field and an opaque border of said reticle or in said opaque border, said trench extending inwardly from said pattern side and having an absorber material along sidewalls and a bottom of said trench.2. The method as in claim 1 , further comprising positioning a reticle mask between said light beam and said reticle and parallel to said reticle such that a portion of said light beam is blocked by said reticle mask.3. The method as in claim 2 , wherein said trench has a depth that is at least about 65% of a thickness of said base material claim 2 , and a ...

Подробнее
17-09-2015 дата публикации

Structure and Method for Reflective-Type Mask

Номер: US20150261082A1
Принадлежит:

A reflective mask includes a substrate; a reflective multilayer formed on the substrate; an absorber layer formed on the reflective multilayer, wherein the absorber layer is patterned to have openings according to an integrated circuit layout; and a protection layer formed over the reflective multilayer within the openings. 1. A reflective mask , comprising:a substrate;a reflective multilayer formed on the substrate;an absorber layer formed on the reflective multilayer, wherein the absorber layer is patterned to have openings according to an integrated circuit layout; anda protection layer formed over the reflective multilayer within the openings.2. The reflective mask of claim 1 , wherein the protection layer is of a material having hardness greater than about 8.3. The reflective mask of claim 1 , wherein the protection layer has a refractive index greater than about 0.95 and an extinction coefficient less than 0.005.4. The reflective mask of claim 1 , wherein the protection layer includes silicon carbide.5. The reflective mask of claim 1 , wherein the protection layer includes a Ru alloy selected from the group consisting of YRu claim 1 , ZrRu claim 1 , CrRu claim 1 , RuCo claim 1 , RuNi claim 1 , HfRu claim 1 , RuGe claim 1 , and combination thereof.6. The reflective mask of claim 1 , wherein the protection layer includes an yttrium (Y) layer.7. The reflective mask of claim 1 , wherein the substrate includes a low thermal expansion material (LTEM).8. The reflective mask of claim 1 , wherein the reflective multilayer includes a plurality of alternating molybdenum-silicon (Mo/Si) films.9. The reflective mask of claim 1 , wherein the reflective multilayer includes a plurality of alternating molybdenum-beryllium (Mo/Be) films.10. The reflective mask of claim 1 , wherein the absorber layer includes tantalum boron nitride (TaBN).11. The reflective mask of claim 1 , wherein the protection layer is further formed on the absorber layer.12. A reflective mask claim 1 , ...

Подробнее
17-09-2015 дата публикации

Collector in an Extreme Ultraviolet Lithography System with Optimal Air Curtain Protection

Номер: US20150261094A1

The present disclosure provides an extreme ultraviolet (EUV) radiation source module. The EUV radiation source module includes a collector designed to collect and reflect EUV light; a solid cover integrated with the collector and configured to have a supply gap between the collector and the solid cover; and a gas pipeline integrated with the collector. The supply gap provides a path for gas flow to the radiation source at edge of the collector. The gas pipeline includes an inward entrance and an outward entrance. 1. An extreme ultraviolet (EUV) radiation source module , comprising:a collector designed to collect and reflect EUV light generated from plasma;a solid cover integrated with the collector and configured to have a supply gap between the collector and the solid cover, wherein the supply gap provides a path for gas flow to the radiation source at edge of the collector; anda gas pipeline integrated with the collector, wherein the gas pipeline includes an inward entrance and an outward entrance.2. The EUV radiation source module of claim 1 , wherein the supply gap claim 1 , the inward entrance and the outward entrance are configured and operable to form an air curtain on the collector claim 1 , thereby protecting the collector from contamination.3. The EUV radiation source module of claim 1 , further comprising a ring frame integrated with the collector claim 1 , wherein the gas pipeline is embedded in the ring frame.4. The EUV radiation source module of claim 3 , whereinthe collector is coated with a reflective layer that reflects the EUV light; andthe solid cover and ring frame are made of stainless steel.5. The EUV radiation source module of claim 3 , whereinthe supply gap has a first dimension ranging from about 5 mm to about 15 mm; andeach of the inward and outward entrances includes a second dimension ranging from about 3 mm to about 7 mm.6. The EUV radiation source module of claim 3 , further comprising a laser-produced plasma (LPP) mechanism that ...

Подробнее
06-09-2018 дата публикации

Method to Mitigate Defect Printability for ID Pattern

Номер: US20180253008A1
Принадлежит:

Various methods are disclosed herein for reducing (or eliminating) printability of mask defects during lithography processes. An exemplary method includes performing a first lithography exposing process and a second lithography exposing process using a mask to respectively image a first set of polygons oriented substantially along a first direction and a second set of polygons oriented substantially along a second direction on a target. During the first lithography exposing process, a phase distribution of light diffracted from the mask is dynamically modulated to defocus any mask defect oriented at least partially along both the first direction and a third direction that is different than the first direction. During the second lithography exposing process, the phase distribution of light diffracted from the mask is dynamically modulated to defocus any mask defect oriented at least partially along both the second direction and a fourth direction that is different than the third direction. 1. A method comprising:loading a mask to a lithography system, wherein the mask includes an integrated circuit (IC) pattern having first features oriented substantially along a first direction and second features oriented substantially along a second direction that is different than the first direction;configuring the lithography system to achieve a first phase distribution of light diffracted from the mask during a first lithography exposing process that images the first features on a target, wherein the first phase distribution of light varies substantially along the first direction but does not vary substantially along a third direction, wherein the third direction is substantially perpendicular to the first direction;performing the first lithography exposing process;configuring the lithography system to achieve a second phase distribution of light diffracted from the mask during a second lithography exposing process that images the second features on the target, wherein the ...

Подробнее
27-11-2014 дата публикации

SYSTEM AND METHOD FOR PERFORMING LITHOGRAPHY PROCESS IN SEMICONDUCTOR DEVICE FABRICATION

Номер: US20140347644A1
Принадлежит:

Systems and methods that include providing for measuring a first topographical height of a substrate at a first coordinate on the substrate and measuring a second topographical height of the substrate at a second coordinate on the substrate are provided. The measured first and second topographical heights may be provided as a wafer map. An exposure process is then performed on the substrate using the wafer map. The exposure process can include using a first focal point when exposing the first coordinate on the substrate and using a second focal plane when exposing the second coordinate on the substrate. The first focal point is determined using the first topographical height and the second focal point is determined using the second topographical height. 1. A method , comprising:measuring a first topographical height at a first coordinate on a substrate;measuring a second topographical height at a second coordinate on the substrate;providing the measured first and second topographical heights as a wafer map; and using a first focal point when exposing the first coordinate on the substrate, wherein the first focal point is determined using the first topographical height; and', 'using a second focal point when exposing the second coordinate on the substrate, wherein the second focal point is determined using the second topographical height., 'performing an exposure process on the substrate using the wafer map, wherein the exposure process includes2. The method of claim 1 , wherein the measuring the first and second topographical heights are performed concurrently using a multi-tip atomic force microscopy (AFM) tool.3. The method of claim 1 , wherein the measuring the second topographical height is performed substantially concurrently with the exposing of the first coordinate.4. The method of claim 1 , wherein the wafer map is generated by determining an offset value between the first topographical height and a third height associated with the first coordinate.5. The ...

Подробнее
21-09-2017 дата публикации

OVEN CONTROLLED CRYSTAL OSCILLATOR CONSISTING OF HEATER-EMBEDDED CERAMIC PACKAGE

Номер: US20170272081A1
Принадлежит:

An oven controlled crystal oscillator consisting of heater-embedded ceramic package includes a substrate, a crystal package, a crystal blank, a metal lid, a first IC chip, and a cover lid. The crystal package is mounted on the substrate, and a central bottom of the crystal package is provided with the first IC chip. The crystal blank is mounted in the crystal package and sealed by the metal lid. The crystal package has an embedded heater layer establishing a symmetric thermal field with respect to the first IC chip and the crystal blank. Alternatively, a heater-embedded ceramic carrier substrate is arranged between the first IC chip and the crystal blank to establish a symmetric thermal field with respect to the first IC chip and the crystal blank. The cover lid is combined with the substrate to cover the crystal package and the metal lid.

Подробнее
01-10-2015 дата публикации

LIGHT ADJUSTABLE AC LED DEVICE

Номер: US20150282266A1
Принадлежит: Prolight Opto Technology Corporation

A light adjustable AC (alternating-current) LED device is provided. A color temperature of the light adjustable AC LED device is decreased as decreased brightness thereof. The light adjustable AC LED device includes an AC power source, a plurality of power loops and a plurality of LED segments. The AC power source is for providing an AC voltage. Each of the power loops is electrically connected with the AC power source, and each of the LED segments is electrically connected with each power loop. When the AC voltage is gradually raised, each LED segment of each power loop is turned-on in sequence, thereby a color temperature of each LED segment being mixed in sequence; when the AC voltage is gradually dropped, each LED segment of each power loop is turned-off in reverse order. 1. A light adjustable AC (Alternating-Current) LED (Light Emitting Diode) device , wherein a color temperature thereof is decreased as decreased brightness thereof , the light adjustable AC LED device comprising:an AC power source for providing an AC voltage;a plurality of power loops, each of the power loops electrically connected with the AC power source; anda plurality of LED segments, each of the LED segments electrically connected with each power loop;wherein when the AC voltage is raised, each LED segment connected with each power loop is turned-on in sequence, thereby a color temperature of each LED segment are mixed in sequence;when the AC voltage is dropped, each LED segment connected with each power loop is turned-off in reverse order.2. The light adjustable AC LED device of claim 1 , further comprising:a bridge rectifier electrically connected with the AC power source for inverting a negative half-cycle of the AC voltage to a positive half-cycle, thereby generating a fill-wave rectified AC voltage.3. The light adjustable AC LED device of claim 2 , further comprising:a semiconductor controlling component, wherein the semiconductor controlling component comprises a ground terminal and ...

Подробнее
29-09-2016 дата публикации

ILLUMINANT COMPONENT

Номер: US20160284946A1
Принадлежит:

An illuminant component includes a carrier, a plurality of connecting pieces, a LED die, a first fluorescent layer, and a second fluorescent layer. The carrier includes a die-bonding area and the connecting pieces are placed on the die-bonding area. The LED die is placed on the die-bonding area and electrically connected to the connecting pieces. The first fluorescent layer placed on a top surface of the LED die includes a first light-transparent adhesive and a first phosphor powder uniformly suspended within the first light-transparent adhesive. The second fluorescent layer covering the first fluorescent layer and the LED die includes a second light-transparent adhesive and a second phosphor powder uniformly suspended within the second light-transparent adhesive. In the same quantity of the first light-transparent adhesive and the second light-transparent adhesive, the quantity of the second phosphor powder is less than that of the first phosphor powder. 1. An illuminant component , comprising:a carrier comprising a die-bonding area;a plurality of connecting pieces placed on the die-bonding area;a light emitting diode (LED) die comprising a top surface, the LED die placed on the die-bonding area and electrically connected to the connecting pieces;a first fluorescent layer comprising a first light-transparent adhesive and a first phosphor powder suspended within the first light-transparent adhesive, the first fluorescent layer placed on the top surface of the LED die;a second fluorescent layer comprising a second light-transparent adhesive and a second phosphor powder suspended within the second light-transparent adhesive, the second fluorescent layer covering the first fluorescent layer and the LED die; andan optical lens disposed on the second fluorescent layer so that a filling surface of the second fluorescent layer is partially covered by the optical lens, a projected area of the optical lens projected on an upper surface of the carrier being larger than that ...

Подробнее
06-10-2016 дата публикации

EUV focus monitoring systems and methods

Номер: US20160291482A1
Принадлежит:

Systems and methods for monitoring the focus of an EUV lithography system are disclosed. Another aspect includes a method having operations of measuring a first shift value for a first patterned set of sub-structures of a focus test structure on a wafer and measuring a second shift value for a second patterned set of sub-structures of the test structure on the wafer. The test structure may be formed on the wafer using asymmetric illumination, with the first patterned set of sub-structures having a first pitch and the second patterned set of sub-structures having a second pitch that is different from the first pitch. The method may further include determining a focus shift compensation for an illumination system based on a difference between the first shift value and the second shift value. 1. A method comprising:inserting a photomask into an illumination system, the photomask comprising a test structure having a first set of sub-structures having a first pitch and a second set of sub-structures having a second pitch;illuminating, with a first focus, the photomask with asymmetric illumination and thereby patterning a layer over a wafer with the test structure;measuring a first shift value for a first patterned set of sub-structures of the wafer;measuring a second shift value for a second patterned set of sub-structures of the wafer; andcompensating a focus shift of the illumination system based on a difference between the first shift value and the second shift value.2. The method of claim 1 , wherein the first set of sub-structures of the test structure surrounds the second set of sub-structures.3. The method of claim 1 , wherein the first pitch is about double the second pitch.4. The method of claim 3 , wherein the first pitch is about 65 nanometers.5. The method of claim 1 , wherein a line-space ratio of the first and second sets of sub-structures is about 1:1.6. The method of claim 1 , further comprising:illuminating, with a second focus, the photomask with ...

Подробнее
16-12-2021 дата публикации

FAN IMPELLER

Номер: US20210388845A1
Принадлежит:

A fan impeller includes a wheel casing, a plurality of fan blades and a plurality of first wind-guiding structures. The wheel casing is configured to rotate about an axis. The fan blades surround the axis and are arranged in a radial form. Each of the fan blades includes an end edge and two extending edges. The end edge is away from the wheel casing. The extending edges connect between the end edge and the wheel casing. The end edge and the extending edges define a windward surface and a leeward surface opposite to each other. The first wind-guiding structures are respectively disposed on the leeward surface of the corresponding fan blade. Each of the first wind-guiding structures is at least partially adjacent with the end edge and one of the extending edges of the corresponding fan blade. 1. A fan impeller , comprising:a wheel casing configured to rotate about an axis;a plurality of fan blades surrounding the axis and arranged in a radial form, each of the fan blades includes an end edge and two extending edges, the end edge being away from the wheel casing, the extending edges connecting between the end edge and the wheel casing, the end edge and the extending edges defining a windward surface and a leeward surface opposite to each other; anda plurality of first wind-guiding structures respectively disposed on the leeward surface of the corresponding fan blade, and each of the first wind-guiding structures being at least partially adjacent with the end edge and one of the extending edges of the corresponding fan blade.2. The fan impeller of claim 1 , wherein the first wind-guiding structures are away from the wheel casing.3. The fan impeller of claim 1 , wherein each of the first wind-guiding structures has a first length claim 1 , and the first length is perpendicular to the corresponding leeward surface and gradually diminishes in a direction towards the wheel casing.4. The fan impeller of claim 1 , wherein at least two of the fan blades are located between ...

Подробнее
20-10-2016 дата публикации

Collector in an Extreme Ultraviolet Lithography System with Optimal Air Curtain Protection

Номер: US20160306282A1
Принадлежит:

The present disclosure provides an extreme ultraviolet (EUV) lithography system. The EUV lithography system includes a collector having a coating surface designed to collect and reflect EUV radiation; a gas supply module; and a gas pipeline integrated with the collector and connected to the gas supply module. The gas pipeline includes inward and outward entrances into the collector. The inward and outward entrances are configured and operable to form a gas curtain on the coating surface of the collector. 1. An extreme ultraviolet (EUV) lithography system , comprising:a collector having a coating surface designed to collect and reflect EUV radiation;a gas supply module; anda gas pipeline integrated with the collector and connected to the gas supply module, wherein the gas pipeline includes inward and outward entrances into the collector, wherein the inward and outward entrances are configured and operable to form a gas curtain on the coating surface of the collector.2. The EUV lithography system of claim 1 , further comprising:a solid cover integrated with the collector and configured to have a gap between the solid cover and an outer edge of the collector.3. The EUV lithography system of claim 2 , wherein the gap is connected to the gas supply module and configured to supply a gas to the collector.4. The EUV lithography system of claim 2 , wherein the gas supply module supplies hydrogen gas to the gas pipeline and the gap.5. The EUV lithography system of claim 1 , further comprising a ring frame integrated with the collector claim 1 , wherein the gas pipeline is embedded in the ring frame.6. The EUV lithography system of claim 5 , wherein the ring frame is made of stainless steel.7. The EUV lithography system of claim 5 , further comprising a laser-produced plasma (LPP) mechanism that includes:a target droplet generator designed to generate target droplets; anda laser that is configured to heat the target droplets, thereby producing the EUV radiation.8. The EUV ...

Подробнее
20-10-2016 дата публикации

METHOD OF OVERLAY IN EXTREME ULTRA-VIOLET (EUV) LITHOGRAPHY

Номер: US20160306285A1
Принадлежит:

The present disclosure relates to a deformable reticle chuck. In some embodiments, an extreme ultraviolet (EUV) deformable reticle chuck has a substrate of insulating material with a plurality of protrusions extending outward from a first side of the substrate. A resistive material is arranged along a second side of the substrate below the plurality of protrusions. The resistive material is configured to expand in response to an applied current or voltage to adjust a shape of a reticle. 1. An extreme ultraviolet (EUV) deformable reticle chuck , comprising:a substrate of insulating material comprising a plurality of protrusions extending outward from a first side of the substrate; anda resistive material arranged along a second side of the substrate below the plurality of protrusions, wherein the resistive material is configured to expand in response to an applied current or voltage to adjust a shape of a reticle.2. The deformable reticle chuck of claim 1 , further comprising:a layer of insulating material arranged along an opposite side of the resistive material as the substrate.3. The deformable reticle chuck of claim 2 , wherein the substrate and the layer of insulating material comprise a same material.4. The deformable reticle chuck of claim 2 , wherein the layer of insulating material comprises an ultra low expansion material.5. The deformable reticle chuck of claim 2 , further comprising:a plurality of electrodes embedded within a dielectric layer separated from the second side of the substrate by the layer of insulating material, wherein the plurality of electrodes overlie the plurality of protrusions.6. The deformable reticle chuck of claim 5 , wherein the plurality of electrodes extend through the dielectric layer to contact the layer of insulating material.7. The deformable reticle chuck of claim 1 , further comprising:a ceramic material arranged on an opposite side of the plurality of protrusions as the resistive material.8. The deformable reticle chuck ...

Подробнее
26-10-2017 дата публикации

LIGHT EMITTING DIODE LIGHTING MODULE

Номер: US20170309601A1
Принадлежит:

A light emitting diode (LED) lighting module includes a plurality of LED components and a carrier. The LED components are electrically connected in series, and each LED component includes a LED die having a perpendicular structure. The carrier includes a substrate and a protecting dam, the LED components and the protecting dam are respectively placed on the substrate, and a height of the protecting dam is higher than that of each LED component. When a specific condition is satisfied, a short circuit condition between two adjacent LED components when performing die-bond procedure is prevented. 1. A light emitting diode (LED) lighting module comprising:a plurality of LED components electrically connected in series, wherein each LED component comprising a LED die having a perpendicular structure; anda carrier comprising a substrate and a protecting dam, wherein the LED components and the protecting dam are respectively placed on the substrate, and a height of the protecting dam is higher than that of each LED component; {'br': None, 'i': 'D<', '0.05 mm<0.10 mm.'}, 'wherein when a distance between two adjacent LED components is D, the following condition is satisfied2. The LED lighting module of claim 1 , wherein the distance between two adjacent LED components is 0.08 mm.3. The LED lighting module of claim 1 , further comprising a wavelength conversion later covering the LED components claim 1 , wherein when a height of each LED die is H claim 1 , a thickness of the wavelength conversion layer above a top surface of the LED dice is T claim 1 , the following condition is satisfied:{'br': None, 'i': H>', 'T., '2'}4. The LED lighting module of claim 3 , wherein the wavelength conversion layer partially exists in an air gap between two adjacent light emitting diode dice.5. The LED lighting component of claim 3 , further comprising:a plurality of wires; andan upper circuit layer placed on an upper surface of the substrate and comprising a plurality of first electrodes and a ...

Подробнее
03-11-2016 дата публикации

MODULARIZED LIGHT-EMITTING DEVICE

Номер: US20160320042A1
Принадлежит:

The present invention provides a modularized light-emitting device, which comprises a first substrate, a package module, a second substrate, and a plurality of light-emitting modules. The package module packages at least a driving unit and at least a circuit component on the first substrate, which is connected to the second substrate. By the electrical connection between the first and second substrates, the light-emitting module on the second substrate can be driven. Alternatively, the package module is disposed on the first substrate and the plurality of light-emitting modules are disposed on a third substrate. The second substrate is connected electrically with the first and third substrates for driving the light-emitting modules on the third substrate. Accordingly, the light-emitting device is connected to different package modules according to the electrical specification of the light-emitting modules, respectively, and thus improving the flexibility of the light-emitting device. 1. A modularized light-emitting device , comprising:a first substrate, including a connecting circuit;a package module, disposed on said first substrate, comprising a packaging member, at least a driving unit, and at least a circuit component, said packaging member covering and packaging said driving unit and said circuit component on said first substrate. and said driving unit and said circuit component electrically connected to said connecting circuit of said first substrate;a second substrate, including a power circuit electrically connected with said connecting circuit of said first substrate; anda light-emitting module, disposed on said second substrate, electrically connected to said package module via the electrical connection of said connecting circuit and said power circuit, including at least a light-emitting diode, said driving unit driving said light-emitting module, and said circuit component modulating a driving voltage and a driving current of said light-emitting diode.2. ...

Подробнее
01-10-2020 дата публикации

Method to Mitigate Defect Printability for ID Pattern

Номер: US20200310250A1
Принадлежит:

Various methods are disclosed herein for reducing (or eliminating) printability of mask defects during lithography processes. An exemplary method includes performing a first lithography exposing process and a second lithography exposing process using a mask to respectively image a first set of polygons oriented substantially along a first direction and a second set of polygons oriented substantially along a second direction on a target. During the first lithography exposing process, a phase distribution of light diffracted from the mask is dynamically modulated to defocus any mask defect oriented at least partially along both the first direction and a third direction that is different than the first direction. During the second lithography exposing process, the phase distribution of light diffracted from the mask is dynamically modulated to defocus any mask defect oriented at least partially along both the second direction and a fourth direction that is different than the third direction. 1. A lithography system comprising:a wafer stage for securing a target;a mask stage for securing a mask that includes a mask pattern having a plurality of features oriented substantially along a first direction; andan imaging module for directing light to the mask and imaging the mask pattern on the target by modulating a phase of light diffracted from the mask, such that a phase distribution of the light diffracted from the mask varies substantially along the first direction but does not vary substantially along a second direction that is substantially perpendicular to the first direction.2. The lithography system of claim 1 , wherein the imaging module includes a plurality of reflective mirrors for modulating the phase of light diffracted from the mask onto a projection pupil plane.3. The lithography system of claim 1 , wherein the imaging module includes a pupil filter for filtering out specific spatial frequency components of the light diffracted from the mask.4. The ...

Подробнее
01-10-2020 дата публикации

APPARATUS AND METHOD FOR CLEANING RETICLE STAGE

Номер: US20200310258A1
Принадлежит:

An apparatus for cleaning an electrostatic reticle holder used in a lithography system includes a chamber for providing a low pressure environment for the electrostatic reticle holder and an ultrasound transducer configured to apply ultrasound waves to the electrostatic reticle holder. The apparatus further includes a controller configured to control the ultrasound transducer and a gas flow controller. The gas flow controller is configured to enable pressurizing or depressurizing the chamber. 1. An apparatus for lithography comprising:a chamber providing a low-pressure environment and having a gas flow controller configured to enable pressurizing or depressurizing the chamber;an electrostatic reticle holder disposed in the chamber, the electrostatic reticle holder configured to secure a reticle; andan ultrasound transducer disposed on a side of a mask stage configured to apply ultrasound waves through the mask stage to the electrostatic reticle holder,wherein the ultrasound waves are configured to dislodge particulate matter from the electrostatic reticle holder.2. The apparatus of claim 1 , further comprising a controller configured to control the ultrasound transducer and the gas flow controller.3. The apparatus of claim 2 , wherein the controller is configured to synchronize a pressurization or a depressurization operation using the gas flow controller with an application of ultrasound waves to the electrostatic reticle holder using the ultrasound transducer.4. The apparatus of claim 1 , further comprising a reticle sensor configured to sense a presence of a reticle on the electrostatic reticle holder.5. The apparatus of claim 4 , further comprising a controller configured to control the ultrasound transducer and the gas flow controller claim 4 , and to initiate an application of ultrasound waves in response to a signal from the reticle sensor that the reticle is not on the electrostatic reticle holder.6. The apparatus of claim 1 , wherein the electrostatic ...

Подробнее
22-10-2020 дата публикации

METHOD AND APPARATUS FOR REMOVING DEBRIS FROM COLLECTOR

Номер: US20200331038A1

Debris is removed from a collector of an extreme ultraviolet light source vessel by applying a suction force through a vacuum opening of a cable. The method for removing debris also includes weakening debris attachment by using a sticky surface or by spreading a solution through a nozzle, wherein the sticky surface and the nozzle are arranged on the cable proximal to the vacuum opening. A borescope system and interchangeable rigid portions of the cable assists in targeting a target area of the collector where the debris is. 1. A method , comprising:providing a fuel droplet into a vessel chamber;striking the fuel droplet with a laser beam at an excitation region to generate a plasma that emits extreme ultraviolet radiation, wherein debris from the plasma is deposited on a collector under the excitation region; andsucking up the debris from the collector.2. The method of claim 1 , wherein sucking up the debris from the collector comprises:removing the debris from the collector by using a sticky surface; andsucking up the debris from the sticky surface.3. The method of claim 1 , further comprising:applying a solution to the debris prior to sucking up the debris from the collector.4. The method of claim 3 , wherein the solution comprises nitric acid and hydrochloric acid.5. The method of claim 3 , wherein the debris includes tin claim 3 , and the solution is an agent for lowering a temperature of the debris and initiating a phase change in a crystal structure of the tin.6. The method of claim 1 , further comprising:illuminating the collector.7. The method of claim 1 , further comprising:capturing an image of the collector;adjusting the image captured on the collector; andcapturing an image of a target area, wherein the debris is in the target area.8. The method of claim 7 , wherein sucking up the debris from the collector is performed using a vacuum opening; andfurther comprising:adjusting a position of the vacuum opening according to the captured image of the target ...

Подробнее
31-10-2019 дата публикации

3D MODEL ESTABLISHING DEVICE AND CALIBRATION METHOD APPLYING TO THE SAME

Номер: US20190333286A1

A 3D model construction device includes a camera and a wearable display coupled to the camera. The camera obtains multiple first frames, a second frame and depth information. The wearable display includes a display unit, a processing unit, a storage unit and a projection unit. The storage unit stores a first module and a second module. When the first module is performed by the processing unit, the processing unit calculates a first pose of the wearable display. When the second module is performed by the processing unit, the processing unit calculates a 3D model according to the first frames, the depth information, the first pose and calibration parameters, and updates the 3D model according to the second frame. The projection unit projects the 3D model and the second frame onto the display unit according to the first pose for being displayed with a real image on the display unit.

Подробнее
05-11-2020 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20200348241A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:capturing, by way of a single shot of a panoramic camera, a first image of a first interior portion of an extreme ultraviolet (EUV) vessel using a first fish-eye camera lens of the panoramic camera and a second image of a second interior portion of the EUV vessel using a second fish-eye camera lens of the panoramic camera;constructing a third image of an entirety of an interior of the EUV vessel using the first image and the second image;comparing the third image to another image that conforms to a defined specification; andbased on the comparing, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the first image captures a first component of the EUV vessel that faces the first fish-eye camera lens claim 1 , and wherein the second image captures a second component of the EUV vessel that faces the second fish-eye camera lens.3. The method of claim 1 , further comprising:while capturing the first image and the second image, providing a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the ...

Подробнее
05-11-2020 дата публикации

DROPLET GENERATOR AND METHOD OF SERVICING EXTREME ULTRAVIOLET RADIATION SOURCE APPARATUS

Номер: US20200348608A1
Принадлежит:

An extreme ultraviolet radiation source apparatus includes a chamber including at least a droplet generator, a nozzle of the droplet generator, and a dry ice blasting assembly. The droplet generator includes a reservoir for a molten metal, and the nozzle has a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. The dry ice blasting assembly includes a blasting nozzle, a blasting air inlet and a blaster carbon dioxide (CO) inlet. The blasting nozzle is disposed inside the chamber. The blasting nozzle is arranged to direct a pressurized air stream and dry ice particles at the nozzle of the droplet generator. 1. A method of cleaning an extreme ultra violet (EUV) radiation source apparatus , wherein the EUV radiation source apparatus comprises:a target droplet generator for generating a metal droplet within a chamber; anda cleaner blasting assembly having a cleaner blasting nozzle and a cleaner supporting member, forming pressurized dry ice particles; and', 'ejecting the pressurized dry ice particles through the cleaner blasting nozzle toward a residual material at a nozzle of the target droplet generator, thereby removing the residual material from the target droplet generator., 'the method comprising2. The cleaning method of claim 1 , wherein forming the pressurized dry ice particles further comprises forming a pressurized air stream from the dry ice supporting member of the cleaner blasting assembly.3. The cleaning method of claim 1 , wherein cleaning the nozzle of the target droplet generator further comprises collecting the residual material and sublimated gaseous carbon dioxide from the pressurized dry ice particles.4. The cleaning method of claim 1 , further comprising:monitoring residual material in the droplet generator;adjusting valves of a blasting pump when an amount of the residual material in the droplet generator is more than a threshold amount; andregulating operating parameters of a blasting ...

Подробнее
31-12-2015 дата публикации

Forming Crown Active Regions for FinFETs

Номер: US20150380315A1
Принадлежит:

A method includes forming a first mask over a substrate through a double patterning process, wherein the first mask comprises a horizontal portion and a plurality of vertical portions protruding over the horizontal portion, and wherein the vertical portions are spaced apart from each other, applying a first etching process to the first mask until a top surface of a portion of the substrate is exposed, applying a second etching process to the substrate to form intra-device openings and inter-device openings, wherein the inter-device openings are formed at the exposed portion of the substrate, filling the inter-device openings and the intra-device openings to form inter-device insulation regions and intra-device insulation regions and etching back the inter-device insulation regions and the intra-device insulation regions to form a plurality of fins protruding over top surfaces of the inter-device insulation regions and the intra-device insulation regions. 1. A method comprising:receiving a semiconductor substrate;etching the semiconductor substrate to simultaneously form a plurality of intra-device openings and a first and a second inter-device opening in the semiconductor substrate, wherein a first depth of the plurality of intra-device openings is less than a second depth of the first and the second inter-device openings;filling the plurality of intra-device openings to form a plurality of intra-device insulation regions, and filling the first and the second inter-device openings to form a first and a second inter-device insulation region, respectively, wherein the step of filling the plurality of intra-device openings and the step of filling the first and the second inter-device openings are performed simultaneously, and wherein the plurality of intra-device insulation regions are between the first and the second inter-device insulation regions;etching back the plurality of intra-device insulation regions and the first and the second inter-device insulation ...

Подробнее
27-12-2018 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20180376575A1
Принадлежит:

An extreme ultra violet (EUV) radiation source apparatus includes a collector, a target droplet generator for generating a tin (Sn) droplet, a rotatable debris collection device and a chamber enclosing at least the collector and the rotatable debris collection device. The rotatable debris collection device includes a first end support, a second end support and a plurality of vanes, ends of which are supported by the first end support and the second end support, respectively. A surface of at least one of the plurality of vanes is coated by a catalytic layer, which reduces a SnHto Sn. 1. A debris collection device for an extreme ultra violet (EUV) radiation source apparatus , comprising:a first end support;a second end support; anda plurality of vanes, ends of which are supported by the first end support and the second end support, respectively,wherein a surface of at least one of the plurality of vanes is coated by a catalytic layer, which reduces a hydride.2. The debris collection device of claim 1 , wherein the hydride is SnH.3. The debris collection device of claim 2 , wherein the catalytic layer includes at least one selected from the group consisting of a ruthenium (Ru) layer claim 2 , a tin (Sn) layer claim 2 , a tin oxide layer and a titanium oxide layer.4. The debris collection device of claim 3 , wherein the catalytic layer includes a Ru layer.5. The debris collection device of claim 3 , wherein a thickness of the catalytic layer is in a range from 2 nm to 50 nm.6. The debris collection device of claim 2 , wherein:the surface of the at least one of the plurality of vanes includes a roughened surface, and the catalytic layer is coated on the roughened surface.7. The debris collection device of claim 6 , wherein the roughened surface includes regularly formed structures.8. The debris collection device of claim 7 , wherein the regularly formed structures include at least one selected from the group consisting of a plurality of projections and a plurality of ...

Подробнее
28-11-2019 дата публикации

Semiconductor device and manufacturing method thereof

Номер: US20190363007A1

A semiconductor device includes an integrated circuit and a guard ring. The integrated circuit includes a first circuit and a second circuit separated from the first circuit. The guard ring is disposed around the first circuit and between the first circuit and the second circuit. The guard ring includes an outer ring, an inner ring, and two connectors. The outer ring is disposed around the first circuit and has a first gap. The inner ring is disposed between the outer ring and the first circuit and has a second gap. The two connectors connect the outer ring and the inner ring. The outer ring, the inner ring, and the two connectors form a closed loop.

Подробнее
03-12-2020 дата публикации

HEAT PIPE, HEAT PIPE ASSEMBLY AND METHOD FOR ASSEMBLING HEAT PIPE ASSEMBLY

Номер: US20200378689A1
Принадлежит:

A heat pipe, a heat pipe assembly and a method for assembling the heat pipe assembly. The heat pipe includes a main body part and an insertion part. The insertion part is connected to the main body part. The main body part and the insertion part together form a single hollow pipe. The insertion part has an outer surface and at least one recessed part formed on the outer surface. 1. A heat pipe , comprising:a main body part; andan insertion part, connected to the main body part, wherein the main body part and the insertion part together form a single hollow pipe;wherein, the insertion part has an outer surface and at least one recessed part formed on the outer surface.2. The heat pipe according to claim 1 , wherein a longitudinal edge of the at least one recessed part is parallel to an axis of the insertion part.3. The heat pipe according to claim 2 , wherein the at least one recessed part extends along an axial direction of the insertion part.4. The heat pipe according to claim 2 , wherein a quantity of the at least one recessed part is plural.5. The heat pipe according to claim 1 , wherein the at least one recessed part is disposed about an axis of the insertion part.6. A heat pipe assembly claim 1 , comprising:a first heat pipe, having an insertion hole; anda second heat pipe, comprising:a main body part; andan insertion part, connected to the main body part, wherein the main body part and the insertion part together form a single hollow pipe, and the insertion part pivotally disposed in the insertion hole of the first heat pipe;wherein, the insertion part has an outer surface and at least one recessed part formed on the outer surface.7. The heat pipe assembly according to claim 6 , wherein a longitudinal edge of the at least one recessed part is parallel to an axis of the insertion part.8. The heat pipe assembly according to claim 7 , wherein the at least one recessed part extends along an axial direction of the insertion part.9. The heat pipe assembly according ...

Подробнее
17-11-2022 дата публикации

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THEREOF

Номер: US20220367672A1

A method of fabricating a semiconductor device is disclosed. The method includes forming semiconductor fins on a substrate. A first dummy gate is formed over the semiconductor fins. A recess is formed in the first dummy gate, and the recess is disposed between the semiconductor fins. A dummy fin material is formed in the recess. A portion of the dummy fin material is removed to expose an upper surface of the first dummy gate and to form a dummy fin. A second dummy gate is formed on the exposed upper surface of the first dummy gate.

Подробнее
24-11-2022 дата публикации

SPEAKER

Номер: US20220377444A1
Принадлежит:

A speaker includes a rubber cabinet, a driver, and a plastic connection ring. The rubber cabinet is fixed to a case of an electronic device. The rubber cabinet accommodates the driver, and the plastic connection ring is connected between the rubber cabinet and the driver. Moreover, the plastic connection ring surrounds the driver, and the rubber cabinet surrounds the plastic connection ring. 1. A speaker comprising:a rubber cabinet fixed to a case of an electronic device;a driver, wherein the rubber cabinet accommodates the driver; anda plastic connection ring connected between the rubber cabinet and the driver, wherein the plastic connection ring surrounds the driver, and the rubber cabinet surrounds the plastic connection ring.2. The speaker of claim 1 , wherein the plastic connection ring comprises a plurality of extension arms spaced apart along an outer periphery of the plastic connection ring.3. The speaker of claim 2 , wherein the extension arms are T-shaped.4. The speaker of claim 1 , wherein each extension arm has a first portion and a second portion claim 1 , and a width of the second portion is smaller than a width of the first portion claim 1 , and the first portion is farther from a center of the plastic connection ring than the second portion.5. The speaker of claim 1 , wherein the rubber cabinet has a bending bottom claim 1 , and a first portion of the bending bottom is fixed to the case and extends outward from the rubber cabinet.6. The speaker of claim 5 , further comprising a double-sided adhesive tape which fixes the bending bottom to the case.7. The speaker of claim 1 , wherein the rubber cabinet has a bending bottom claim 1 , and a second portion of the bending bottom is fixed to the case and extends inward from the rubber cabinet.8. The speaker of claim 7 , further comprising a double-sided adhesive tape which fixes the bending bottom to the case.9. The speaker of claim 1 , wherein the rubber cabinet has a bending bottom claim 1 , a first ...

Подробнее
24-11-2022 дата публикации

HEAT DISSIPATION DEVICE

Номер: US20220377934A1
Принадлежит:

A heat dissipation device includes a case, a first board, a second board, a curved supporting structure, and a fan. The first board is disposed in the case. The curved supporting structure has a curved slot which is located on an inner surface of the curved supporting structure, and the curved slot extends radially with respect to a center of the curved supporting structure. The second board is inserted in the curved slot, and the first board, the second board, and the curved supporting structure collectively form an accommodating space. The fan is fixed to the second board and disposed within the accommodating space. 1. A heat dissipation device comprising:a case;a first board disposed in the case;a curved supporting structure having a curved slot which is disposed on an inner surface of the curved supporting structure, and the curved slot extends radially with respect to a center of the curved supporting structure;a second board inserted in the curved slot, wherein the first board, the second board and the curved supporting structure collectively form an accommodating space; anda fan fixed to the second board and disposed within the accommodating space.2. The heat dissipation device of claim 1 , wherein the curved supporting structure comprises a plurality of protrusion portions claim 1 , airflow channels are respectively defined between any adjacent twos of the protrusion portions claim 1 , and the fan is configured for driving airflows to pass through the airflow channels.3. The heat dissipation device of claim 1 , wherein the second board comprises a plurality of ventilation holes which surround a position where the fan joins the second board claim 1 , the fan is configured to drive airflows to pass through the ventilation holes.4. The heat dissipation device of claim 3 , wherein the second board comprises a plurality of extension arms and a center portion claim 3 , the extension arms surround the center portion and define the ventilation holes claim 3 , and ...

Подробнее
22-12-2022 дата публикации

USE OF INHIBITORS OF ENHANCER OF ZESTE HOMOLOG 2

Номер: US20220401452A1
Принадлежит:

Described here are methods of assessing response of a patient to an EZH2 inhibitor and methods of treating certain cancers by administering therapeutically effective amounts of an EZH2 inhibitor and a PARP-1 inhibitor. 1. A method of treating cancer in a subject in need thereof , the method comprising:administering to the subject a therapeutically effective amount of an Enhancer of Zeste Homolog 2 (EZH2) inhibitor and a poly (ADP-ribose) polymerase 1 inhibitor.2. The method of claim 1 , wherein the cancer in the subject is characterized by elevated levels of expression of one or more of Cell Division Cycle-Associated Protein 3 claim 1 , CDC28 Protein Kinase Regulatory Subunit 2 claim 1 , MutY DNA Glycosylase claim 1 , DNA Polymerase Epsilon 3 claim 1 , and Transforming Acidic Coiled-Coil Containing Protein 3 as compared to normal cells.3. The method of claim 1 , wherein the cancer is prostate cancer.4. The method of claim 1 , wherein the poly (ADP-ribose) polymerase 1 inhibitor is one or more of olaparib claim 1 , rucaparib claim 1 , niraparib (MK4827) claim 1 , talazoparib (BMN673) claim 1 , veliparib (ABT-888) claim 1 , iniparib claim 1 , pamiparib claim 1 , 3-Aminobenzamide (INO-1001) claim 1 , E7016 (GPI21016) claim 1 , CEP-8963 claim 1 , and CEP-9722.5. The method of claim 1 , wherein the poly (ADP-ribose) polymerase 1 inhibitor is olaparib.6. The method of claim 1 , wherein the EZH2 inhibitor is one or more of tazemetostat claim 1 , 3-deazaneplanocin A (DZNep) claim 1 , EPZ005687 claim 1 , EI1 claim 1 , GSK126 claim 1 , EPZ-6438 claim 1 , GSK343 claim 1 , GSK503 claim 1 , CPI-1205 claim 1 , Constellation Compound 3 claim 1 , +OR-52 claim 1 , and +UNC1999.7. The method of claim 1 , wherein the EZH2 inhibitor is tazemetostat.8. The method of claim 1 , wherein the EZH2 inhibitor is 1-[(2S)-Butan-2-yl]-N-[(4 claim 1 ,6-dimethyl-2-oxo-1H-pyridin-3-yl)methyl]-3-methyl-6-(6-piperazin-1-ylpyridin-3-yl)indole-4-carboxamide.9. A method of evaluating response of a ...

Подробнее
03-11-2005 дата публикации

Method and apparatus for a monodiameter wellbore, monodiameter casing, monobore, and/or monowell

Номер: US20050241855A1
Принадлежит: Halliburton Energy Services Inc

An assembly and methods for constructing a MONOWELL includes a monodiameter casing disposed in a monodiameter wellbore having diametric efficiency with a monobore production delivery system disposed within the monodiameter casing. An assembly for constructing a monodiameter wellbore includes a bottomhole assembly having a overgauge hole drilling member, a directional steering assembly, a measurement while drilling tool, and a logging while drilling tool; a work string attached to the bottomhole assembly and extending to the surface; drilling fluids flowing through the work string and bottomhole assembly; chemical casing casing the borehole; expandable casing disposed in the wellbore; and a sealing composition disposed between the expandable casing and the wellbore.

Подробнее