Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 55188. Отображено 100.
09-09-2019 дата публикации

Вакуумная установка для нанесения тонкопленочных покрытий на подложку

Номер: RU0000192228U1

Полезная модель относится к области технологического оборудования для нанесения покрытий, а именно к вакуумному технологическому оборудованию, предназначенному для нанесения тонкопленочных покрытий с заданными оптическими, электрическими и другими характеристиками.Разработана вакуумная установка для нанесения тонкопленочных покрытий, включающая по меньшей мере одну технологическую камеру, установленную на каркасе и снабженную технологическими устройствами, и по меньшей мере одну шлюзовую камеру, установленную на транспортной системе, выполненной с возможностью обеспечения перемещения шлюзовой камеры из позиции загрузки/выгрузки в рабочую позицию под технологической камерой, подложкодержатель для размещения на нем подложки для нанесения тонкопленочного покрытия, выполненный с возможностью вращения вокруг своей оси, устройство подачи для перемещения подложкодержателя из шлюзовой камеры в технологическую камеру, вакуумный затвор, расположенный между камерами и выполненный с возможностью разделения внутреннего объема шлюзовой и технологической камер, устройство стыковки технологической и шлюзовой камер, при этом в верхней части технологической камеры установлен захват, выполненный с возможностью обеспечения фиксации подложкодержателя во внутреннем объеме технологической камеры и его вращения вокруг своей оси во время обработки поверхности подложки.Таким образом, разработана вакуумная установка для нанесения тонкопленочных покрытий, конструкция которой позволяет обеспечить достижение технического результата, заключающегося в сокращении времени осуществления технологического процесса, а также в повышении качества получаемого тонкопленочного покрытия. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 192 228 U1 (51) МПК C23C 14/24 (2006.01) C23C 14/50 (2006.01) C23C 14/56 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (52) СПК C23C 14/24 (2019.02); C23C 14/50 (2019.02); C23C 14/56 (2019.02) (21)(22) Заявка: 2018142966, 04.12. ...

Подробнее
12-01-2012 дата публикации

Method and apparatus for removing photoresist

Номер: US20120006486A1
Принадлежит: Lam Research Corp

A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.

Подробнее
12-01-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120006489A1
Принадлежит: Panasonic Corp

Substrates are contained in substrate containing holes which penetrate a tray in the thickness direction. A dielectric plate in a chamber is provided with a tray supporting surface which supports the lower surface of the tray and substrate placing sections which protrude upward, and has an electrostatic chuck electrode therein. The substrate supporting section which supports the substrate contained in the substrate containing holes is provided with a plurality of protruding sections formed at intervals in the circumferential direction of the substrate containing holes. The substrates are supported in point-contact mode by means of the protruding sections.

Подробнее
12-01-2012 дата публикации

Method and apparatus for stabilizing a coating

Номер: US20120009355A1
Принадлежит: Exatec LLC

A method and apparatus for stabilizing an incidental coating in a substrate coating apparatus is provided. The method includes defining interior surfaces of a coating zone in the substrate coating apparatus. The method may include preheating interior surfaces to a local preheat temperature that is approximately equal to a local coating temperature attained by the surfaces during coating of a substrate, at least partially defining the interior surfaces with a compliant fabric, or at least partially defining the interior surfaces with a compliant fabric and preheating the interior surfaces.

Подробнее
19-01-2012 дата публикации

Methods for forming low stress dielectric films

Номер: US20120015113A1
Принадлежит: Applied Materials Inc

A method for forming a multi-layer silicon oxide film on a substrate includes performing a deposition cycle that comprises depositing a silicon oxide layer using a thermal chemical vapor deposition (CVD) process and depositing a silicon oxide layer using a plasma enhanced chemical vapor deposition (PECVD) process. The deposition cycle is repeated a specified number of times to form the multi-layer silicon oxide film comprising a plurality of silicon oxide layers formed using the thermal CVD process and a plurality of silicon oxide layers formed using the PECVD process. Each silicon oxide layer formed using the thermal CVD process is adjacent to at least one silicon oxide layer formed using the PECVD process.

Подробнее
02-02-2012 дата публикации

Method of growing electrical conductors

Номер: US20120028474A1
Принадлежит: ASM International NV

A method for forming a conductive thin film includes depositing a metal oxide thin film on a substrate by an atomic layer deposition (ALD) process. The method further includes at least partially reducing the metal oxide thin film by exposing the metal oxide thin film to a reducing agent, thereby forming a seed layer. In one arrangement, the reducing agent comprises one or more organic compounds that contain at least one functional group selected from the group consisting of —OH, —CHO, and —COOH. In another arrangement, the reducing agent comprises an electric current.

Подробнее
16-02-2012 дата публикации

Gas-barrier multilayer film

Номер: US20120040107A1
Принадлежит: Sumitomo Chemical Co Ltd

A gas-barrier multilayer film including: a base member; and at least one thin film layer formed on at least one surface of the base member, wherein at least one layer of the thin film layer(s) satisfies at least one of requirements (A) and (B).

Подробнее
16-02-2012 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20120040518A1

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus inhibits deposition on windows or other microwave transmission elements that couple microwave energy to deposition species. The apparatus includes a microwave applicator with conduits passing therethrough that carry deposition species. The applicator transfers microwave energy to the deposition species to transform them to a reactive state conducive to formation of a thin film material. The conduits physically isolate deposition species that would react to form a thin film material at the point of microwave power transfer. The deposition species are separately energized and swept away from the point of power transfer to prevent thin film deposition. The invention allows for the ultrafast formation of silicon-containing amorphous semiconductors that exhibit high mobility, low porosity, little or no Staebler-Wronski degradation, and low defect concentration.

Подробнее
16-02-2012 дата публикации

Method for forming silicon film having microcrystal structure

Номер: US20120040519A1
Автор: Ching-ting Lee

A method for forming a silicon film having a microcrystal structure is provided. The method includes following steps. A plasma-enhanced chemical vapor deposition system having a reaction chamber, a top electrode and a bottom electrode is provided. The top electrode and the bottom electrode are opposite and disposed in the reaction chamber. A substrate is disposed on the bottom electrode. A silane gas is applied into the reaction chamber. A silicon film having a microcrystal structure is formed by simultaneously irradiating the silane gas in the reaction chamber by a carbon dioxide laser and performing a plasma-enhanced chemical vapor deposition step.

Подробнее
23-02-2012 дата публикации

Coating device

Номер: US20120042824A1
Автор: Chung-Pei Wang
Принадлежит: Hon Hai Precision Industry Co Ltd

A coating device includes a main body, a transport device, at least one loader, a driving device. The main body has a top plate, a bottom plate, and a pair of sidewalls connecting the top plate and bottom plate. The sidewalls respectively define an input gate and an output gate. The transport device includes a transport track passing through the input gate and the output gate, and at least one lifting arm mounted on the transport track. Each loader is configured for loading workpieces and includes a rotary shaft, at least one loading frame rotatably connected with the rotary shaft. The driving device is mounted on the top plate. Each lifting arm is configured for clamping a corresponding loader and transporting the corresponding loader to engage with the driving device. The driving device rotates the corresponding loader rotary shaftthrough the rotary shaft of the corresponding loader.

Подробнее
23-02-2012 дата публикации

Plasma processing apparatus, deposition method, method of manufacturing metal plate having dlc film, method of manufacturing separator, and method of manufacturing article

Номер: US20120045591A1
Автор: Ge Xu
Принадлежит: Canon Anelva Corp

A plasma processing apparatus includes a holder holding an object to be processed in a vacuum chamber while being electrically connected to the object, a first take-up portion configured to take up an electrically conductive sheet and set at a potential different from that of the object at the time of plasma processing, and a second take-up portion configured to take up the electrically conductive sheet which is fed from the first take-up portion and passes through a position facing a processing surface of the object held by the holder.

Подробнее
01-03-2012 дата публикации

Wafer Chucking System for Advanced Plasma Ion Energy Processing Systems

Номер: US20120052599A1
Принадлежит: Advanced Energy Industries Inc

Systems, methods and apparatus for regulating ion energies in a plasma chamber and chucking a substrate to a substrate support are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.

Подробнее
15-03-2012 дата публикации

Plasma processing apparatus, plasma processing method and storage medium for storing program for executing the method

Номер: US20120061351A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma processing apparatus including a susceptor 114 , having a substrate mounting portion for mounting thereon a substrate, to which a high frequency power is applied; a focus ring 210 , disposed to surround the substrate mounted on the substrate mounting portion, including an outer ring 214 having a top surface higher than a top surface of the substrate and an inner ring 212 extending inwardly from the outer ring so as to allow at least a part of the inner ring to be positioned below a periphery of the substrate, the outer ring and the inner ring being formed as a single member; a dielectric ring 220 positioned between the focus ring and the susceptor; a dielectric constant varying device 250 for varying a dielectric constant of the dielectric ring.

Подробнее
15-03-2012 дата публикации

Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Номер: US20120064726A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma etching apparatus provided for performing an etching in a desirable shape. The plasma etching apparatus includes a processing chamber 12 for performing a plasma process on a target substrate W; a gas supply unit 13 for supplying a plasma processing gas into the processing chamber 12; a supporting table positioned within the processing chamber 12 and configured to support the target substrate thereon; a microwave generator 15 for generating a microwave for plasma excitation; a plasma generation unit for generating plasma within the processing chamber 12 by using the generated microwave; a pressure control unit for controlling a pressure within the processing chamber 12; a bias power supply unit for supplying AC bias power to the supporting table 14; and a control unit for controlling the AC bias power by alternately repeating supply and stop of the AC bias power.

Подробнее
22-03-2012 дата публикации

Surface wave plasma cvd apparatus and film forming method

Номер: US20120067281A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus includes a waveguide that is connected to a microwave source and formed of a plurality of slot antennae; a dielectric member that introduces microwaves emitted from the plurality of slot antennae into a plasma processing chamber to generate surface wave plasma; a moving device that reciprocatory moves a substrate-like subject of film formation such that the subject of film formation passes a film formation processing region that faces the dielectric member; and a control device that controls the reciprocatory movement of the subject of film formation by the moving device depending on film forming conditions to perform film formation on the subject of film formation.

Подробнее
29-03-2012 дата публикации

Adapter Ring For Silicon Electrode

Номер: US20120073752A1
Автор: Terry Parde
Принадлежит: SunEdison Inc

Methods and systems are provided for retrofitting wafer etching systems. The methods and systems use an adapter ring to retrofit wafer etching systems designed for use with multiple piece electrodes such that single piece electrodes can be used in the etching systems. A portion of the adapter ring is disposed in a receptacle formed in a thermal coupled plate in the wafer etching system. Another portion of the adapter ring is positioned in a channel formed in an upper electrode.

Подробнее
29-03-2012 дата публикации

Electrode plate for plasma etching and plasma etching apparatus

Номер: US20120073753A1
Принадлежит: Tokyo Electron Ltd

An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.

Подробнее
29-03-2012 дата публикации

Electrode and plasma processing apparatus

Номер: US20120073755A1
Автор: Daisuke Hayashi
Принадлежит: Tokyo Electron Ltd

Electric field intensity distribution of a high frequency power for plasma generation can be controlled without generating abnormal electric discharge. There is provided an electrode for a plasma processing apparatus capable of supplying a gas. The electrode may include a base member 105 a made of a dielectric material and having therein a certain space U; a cover 107 for airtightly sealing the space U and isolating the space U from a plasma generation space when the electrode is installed at the plasma processing apparatus; and multiple gas hole tubes 105 e passing through the cover member 107 , the space U and the base member 105 a . Each gas hole tube has a gas hole isolated from the space U.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
29-03-2012 дата публикации

Method and apparatus for multiple-channel pulse gas delivery system

Номер: US20120076935A1
Принадлежит: Individual

A pulse gas delivery system for delivering a sequence of pulses of prescribed amounts of gases to a process tool, comprises: (a) a plurality of channels, each including (i) a gas delivery chamber; (ii) an inlet valve connected so as to control gas flowing into the corresponding gas delivery chamber; and (iii) an outlet valve connected so as to control the amount of gas flowing out of the corresponding gas delivery chamber; and (b) a dedicated multiple channel controller configured so as to control the inlet and outlet valves of each of the channels so that pulses of gases in prescribed amounts can be provided to the process tool in a predetermined sequence in accordance with a pulse gas delivery process.

Подробнее
19-04-2012 дата публикации

Antenna unit for generating plasma and substrate processing apparatus including the same

Номер: US20120090785A1
Автор: Yong-Jun Jang
Принадлежит: Jusung Engineering Co Ltd

An antenna unit for generating a plasma includes: a first antenna including a first incoming portion and a plurality of first sub-antennas divided from the first incoming portion; and a second antenna including a second incoming portion and a plurality of second sub-antennas divided from the second incoming portion, the first and second incoming portions constituting a coaxial line.

Подробнее
26-04-2012 дата публикации

Apparatus for forming a magnetic field and methods of use thereof

Номер: US20120097870A1
Принадлежит: Applied Materials Inc

Apparatus for forming a magnetic field and methods of use thereof are provided herein. In some embodiments, a plurality of coils having substantially similar dimensions disposed about a process chamber in a symmetric pattern centered about a central axis of the process chamber, wherein the plurality of coils are configured to produce a magnetic field having a plurality of magnetic field lines that are substantially planar and substantially parallel. In some embodiments, the plurality of coils comprises eight coils disposed about the process chamber, wherein each of the eight coils is offset by an angle of about 45 degrees from respective adjacent coils of the eight coils.

Подробнее
03-05-2012 дата публикации

Thin-film manufacturing method and apparatus

Номер: US20120107524A1
Принадлежит: Fuji Electric Co Ltd, Kyushu University NUC

A thin-film manufacturing method includes the steps of: generating a plasma from source gas; extracting ions from the plasma; and depositing a thin film on one side or both sides of a substrate to be deposited with the ions. The method is performed in an apparatus including: a plasma chamber generating the plasma; a film deposition chamber accommodating the substrate to be deposited; an ion transfer path for transferring the ions from the plasma chamber to the film deposition chamber; a branch pipe branching from the ion transfer path; and an exhaust system connected to the branch pipe. The thin film is formed while the source gas except the ions is exhausted from the branch pipe.

Подробнее
10-05-2012 дата публикации

View port device for plasma process and process observation device of plasma apparatus

Номер: US20120111269A1

A view port device for a plasma process and a process observation device of a plasma apparatus are provided. The view port device for a plasma process comprises a first substrate portion, a second substrate portion, and a connecting portion. The first substrate portion has a first through hole. The second substrate portion has a second through hole and a second diffusion space. A cross-sectional area of the second diffusion space is larger than that of the second through hole. The connecting portion is disposed between the first substrate portion and the second substrate portion.

Подробнее
17-05-2012 дата публикации

Method for producing diamond-like carbon film body

Номер: US20120121817A1
Принадлежит: NGK Insulators Ltd

Provided is a method of manufacturing a DLC film formed body in which peeling-off of a DLC film is suppressed. In manufacturing a DLC film formed body having a film hardness of 10 GPa or more, prior to the formation of the DLC film, a surface of a base is pretreated with a discharge plasma and a silicon carbide film being an interlayer is formed on the surface of the base. The surface of the base is pretreated by supplying an inside of the chamber with a gas mixture obtained by mixing 1 part by volume or more and 10 parts by volume or less of argon gas into 100 parts by volume of helium gas while adjusting a pressure inside of the chamber in which the base is housed to 20 hPa or higher and an atmospheric pressure or lower, and generating a discharge plasma in the mixed.

Подробнее
24-05-2012 дата публикации

Method of manufacturing semiconductor device, semiconductor device and substrate processing apparatus

Номер: US20120126355A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film.

Подробнее
31-05-2012 дата публикации

Plasma treatment apparatus

Номер: US20120132368A1
Принадлежит: HITACHI LTD

To improve durability of an electric discharge part of a dielectric barrier discharge system, a plasma treatment apparatus is configured so that a plasma source of a corona discharge system is installed in the vicinity of a plasma source of the dielectric barrier discharge system, a plasma generated by corona discharge is used as an auxiliary plasma, and a discharge sustaining voltage of a main plasma generated by the dielectric barrier discharge is reduced.

Подробнее
14-06-2012 дата публикации

Surface wave plasma cvd apparatus and layer formation method

Номер: US20120148763A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus, includes: a waveguide ( 3 ) that is connected to a microwave source ( 2 ), and in which a plurality of slot antennas (S) are formed thereof; a dielectric plate ( 4 ) for conducting microwaves emitted from the plurality of slot antennas (S) into a plasma processing chamber ( 1 ) so that a surface wave plasma is produced; an insulating shield member (lb) that is arranged so as to surround a layer formation processing region (R) in which the surface wave plasma is produced; and a gas ejection portion ( 52 ) that ejects process material gas into the layer formation processing region (R).

Подробнее
21-06-2012 дата публикации

Process for manufacturing a stand-alone thin film

Номер: US20120153527A1

A process for manufacturing stand-alone thin films is provided. The process includes providing a substrate, depositing a carbon-containing sacrificial layer onto the substrate and the depositing a thin film onto the carbon-containing sacrificial layer. Thereafter, the substrate, carbon-containing sacrificial layer and thin film structure are exposed to oxygen at an elevated temperature. The oxygen reacts with the carbon-containing sacrificial layer to produce carbon dioxide and remove carbon from the sacrificial layer, thereby generally burning away the sacrificial layer and affording for an intact stand-alone thin film to separate from the substrate.

Подробнее
21-06-2012 дата публикации

Microwave antenna for generating plasma

Номер: US20120153825A1
Принадлежит: Korea Basic Science Institute KBSI

The present invention relates to the new structure antenna to create the uniform large area plasma using microwave. The microwave antenna to create the plasma of present invention comprises the waveguide, main body of antenna and the coaxial structure connecting part which connects said waveguide and said main body of antenna electrically, the main body of antenna comprises the conductive block in donut shape forming multiple slots, and notches are formed between the multiple slots of the conductive block and multiple permanent magnets are inserted into the notches. The multiple slots can be formed by passing through the inside and outside of the conductive block and the multiple slots can be formed with repetitive square wave pattern.

Подробнее
28-06-2012 дата публикации

External Heating of Substrate Tubes in Plasma Chemical Vapor Deposition Processes

Номер: US20120160167A1
Принадлежит: OFS FITEL LLC

A PCVD apparatus including an insulative covering disposed to surround at least a portion of the substrate tube and provide external heating of the substrate tube during the deposition process. The insulative covering functions to capture and retain the external thermal energy created by the plasma process. As a result, the areas of the substrate tube that are removed from the current location of the plasma absorb this captured thermal energy and remain at an essentially constant temperature until the next pass of the work coil.

Подробнее
28-06-2012 дата публикации

Plasma processing apparatus and substrate processing method

Номер: US20120160809A1
Принадлежит: Tokyo Electron Ltd

A microwave supply unit 20 of a plasma processing apparatus 11 includes a stub member 51 configured to be extensible from the outer conductor 33 toward the inner conductor 32 . The stub member 51 serves as a distance varying device for varying a distance in the radial direction between a part of the outer surface 36 of the inner conductor 32 and a facing member facing the part of the outer surface of the inner conductor 32 in the radial direction, i.e., the cooling plate protrusion 47 . The stub member 51 includes a rod-shaped member 52 supported at the outer conductor 33 and configured to be extended in the radial direction; and a screw 53 as a moving distance adjusting member for adjusting a moving distance of the rod-shaped member 52 in the radial direction.

Подробнее
28-06-2012 дата публикации

Thin film forming method, thin film forming apparatus, and program

Номер: US20120164847A1
Принадлежит: Tokyo Electron Ltd

A control unit heats a reaction pipe to a load temperature by controlling a temperature-raising heater 16, and then makes semiconductor wafers received in the reaction pipe. Next, the control unit heats the reaction pipe in which the semiconductor wafers are received to a film formation temperature by controlling the temperature-raising heater, and then forms thin films on the semiconductor wafers by supplying a film forming gas into the reaction pipe from a process gas introducing pipe. Also, the control unit sets the load temperature to a temperature higher than the film formation temperature.

Подробнее
12-07-2012 дата публикации

Plasma cvd apparatus

Номер: US20120174864A1
Принадлежит: Kobe Steel Ltd

The disclosed plasma CVD apparatus ( 1 ) is provided with a vacuum chamber ( 3 ); a pair of deposition rollers ( 2, 2 ) disposed within the vacuum chamber ( 3 ) that are connected to both poles of an AC power supply and around which a substrate (W) is wound; a gas-supplying device ( 5 ) that supplies process gas containing a source gas to a deposition zone (D) which is a portion of or all of the region that is on one side of a line linking the centers of rotation of the pair of deposition rollers ( 2, 2 ); and a magnetic-field-generating device ( 7 ) that, by means of the AC power supply being applied to each of the deposition rollers ( 2, 2 ), forms a magnetic field that causes the source gas in a predetermined region to become plasma. The magnetic-field-generating device ( 7 ) causes the source gas in the region adjacent to the surface of the portion of the pair of deposition rollers ( 2, 2 ) located within the deposition zone (D) to become plasma, forming a plasma region (P). The substrate (W) is wound around the pair of deposition rollers ( 2, 2 ) so as to pass through the plasma region (P).

Подробнее
12-07-2012 дата публикации

Plasma vapor deposition system and method for making multi-junction silicon thin film solar cell modules and panels

Номер: US20120178202A1
Автор: Mohd Aslami
Принадлежит: Individual

A plasma vapor deposition system for making multi-junction silicon thin film solar cell modules and panels including a flexible substrate disposed about and removably supported by a dual-walled cylindrical substrate support for axially rotating the flexible substrate about its longitudinal axis, the dual-walled cylindrical substrate support comprising an inner wall spaced apart by an outer wall to define a coaxial cavity; a plasma vapor deposition torch located substantially adjacent to the flexible substrate for depositing at least one thin film material layer on an outer surface of the flexible substrate; and a traversing platform for supporting the rotatable substrate support relative to the plasma vapor deposition torch, the rotatable substrate support being traversed along its longitudinal axis by the traversing platform.

Подробнее
19-07-2012 дата публикации

Semiconductor device manufacturing method

Номер: US20120184107A1
Принадлежит: Tokyo Electron Ltd

In a semiconductor device manufacturing method, the formation of a sacrificial oxide film and removal thereof by wet etching and/or the formation of a silicon dioxide film and removal thereof by wet etching are performed. In the process for manufacturing a semiconductor device, the formation of the sacrificial oxide film and/or the silicon dioxide film is performed within a processing chamber of a plasma processing apparatus using a plasma in which O( 1 D 2 ) radicals produced using a processing gas that contains oxygen are dominant.

Подробнее
26-07-2012 дата публикации

Electrostatic remote plasma source

Номер: US20120187844A1
Принадлежит: Advanced Energy Industries Inc

This disclosure describes systems, methods, and apparatus for capacitively coupling energy into a plasma to ignite and sustain the plasma within a remote plasma source. The power is provided by a first electrode that at least partially surrounds or is surrounded by a second electrode. The second electrode can be grounded or floating. First and second dielectric components can be arranged to separate one or both of the electrodes from the plasma and thereby DC isolate the plasma from one or both of the electrodes.

Подробнее
26-07-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120190207A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus performs plasma process by using a hydrogen radical generated by plasma-exciting a process gas containing hydrogen on a substrate to be processed. A high-frequency antenna includes an antenna device that is configured to resonate at a half-wavelength of high-frequency power applied from the high-frequency power source by opening two ends of the antenna device and grounding a center point of the antenna device. A barrier wall member for separating a plasma generating chamber and a plasma processing chamber includes a plurality of plate-shaped members having a plurality of openings through which the hydrogen radical passes, formed of an insulating material through which UV light does not pass, and overlapping each other at a predetermined interval, wherein the openings of one plate-shaped member are provided not to overlap the openings of another plate-shaped member.

Подробнее
02-08-2012 дата публикации

Gas distribution plate with discrete protective elements

Номер: US20120193456A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention provide a gas distribution plate assembly having protective elements for plasma processing. The gas distribution plate assembly includes a base plate having a front side and a backside, and a plurality of protective elements in direct contact with the base plate. The protective elements cover the front side of the base plate to protect the base plate from a plasma processing environment during use.

Подробнее
02-08-2012 дата публикации

Semiconductor device and method for manufacturing same

Номер: US20120193633A1
Принадлежит: Sharp Corp

A method for fabricating a semiconductor device according to the present invention includes the steps of: (a) providing a substrate ( 11 a ) in a chamber ( 26 ); (b) supplying a microwave into the chamber ( 26 ) through a dielectric plate ( 24 ), of which one surface that faces the chamber is made of alumina, thereby depositing a microcrystalline silicon film ( 14 ) with an aluminum concentration of 1.0×10 16 atoms/cm 3 or less on the substrate ( 11 a ) by high-density plasma CVD process; and (c) making a thin-film transistor that uses the microcrystalline silicon film as its active layer. As a result, a semiconductor device including a TFT that uses a microcrystalline silicon film with a mobility of more than 0.5 cm 2 /Vs as its active layer is obtained.

Подробнее
02-08-2012 дата публикации

Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure

Номер: US20120196450A1
Принадлежит: Applied Materials Inc

Stress of a silicon nitride layer may be enhanced by deposition at higher temperatures. Employing an apparatus that allows heating of a substrate to substantially greater than 400° C. (for example a heater made from ceramic rather than aluminum), the silicon nitride film as-deposited may exhibit enhanced stress allowing for improved performance of the underlying MOS transistor device. In accordance with some embodiments, a deposited silicon nitride film is exposed to curing with plasma and ultraviolet (UV) radiation, thereby helping remove hydrogen from the film and increasing film stress. In accordance with other embodiments, a silicon nitride film is formed utilizing an integrated process employing a number of deposition/curing cycles to preserve integrity of the film at the sharp corner of the underlying raised feature. Adhesion between successive layers may be promoted by inclusion of a post-UV cure plasma treatment in each cycle.

Подробнее
09-08-2012 дата публикации

Substrate mounting mechanism, and substrate processing

Номер: US20120199573A1
Принадлежит: Tokyo Electron Ltd

A substrate mounting mechanism on which a target substrate is placed is provided. The substrate mounting mechanism includes a heater plate, which has a substrate mounting surface on which the target substrate is placed and has a heater embedded therein to heat the substrate to a deposition temperature at which a film is deposited. The substrate mounting mechanism also includes a temperature control jacket, which is formed to cover at least a surface of the heater plate other than the substrate mounting surface and adjusts the temperature to a non-deposition temperature below the deposition temperature.

Подробнее
16-08-2012 дата публикации

Measuring and controlling wafer potential in pulsed rf bias processing

Номер: US20120206127A1
Принадлежит: Individual

Apparatus and methods are provided for monitoring a pulsed RF bias signal applied to a chuck in a processing chamber. One method includes operations for detecting voltage values of individual pulses of the pulsed RF bias voltage, and for determining the time for sampling the value of each individual detected pulse. At the sampling time for each pulse, a particular voltage value of the respective individual detected pulse is sampled and the particular voltage value is held. Each particular voltage value represents a characteristic peak-to-peak voltage value of each individual detected pulse. A feedback signal representing the characteristic peak-to-peak voltage value for a voltage envelope of one of the individual detected pulses is generated, and the voltage of the pulsed RF bias voltage signal applied to the chuck is adjusted according to a difference between the feedback signal and a desired voltage value of the pulsed RF bias voltage signal.

Подробнее
30-08-2012 дата публикации

Composition and method for low temperature deposition of ruthenium

Номер: US20120216712A1
Принадлежит: Veeco Instruments Inc

Composition and method for depositing ruthenium. A composition containing ruthenium tetroxide RuO 4 is used as a precursor solution 608 to coat substrates 400 via ALD, plasma enhanced deposition, and/or CVD. Periodic plasma densification may be used.

Подробнее
06-09-2012 дата публикации

Plasma system and method of producing a functional coating

Номер: US20120222617A1
Принадлежит: Individual

A plasma system has at least one inductively coupled high-frequency plasma jet source having a burner body delimiting a plasma generating space, having an outlet orifice for the plasma jet, and a chamber communicating with the plasma jet source through the outlet orifice, having a substrate situated in the chamber, where it is exposed to the plasma jet. The substrate is situated on a substrate electrode to which an electric voltage may be applied. In addition, a method of producing a functional coating on the substrate using such a plasma system is also described. In a preferred embodiment, during operation of the plasma system, both the plasma jet and the electric voltage on the substrate electrode are pulsed and/or a pressure gradient is maintained between the interior of the plasma jet source and the interior of the chamber.

Подробнее
06-09-2012 дата публикации

Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use

Номер: US20120222620A1
Автор: Joseph Yudovsky
Принадлежит: Applied Materials Inc

Provided are atomic layer deposition apparatus and methods including a rotating wheel with a plurality of substrate carriers for continuous processing of substrates. The processing chamber may have a loading station on the front end which is configured with one or more robots to load and unload substrates from the substrate carriers without needing to stop the rotating wheel.

Подробнее
13-09-2012 дата публикации

Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Номер: US20120231626A1
Принадлежит: Applied Materials Inc

The invention provides a method of forming a film stack on a substrate, comprising performing a silicon containing gas soak process to form a silicon containing layer over the substrate, reacting with the silicon containing layer to form a tungsten silicide layer on the substrate, depositing a tungsten nitride layer on the substrate, subjecting the substrate to a nitridation treatment using active nitrogen species from a remote plasma, and depositing a conductive bulk layer directly on the tungsten nitride layer.

Подробнее
13-09-2012 дата публикации

Plasma generating apparatus and plasma etching method using the same

Номер: US20120231631A1
Автор: Hongseub KIM
Принадлежит: JEHARA CORPARATION

A plasma generating apparatus and a plasma etching method are provided. The apparatus includes a chamber, a barrier, a susceptor, and a Radio Frequency (RF) power. The chamber forms a reaction space isolated from the external. The barrier divides the chamber into an upper chamber and a lower chamber. The barrier has a plurality of through-holes through formed to communicate the upper chamber and the lower chamber. The susceptor is installed in the lower chamber. The RF power supplies a bias power to the susceptor.

Подробнее
13-09-2012 дата публикации

Sensor for measuring plasma parameters

Номер: US20120232817A1
Принадлежит: Individual

A method of measuring ion current between a plasma and an electrode in communication with the plasma is disclosed. A time-varying voltage at the electrode and a time- varying current through the electrode are measured. The method comprise recording, for each of a plurality of voltage values, v′, a plurality, n, of current values I(v′); and obtaining from the current and voltage values a value of the ion current. The electrode is insulated from the plasma by an insulating layer, so that the current values lack a DC component. The method includes performing a mathematical transform effective to: express the current and voltage values as a relationship between the real component of current through the electrode and the voltage, thereby eliminating a capacitive contribution to the current through the electrode; isolate from the real component of current through the electrode an isolated contribution attributable to an ion current and a resistive term, the contribution being free of any electron current contribution; and determine from the isolated contribution a value of ion current.

Подробнее
20-09-2012 дата публикации

Graphene synthesis chamber and method of synthesizing graphene by using the same

Номер: US20120234240A1
Автор: Dong-kwan Won, Won-Sik Nam
Принадлежит: NPS CORP, Samsung Techwin Co Ltd

A graphene synthesis chamber includes: a chamber case in which a substrate including a metal thin film is placed; a gas supply unit which supplies at least one gas comprising a carbon gas into an inner space of the chamber case; a main heating unit which emits at least one light to the inner space to heat the substrate; and at least one auxiliary heating unit which absorbs the at least one light and emits radiant heat toward the substrate.

Подробнее
04-10-2012 дата публикации

Plasma processing apparatus and microwave introduction device

Номер: US20120247676A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a microwave introduction device which introduces a microwave into a process chamber. The microwave introduction device includes a plurality of microwave transmitting plates which is fitted into a plurality of openings of a ceiling. The microwave transmitting plates are arranged on one virtual plane parallel to a mounting surface of a mounting table, with the microwave transmitting plates fitted into the respective openings. The microwave transmitting plates includes first to third microwave transmitting plates. The first to third microwave transmitting plates are arranged in such a manner that a distance between the center point of the first microwave transmitting window and the center point of the second microwave transmitting window becomes equal or approximately equal to a distance between the center point of the first microwave transmitting window and the center point of the third microwave transmitting window.

Подробнее
04-10-2012 дата публикации

Apparatus and method for atomic layer deposition

Номер: US20120248219A1
Принадлежит: Lam Research Corp

A proximity heads for dispensing reactants and purging gas to deposit a thin film by Atomic Layer Deposition (ALD) includes a plurality of sides. Extending over a portion of the substrate region and being spaced apart from the portion of the substrate region when present, the proximity head is rotatable so as to place each side in a direction of the substrate region, and is disposed in a vacuum chamber coupled to a carrier gas source to sustain a pressure for the proximity head during operation. Each side of the proximity head includes a gas conduit through which the reactant gas and the purging gas are sequentially dispensed, and at least two separate vacuum conduits on each side of the gas conduit to pull excess reactant gas, purging gas, or deposition byproducts from a reaction volume between a surface of the proximity head facing the substrate and the substrate.

Подробнее
04-10-2012 дата публикации

Method for modifying metal cap layers in semiconductor devices

Номер: US20120252210A1
Автор: Kazuhito Tohnoe
Принадлежит: Tokyo Electron Ltd

A method for forming a semiconductor device with improved electromigration (EM) and stress migration (SM) properties. The method includes providing a planarized patterned substrate containing a copper (Cu) metal surface and a low-k dielectric layer surface, selectively depositing a metal cap layer on the Cu metal surface, and modifying the metal cap layer by exposing the metal cap layer to a process gas containing ammonia (NH 3 ) gas without plasma excitation. The method further includes forming a dielectric barrier film on the modified metal cap layer and on the dielectric layer surface, and exposing the dielectric barrier film to a gaseous oxidizing environment, where the dielectric barrier film and the modified metal cap layer prevent oxidation of the Cu metal surface when the dielectric barrier film is exposed to the gaseous oxidizing environment.

Подробнее
11-10-2012 дата публикации

Metalorganic chemical vapor deposition (mocvd) process and apparatus to produce multi-layer high-temperature superconducting (hts) coated tape

Номер: US20120258863A1
Принадлежит: Superpower Inc

An MOCVD apparatus and process for producing multi-layer HTS-coated tapes with increased current capacity which includes multiple liquid precursor sources, each having an associated pump and vaporizer, the outlets of which feed a multiple compartment showerhead apparatus within an MOCVD reactor. The multiple compartment showerhead apparatus is located in close proximity to an associated substrate heater which together define multiple deposition sectors in a deposition zone.

Подробнее
25-10-2012 дата публикации

Film deposition method and apparatus

Номер: US20120269969A1
Принадлежит: Tokyo Electron Ltd

A film deposition method of depositing a thin film by alternately supplying at least a first source gas and a second source gas to a substrate is disclosed. The film deposition method includes steps of evacuating a process chamber where the substrate is accommodated, without supplying any gas to the process chamber; supplying an inert gas to the process chamber until a pressure within the process chamber becomes a predetermined pressure; supplying the first source gas to the process chamber filled with the inert gas at the predetermined pressure without evacuating the process chamber; stopping supplying the first source gas to the process chamber and evacuating the process chamber; supplying the second source gas to the process chamber; and stopping supplying the second source gas to the process chamber and evacuating the process chamber.

Подробнее
01-11-2012 дата публикации

Plasma Processing Apparatus

Номер: US20120273136A1
Принадлежит: Individual

A plasma processing apparatus includes a processing chamber, a sample stage, a radio-frequency power supply which enables generation of plasma in the processing chamber, and at least one induction coil. The induction coil is formed by connecting a plurality of identical coil elements so that a same radio-frequency voltage is applied to each of the plurality of identical coil elements, and each input terminals of the identical coil elements is displaced at intervals of an angle calculated by dividing 360° by the number of identical coil elements. Continuous conductor portions of the identical coil elements are formed on different adjacent surfaces of the annular ring and constituted so as to be displaced from one another for a predetermined angle at a time so as to extend along a circumferential direction of the different adjacent surfaces of the annular ring.

Подробнее
01-11-2012 дата публикации

Hardmask materials

Номер: US20120276752A1
Принадлежит: Individual

Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about −600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of Si x B y C z , Si x B y N z , Si x B y C z N w , B x C y , and B x N y . In some embodiments, a hardmask film includes a germanium-rich GeN x material comprising at least about 60 atomic % of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.

Подробнее
08-11-2012 дата публикации

Plasma Processing Chamber Having Electrodes for Cleaning Chamber

Номер: US20120279659A1
Автор: Rajinder Dhindsa
Принадлежит: Lam Research Corp

Plasma processing chamber having a bottom electrode assembly is disclosed. The assembly has an inner bottom electrode for supporting a substrate and an outer bottom electrode disposed outside of the inner bottom electrode. The outer bottom electrode defines a region for chamber cleaning, and the outer bottom electrode includes a conductive ring and an inductive coil placed under the conductive ring. Further included is a dielectric material disposed between the inner bottom electrode and the outer bottom electrode, and the dielectric material separates the inner bottom electrode from the outer bottom electrode. A switch is provided for connecting radio frequency (RF) power to either the inner bottom electrode or the outer bottom electrode. The chamber also includes a top electrode assembly with a top electrode. The top electrode is disposed above both the inner and outer bottom electrodes.

Подробнее
08-11-2012 дата публикации

Method for manufacturing semiconductor device, substrate processing apparatus, and semiconductor device

Номер: US20120280369A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

There is provided a method for manufacturing a semiconductor device, comprising simultaneously or alternately exposing a substrate, which has two or more kinds of thin films having different elemental components laminated or exposed; and performing different modification treatments to the thin films respectively.

Подробнее
22-11-2012 дата публикации

Methods For Monitoring Growth Of Semiconductor Layers

Номер: US20120293813A1
Принадлежит: Kopin Corp

Deposition of a thin film is monitored by illuminating the thin film with an incident beam during deposition of the thin film, wherein at least a portion of the incident beam reflects off the thin film to yield a reflected beam; measuring intensity of the reflected beam from the thin film during growth of the thin film to obtain reflectance; and curve-fitting at least part of an oscillation represented by the reflectance data to obtain information about at least one of thickness, growth rate, composition, and doping of the thin film.

Подробнее
29-11-2012 дата публикации

Vapor Delivery Device, Methods of Manufacture And Methods of Use Thereof

Номер: US20120298207A1
Принадлежит: Rohm and Haas Electronic Materials LLC

A method comprises transporting a first stream of a carrier gas to a delivery device that contains a solid precursor compound. The first stream of carrier gas is at a temperature greater than or equal to 20° C. The method further comprises transporting a second stream of the carrier gas to a point downstream of the delivery device. The first stream and the second stream are combined to form a third stream, such that the dewpoint of the vapor of the solid precursor compound in the third stream is lower than the ambient temperature. The flow direction of the first stream, the flow direction of the second stream and the flow direction of the third stream are unidirectional and are not opposed to each other.

Подробнее
29-11-2012 дата публикации

Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead

Номер: US20120301616A1
Принадлежит: Intermolecular Inc

A multi-zone, combinatorial, single wafer showerhead is used to concurrently develop hardware, materials, unit processes, and unit process sequences. The multi-zone, combinatorial, single wafer showerhead utilizes showerhead pucks to perform process sequences on isolated regions of a single substrate. The showerhead pucks are designed so that they are easily interchangeable to allow the characterization of the interaction between hardware characteristics, process parameters, and their influence on the result of the process sequence.

Подробнее
06-12-2012 дата публикации

Gas distribution system for ceramic showerhead of plasma etch reactor

Номер: US20120305190A1
Принадлежит: Lam Research Corp

A gas delivery system for a ceramic showerhead includes gas connection blocks and a gas ring, the gas connection blocks mounted on the gas ring such that gas outlets in the blocks deliver process gas to gas inlets in an outer periphery of the showerhead. The gas ring includes a bottom ring with channels therein and a welded cover plate enclosing the channels. The gas ring can include a first channel extending ½ the length of the gas ring, two second channels connected at midpoints thereof to downstream ends of the first channel, and four third channels connected at midpoints thereof to downstream ends of the second channels. the cover plate can include a first section enclosing the first channel, two second sections connected at midpoints thereof to ends of the first section, and third sections connected at midpoints thereof to ends of the second sections. The channels are arranged such that the process gas travels equal distances for a single gas inlet in the gas ring to eight outlets in the cover ring allowing equal gas flow.

Подробнее
13-12-2012 дата публикации

Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium

Номер: US20120315394A1
Автор: Shozo Ito
Принадлежит: Tokyo Electron Ltd

A film forming apparatus for forming a film on an object includes: a processing container; gas supply means, having gas jet ports, respectively; a holding means for holding the object; a drive mechanism for moving the holding means relative to the gas jet ports; and a control means which, when repeating P times a cycle, consisting of a supply period for supplying a gas and a supply stop period during which the supply of the gas is stopped, performs control so that as viewed from the center of the object, a gas supply starting position is sequentially shifted in the circumferential direction of the object for every cycle in such a manner that the entire circumference of the object to be processed is divided into K segments (K=P), K being an arbitrary division number, and the gas supply starting position is shifted by one segment for every cycle.

Подробнее
13-12-2012 дата публикации

Crystalline silicon film forming method and plasma cvd apparatus

Номер: US20120315745A1
Принадлежит: Tokyo Electron Ltd

A high-quality crystalline silicon film can be formed at a high film forming rate by performing a plasma CVD process. In a crystalline silicon film forming method for forming a crystalline silicon film on a surface of a processing target object by using a plasma CVD apparatus for introducing microwave into a processing chamber through a planar antenna having a multiple number of holes and generating plasma, the crystalline silicon film forming method includes generating plasma by exciting a film forming gas containing a silicon compound represented as Si n H 2n+2 (n is equal to or larger than 2) by the microwave; and depositing a crystalline silicon film on the surface of the processing target substrate by performing the plasma CVD process with the plasma.

Подробнее
20-12-2012 дата публикации

Chemical vapor deposition apparatus

Номер: US20120322168A1
Принадлежит: Individual

System and method for forming one or more materials. The system includes a susceptor component configured to rotate around a central axis, and a showerhead component that is located above the susceptor component and not in direct contact with the susceptor component. Additionally, the system includes one or more substrate holders located on the susceptor component and configured to rotate around the central axis and also rotate around corresponding holder axes respectively, and a central component. Moreover, the system includes one or more first inlets formed within the central component, one or more second inlets, and one or more third inlets formed within the showerhead component and located farther away from the central component than the one or more second inlets.

Подробнее
20-12-2012 дата публикации

Deposition of thin film dielectrics and light emitting nano-layer structures

Номер: US20120322181A1
Автор: Jean-Paul Noel, Ming Li
Принадлежит: Group IV Semiconductor Inc

A method is disclosed for deposition of thin film dielectrics, and in particular for chemical vapour deposition of nano-layer structures comprising multiple layers of dielectrics, such as, silicon dioxide, silicon nitride, silicon oxynitride and/or other silicon compatible dielectrics. The method comprises post-deposition surface treatment of deposited layers with a metal or semiconductor source gas, e.g. a silicon source gas. Deposition of silicon containing dielectrics preferably comprises silane-based chemistry for deposition of doped or undoped dielectric layers, and surface treatment of deposited dielectric layers with silane. Surface treatment provides dielectric layers with improved layer-to-layer uniformity and lateral continuity, and substantially atomically flat dielectric layers suitable for multilayer structures for electroluminescent light emitting structures, e.g. active layers containing rare earth containing luminescent centres. Doped or undoped dielectric thin films or nano-layer dielectric structures may also be provided for other semiconductor devices.

Подробнее
27-12-2012 дата публикации

Integrated process modulation for psg gapfill

Номер: US20120325773A1
Принадлежит: Applied Materials Inc

A method of depositing a phosphosilicate glass (PSG) film on a substrate disposed in a substrate processing chamber includes depositing a first portion of the PSG film over the substrate using a high-density plasma process. Thereafter, a portion of the first portion of the PSG film may be etched back. The etch back process may include flowing a halogen precursor to the substrate processing chamber, forming a high-density plasma from the halogen precursor, and terminating flowing the halogen precursor after the etch back. The method also includes flowing a halogen scavenger to the substrate processing chamber to react with residual halogen in the substrate processing chamber, and exposing the first portion of the PSG film to a phosphorus-containing gas to provide a substantially uniform phosphorus concentration throughout the first portion of the PSG film.

Подробнее
27-12-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120325777A1
Принадлежит: Panasonic Corp

A base material is placed on a base material placement face of a base material placement table. An inductively coupled plasma torch unit is structured with a cylindrical chamber structured with a cylinder made of an insulating material and provided with a rectangular slit-like plasma jet port, and lids closing opposing ends of the cylinder, a gas jet port that supplies gas into the cylindrical chamber, and a solenoid coil that generates a high frequency electromagnetic field in the cylindrical chamber. By a high frequency power supply supplying a high frequency power to the solenoid coil, plasma is generated in the cylindrical chamber, and the plasma is emitted from the plasma jet port to the base material. While relatively shifting the plasma torch unit and the base material placement table, a base material surface can be subjected to heat treatment.

Подробнее
03-01-2013 дата публикации

Method and device for plasma-treating workpieces

Номер: US20130004682A1
Принадлежит: KHS CORPOPLAST GMBH

The method and device are used to plasma-treat workpieces. The workpiece is inserted into a chamber of a treatment station that can be at least partially evacuated. The plasma chamber is bounded by a chamber bottom, a chamber cover, and a lateral chamber wall. The method process is optically monitored at least at times. In the optical monitoring, spectral lines of the radiation of the plasma above 500 nanometers are evaluated. Preferably, the evaluation is performed for frequencies above 700 nanometers.

Подробнее
03-01-2013 дата публикации

Method of protecting patterned magnetic materials of a stack

Номер: US20130004736A1
Принадлежит: SEAGATE TECHNOLOGY LLC

The embodiments disclose a method of protecting patterned magnetic materials of a stack, including depositing a thin continuous film of an inert material that is inert to the magnetic materials of a patterned stack upon which the thin continuous film is being deposited and forming a thin interim interface layer from the thin continuous film to protect top and sidewall areas of non-etched higher relief magnetic islands and magnetic film etched surfaces of the patterned stack from air exposure damage and damage from contact with backfilled materials.

Подробнее
03-01-2013 дата публикации

Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode

Номер: US20130006555A1
Принадлежит: Advanced Energy Industries Inc

Methods and apparatuses are disclosed for measuring electrical characteristics of power that is applied to a plasma processing chamber when the electrical generator operates in a pulsing mode, when the electrical generator operates in a variable frequency mode, and when the electrical generator operates in both a pulsing mode and in a variable frequency mode concurrently.

Подробнее
10-01-2013 дата публикации

Plasma processing apparatus

Номер: US20130008609A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode disposed to face each other, a high frequency power supply unit for applying a high frequency power to either the first electrode or the second electrode, a processing gas supply unit for supplying a processing gas to a processing space, and a main dielectric member provided at a substrate mounting portion on a main surface of the first electrode. A focus ring is attached to the first electrode to cover a peripheral portion of the main surface of the first electrode and a peripheral dielectric member is provided in a peripheral portion on the main surface of the first electrode so that an electrostatic capacitance per unit area applied between the first electrode and the focus ring is smaller than that applied between the first electrode and the substrate by the main dielectric member.

Подробнее
10-01-2013 дата публикации

Methods for automatically determining capacitor values and systems thereof

Номер: US20130008871A1
Автор: Arthur H. Sato
Принадлежит: Individual

A method for automatically performing power matching using a mechanical RF match during substrate processing is provided. The method includes providing a plurality of parameters for the substrate processing wherein the plurality of parameters including at least a predefined number of learning cycles. The method also includes setting the mechanical RF match to operate in a mechanical tuning mode. The method further includes providing a first set of instructions to the substrate processing to ignore a predefined number of cycles of Rapid Alternating Process RAP steps. The method yet also includes operating the mechanical RF match in the mechanical tuning mode for the predefined number of learning cycles. The method yet further includes determining a set of optimal capacitor values. The method moreover includes providing a second set of instructions to a power generator to operate in a frequency tuning mode.

Подробнее
10-01-2013 дата публикации

Silicon oxide film forming method and plasma oxidation apparatus

Номер: US20130012033A1
Принадлежит: Tokyo Electron Ltd

A silicon oxide film forming method includes forming a silicon oxide film by allowing a plasma of a processing gas to react on a silicon exposed on a surface of a target object to be processed in a processing chamber of a plasma processing apparatus. The processing gas includes an ozone-containing gas having a volume ratio of O 3 to a total volume of O 2 and O 3 , ranging 50% or more.

Подробнее
17-01-2013 дата публикации

Substrate processing apparatus

Номер: US20130014895A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus can suppress an edge gas from being diffused toward a center region of a substrate. An upper electrode 200 serving as a gas introducing unit configured to supply one kind of gas or different kinds of gases to a center region and an edge region of the substrate includes a center gas inlet section 204 having a multiple number of gas holes 212 for a center gas; and an edge gas inlet section 206 having a multiplicity of gas holes 214 for an edge gas. By providing a gas hole formation plate 230 having gas holes 232 communicating with the gas holes 214 at a bottom surface of the edge gas inlet section 206, a vertical position of edge gas discharging openings can be adjusted.

Подробнее
17-01-2013 дата публикации

Load lock control method and apparatus

Номер: US20130017317A1
Принадлежит: Individual

Method and apparatus for controlling evacuation pressure of a load lock connected to a processing chamber uses prior pressure changes detected in the processing chamber when the load lock communicates with the processing chamber.

Подробнее
17-01-2013 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20130017686A1
Принадлежит: ROHM CO LTD

A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality 0.7× n /4≦ D ≦1.3× n /4 ( n being a natural number).

Подробнее
17-01-2013 дата публикации

Plasma nitriding method and plasma nitriding apparatus

Номер: US20130017690A1
Принадлежит: Tokyo Electron Ltd

In a plasma nitriding method, a processing gas containing nitrogen gas and rare gas is introduced into a processing chamber of a plasma processing apparatus by setting a flow rate thereof as a total flow rate [mL/min(sccm)] of the processing gas per 1 L volume of the processing chamber within a range from 1.5 (mL/min)/L to 13 (mL/min)/L. Further, a nitriding process is performed on oxygen-containing films of target objects to be processed by generating a nitrogen-containing plasma in the processing chamber and while exchanging the target objects.

Подробнее
24-01-2013 дата публикации

Plasma nitriding method

Номер: US20130022760A1
Принадлежит: Tokyo Electron Ltd

A plasma nitriding method includes performing a high nitrogen-dose plasma nitriding process on an object having an oxide film by introducing a processing gas containing a nitrogen gas into a processing chamber of a plasma processing apparatus and generating a plasma containing a high nitrogen dose; and performing a low nitrogen-dose plasma nitriding process on the object by generating a plasma containing a low nitrogen dose. After the performing the high nitrogen-dose plasma nitriding process is completed, a plasma seasoning process is performed in the chamber by generating a nitrogen plasma containing a trace amount of oxygen by introducing a rare gas, a nitrogen gas and an oxygen gas into the chamber and setting a pressure in the chamber in a range from about 532 Pa to 833 Pa and a volume flow rate ratio of the oxygen gas in all the gases in a range from about 1.5% to 5%.

Подробнее
24-01-2013 дата публикации

Thin film manufacturing apparatus, thin film manufacturing method and method for manufacturing semiconductor device

Номер: US20130023062A1
Принадлежит: Individual

In an apparatus for manufacturing a ceramic thin film by employing a thermal CVD method, an internal jig, which is provided with a heat radiation material film on the surface, is provided at a position that faces a substrate (S) on which the film is to be formed. The thin film and a semiconductor device are manufactured using such apparatus.

Подробнее
31-01-2013 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20130029492A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing method and a plasma processing apparatus in which a stable process region can be ensured in a wide range, from low microwave power to high microwave power. The plasma processing method includes making production of plasma easy in a region in which production of plasma by continuous discharge is difficult, and plasma-processing an object to be processed, with the generated plasma, wherein the plasma is produced by pulsed discharge in which ON and OFF are repeated, radio-frequency power for producing the pulsed discharge, during an ON period, is a power to facilitate production of plasma by continuous discharge, and a duty ratio of the pulsed discharge is controlled so that an average power of the radio-frequency power per cycle is power in the region in which production of plasma by continuous discharge is difficult.

Подробнее
07-02-2013 дата публикации

Gasket with positioning feature for clamped monolithic showerhead electrode

Номер: US20130034967A1
Принадлежит: Individual

An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.

Подробнее
14-02-2013 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20130037755A1
Автор: Stanford R. Ovshinsky
Принадлежит: Stanford R. Ovshinsky

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus avoids deposition on windows that couple microwave energy to deposition species. The apparatus includes a microwave applicator with one or more conduits that carry deposition species. The applicator transfers microwave energy to the deposition species to energize them to a reactive state. The conduits physically isolate deposition species that would react or otherwise combine to form a thin film material at the point of microwave power transfer and deliver the microwave-excited species to a deposition chamber. Supplemental material streams may be delivered to the deposition chamber without passing through the microwave applicator and may combine with deposition species exiting the conduits to form a thin film material. Precursors for the microwave-excited deposition species include fluorinated forms of silicon. Precursors for supplemental material streams include hydrogenated forms of silicon.

Подробнее
28-02-2013 дата публикации

Photoresist strip processes for improved device integrity

Номер: US20130048014A1
Принадлежит: Novellus Systems Inc

Provided herein are methods and apparatus of hydrogen-based photoresist strip operations that reduce dislocations in a silicon wafer or other substrate. According to various embodiments, the hydrogen-based photoresist strip methods can employ one or more of the following techniques: 1) minimization of hydrogen budget by using short processes with minimal overstrip duration, 2) providing dilute hydrogen, e.g., 2%-16% hydrogen concentration, 3) minimization of material loss by controlling process conditions and chemistry, 4) using a low temperature resist strip, 5) controlling implant conditions and concentrations, and 6) performing one or more post-strip venting processes. Apparatus suitable to perform the photoresist strip methods are also provided.

Подробнее
28-02-2013 дата публикации

Capacitive cvd reactor and methods for plasma cvd process

Номер: US20130048216A1

A decoupled capacitive CVD reactor is described, which provides improved CVD capabilities, including processing at lower temperatures, performing alternating deposition and etching steps, and performing in situ cleaning of the chamber, without the need for a remote plasma source. Two RF frequencies are coupled to the susceptor, while the anode is grounded. The high frequency RF source is operated so as to control the plasma density, while the low frequency RF source is operated to control species bombardment on the substrate, so as to control the properties of the film being deposited. Additionally, both RF sources may be controlled, together with selection of gasses supplied to the chamber, to operate the chamber either in deposition mode, partial etch mode, etching mode, or cleaning mode.

Подробнее
07-03-2013 дата публикации

Nickel film forming method

Номер: US20130059079A1
Автор: Hideki Yuasa
Принадлежит: Tokyo Electron Ltd

In a nickel film forming method, an initial Ni film is formed on a substrate by a chemical vapor deposition (CVD) process by using a nickel-containing compound having a molecular structure in which a ligand containing a nitrogen-carbon bond is included and nitrogen of the ligand coordinates with nickel as a film forming source material and at least one selected from ammonia, hydrazine, and derivatives thereof as a reduction gas. Further, a main Ni film is formed on the initial Ni film by CVD by using the nickel-containing compound as the film forming source material and hydrogen gas as the reduction gas.

Подробнее
14-03-2013 дата публикации

Roll-To-Roll PVD System and Method to Manufacture Group IBIIIAVIA Photovoltaics

Номер: US20130061803A1
Принадлежит: SoloPower, Inc.

The present inventions provide method and apparatus that employ constituents from one or more constituent supply source or sources to form one or more films of a precursor layer formed on a surface of a continuous flexible workpiece. Of particular significance is the implementation of PVD systems that operate upon a horizontally disposed portion of a continuous flexible workpiece and a vertically disposed portion of a continuous flexible workpiece, preferably in conjunction with a short free-span zone of the portion of a continuous flexible workpiece. 1. A roll-to-roll PVD deposition system for depositing a plurality of films of Group IA and Group VIA materials on a front surface of a continuous sheet shaped workpiece that is advanced in a process direction , comprising:a process housing through which the continuous sheet shaped workpiece is advanced between an entrance opening and an exit opening of the process housing, the process housing including a first process section located by a horizontal peripheral wall of the process housing and a second process section located by a vertical peripheral wall of the process housing, wherein the first process section is associated with a horizontally disposed portion of the continuous sheet shaped workpiece and the second process section is associated with a vertically disposed portion of the continuous sheet shaped workpiece;a workpiece tensioning and drive assembly for advancing the continuous sheet shaped workpiece in the process direction between the entrance opening and the exit opening of the process housing;a first PVD unit disposed at the first process section to continuously deposit a first film onto the horizontally disposed portion of the continuous sheet shaped workpiece by vertically directing a first material toward the first process section as the continuous sheet shaped workpiece is advanced through the at least first PVD unit; anda second PVD unit disposed at the second process section to continuously ...

Подробнее
14-03-2013 дата публикации

Discharge electrode array for thin-film solar cell deposition

Номер: US20130063018A1

A discharge electrode array for a silicon-based thin film solar cell deposition chamber is provided, relating to solar cell technologies. The discharge electrode array includes a signal feed component having a rectangular-shaped end, a flat waist corresponding to a feed-in port located in a hallowed rectangular area on a center region of a cathode plate having a shielding cover, connecting a feed-in power supply signal by surface contact. The electrode array includes at least a set of cathode plates and an anode plate, with two cathode plates sharing or surrounding one anode plate. Uniform large area and stable discharge driven by the RF/VHF power supply signal can be achieved, and the standing wave and the skin effect can be effectively removed. The production efficiency can be improved and the cost can be reduced.

Подробнее
14-03-2013 дата публикации

CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM

Номер: US20130065402A1
Автор: Kameda Kenji, URANO Yuji
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

A method of cleaning an inside of a processing chamber is provided according to an embodiment of the present disclosure. The method includes supplying a fluorine-based gas and a nitrogen oxide-based gas as the cleaning gas, into the processing chamber heated to a first temperature, and removing a deposit by a thermochemical reaction. The method further includes changing a temperature in the processing chamber to a second temperature higher than the first temperature, and supplying the fluorine-based gas and the nitrogen oxide-based gas as the cleaning gas, and removing extraneous materials, remaining on the surface of the member in the processing chamber, by a thermochemical reaction. 1. A method of cleaning an inside of a processing chamber by supplying a cleaning gas into the processing chamber after performing a process of forming a thin film on a substrate in the processing chamber , the method comprising:supplying a fluorine-based gas and a nitrogen oxide-based gas or a fluorine-based gas and a nitrogen oxide-based gas diluted with an inert gas, as the cleaning gas, into the processing chamber heated to a first temperature, and removing a deposit, including the thin film deposited on a surface of a member in the processing chamber, by a thermochemical reaction;changing a temperature in the processing chamber to a second temperature higher than the first temperature; andsupplying the fluorine-based gas and the nitrogen oxide-based gas or the fluorine-based gas and the nitrogen oxide-based gas diluted with the inert gas, as the cleaning gas, into the processing chamber heated to the second temperature, and removing extraneous materials, remaining on the surface of the member in the processing chamber after removing the deposit including the thin film by a thermochemical reaction.2. The method of claim 1 , wherein a flow rate ratio of the nitrogen oxide-based gas to the fluorine-based gas is set to be a first flow rate ratio in the removing of the deposit ...

Подробнее
21-03-2013 дата публикации

Activated Silicon Precursors For Low Temperature Deposition

Номер: US20130071580A1
Принадлежит: Applied Materials, Inc.

Provided are processes for the low temperature deposition of silicon-containing films using activated SiH-containing precursors. The SiH-containing precursors may have reactive functionality such as halogen or cyano moieties. Described are processes in which halogenated or cyanated silanes are used to deposit SiN films. Plasma processing conditions can be used to adjust the carbon, hydrogen and/or nitrogen content of the films. 1. A method of forming a layer on a substrate surface , the method comprising:providing a substrate surface reactive to halides or cyano moieties;exposing the substrate surface reactive to halides or cyano moieties to a halogenated or cyanated carbosilane precursor including carbon, silicon and hydrogen to form a layer containing carbon, silicon and hydrogen;at least partially dehydrogenating the layer containing carbon, silicon and hydrogen; andnitriding the layer containing carbon, silicon and hydrogen.2. The method of claim 1 , wherein nitriding the layer comprises exposing the layer containing carbon claim 1 , silicon and hydrogen to a nitrogen-containing plasma.3. The method of claim 1 , wherein the substrate surface is at a temperature less than about 200° C. during forming the layer.4. The method of claim 1 , wherein the carbosilane precursor is monohalogenated.6. The method of claim 1 , wherein the carbosilane precursor contains a bridging methylene group claim 1 , wherein the carbon in the methylene group is bonded to two silicon atoms.7. The method of claim 1 , wherein the carbosilane precursor is selected from the group consisting of 1 claim 1 ,3-disilapropane claim 1 , 1 claim 1 ,3 claim 1 ,5-trisilapentane claim 1 , 1 claim 1 ,3-disilabutane claim 1 , 1 claim 1 ,3-disilacyclobutane and 1 claim 1 ,3 claim 1 ,5-trisilacyclohexane.8. The method of claim 1 , wherein dehydrogenating the layer containing carbon claim 1 , silicon and hydrogen comprises exposing the layer containing carbon claim 1 , silicon and hydrogen to a plasma ...

Подробнее
21-03-2013 дата публикации

Plasma cvd method, method for forming silicon nitride film and method for manufacturing semiconductor device

Номер: US20130072033A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus generates plasma by introducing microwaves into a processing chamber by using a planar antenna having a plurality of slots. By using the plasma processing apparatus, a nitrogen containing gas and a silicon containing gas introduced into the processing chamber are brought into the plasma state, and at the time of depositing by using the plasma a silicon nitride film on the surface of the a substrate to be processed, stress to the silicon nitride film to be formed is controlled by the combination of the type and the processing pressure of the nitrogen containing gas.

Подробнее
28-03-2013 дата публикации

Microwave processing apparatus and method for processing object to be processed

Номер: US20130075389A1
Автор: Mitsutoshi ASHIDA
Принадлежит: Tokyo Electron Ltd

A microwave processing apparatus includes a processing chamber which accommodates an object; a microwave introducing unit for generating microwaves used to process the object and introducing the microwaves into the processing chamber; and a control unit for controlling the microwave introducing unit. The microwave introducing unit includes microwave sources to generate the microwaves and introduces parts of the microwaves into the processing chamber simultaneously, and the control unit controls the microwave sources such that arbitrary combinations of the microwave sources alternately repeat a simultaneous microwave generating state and a microwave non-generating state during a state of processing the object.

Подробнее
04-04-2013 дата публикации

Transformer-coupled rf source for plasma processing tool

Номер: US20130082599A1
Автор: Kamal Hadidi, Rajesh Dorai

A RF source and method are disclosed which inductively create a plasma within an enclosure without an electric field or with a significantly decreased creation of an electric field. A ferrite material with an insulated wire wrapped around its body is used to efficiently channel the magnetic field through the legs of the ferrite. This magnetic field, which flows between the legs of the ferrite can then be used to create and maintain a plasma. In one embodiment, these legs rest on a dielectric window, such that the magnetic field passes into the chamber. In another embodiment, the legs of the ferrite extend into the processing chamber, thereby further extending the magnetic field into the chamber. This ferrite can be used in conjunction with a PLAD chamber, or an ion source for a traditional beam line ion implantation system.

Подробнее
04-04-2013 дата публикации

VACUUM PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20130084408A1
Принадлежит: MITSUBISHI HEAVY INDUSTRIES, LTD.

A vacuum processing apparatus includes a discharge chamber with a ridge waveguide having an exhaust-side ridge electrode and a substrate-side ridge electrode between which a plasma is formed; a pair of converters, which convert high-frequency power into TE mode, which represents the basic transmission mode of rectangular waveguides, for transmission to the discharge chamber, and form a plasma between the exhaust-side ridge electrode and the substrate-side ridge electrode; a uniform heating temperature controller, which is disposed on the outer surface of the substrate-side ridge electrode and heats the electrode uniformly; and a heat-absorbing temperature control unit, which is disposed on the outer surface of the exhaust-side ridge electrode and controls thermal flux through the thickness direction of a substrate undergoing plasma processing. The substrate is disposed between the exhaust-side ridge electrode and the substrate-side ridge electrode, and subjected to plasma processing. 1. A vacuum processing apparatus comprising:a discharge chamber composed of a ridge waveguide having first and second ridge electrodes, which are formed with a planar shape, are positioned in parallel in a mutually opposing arrangement, and between which a plasma is formed,a pair of converters, which are positioned adjacent to both ends of the discharge chamber, are composed of a ridge waveguide having a pair of ridge portions that are positioned in parallel in a mutually opposing arrangement, convert a high-frequency power supplied from a high-frequency power source to a basic transmission mode of a rectangular waveguide for transmission to the discharge chamber, and form a plasma between the first and second ridge electrodes,a uniform heating temperature controller, which is disposed on an outer surface of the second ridge electrode, and controls a temperature of the second ridge electrode,a heat-absorbing temperature control unit, which is disposed on an outer surface of the first ...

Подробнее
04-04-2013 дата публикации

Method and Device for Atmospheric Pressure Plasma Treatment

Номер: US20130084409A1
Принадлежит: VITO NV

A continuous plasma treatment process, said process comprising the steps of: providing a plasma treatment apparatus, said apparatus comprising at least one plasma treatment zone, said plasma treatment zone comprising a pair of electrodes with endless dielectric belts each having a first and a second side and each covering an electrode of said pair of electrodes; producing a non-thermal plasma in a process gas at a pressure of 100 Pa to 1 MPa in the space between said pair of endless dielectric belt-covered electrodes by applying a voltage between said electrode pair; providing a web material to be treated such that it can be transported by said two endless dielectric belts in such a way that there is an area in the plane of said belt at least about 5 mm on either side of said web material on the side of said endless dielectric belts with no part thereof facing one electrode of said pair of electrodes; transporting said web material using said endless dielectric belts in frictional contact with said electrodes such that the side of said web material not in contact with said endless dielectric belts is treated in two passes through said at least one plasma treatment zone while at the same time the exposed parts of the endless dielectric belts transporting said web material are also treated; and cleaning said parts of said endless dielectric belts treated with said plasma using a cleaning station outside said plasma treatment zone prior to said endless dielectric belts re-entering said plasma treatment zone, wherein said electrodes have a length in the transport direction of said endless dielectric belts of at least 10 mm; and an apparatus comprising: at least one plasma treatment zone, said plasma treatment zone comprising a pair of electrodes with endless dielectric belts each having a first and a second side and each covering an electrode of said pair of electrodes; a voltage power supply for each plasma treatment zone connected to said pair of electrodes such that ...

Подробнее
04-04-2013 дата публикации

Method for diffusing metal particles within a composite layer

Номер: US20130084410A1

The invention relates to a method for diffusing metal particles included in a composite layer previously deposited on a substrate, said composite layer further including at least one dielectric matrix. According to this method, the diffusion of said metal particles towards said substrate is achieved by means of a plasma treatment.

Подробнее
04-04-2013 дата публикации

Temporary arc inducement of glass substrate during diffusive transport deposition

Номер: US20130084668A1
Принадлежит: Primestar Solar Inc

Apparatus for vapor deposition of a sublimated source material as a thin film on a photovoltaic module substrate is generally provided. The apparatus can include a deposition head; a distribution plate disposed below said distribution manifold and above an upper surface of a substrate transported through said apparatus and defining a pattern of passages therethrough; and, a carrying mechanism configured to transport the substrate in a machine direction under the distribution plate such that an upper surface of the substrate defines an arc in a cross-direction that is substantially perpendicular to the machine direction. Processes are also generally provided for vapor deposition of a sublimated source material to form thin film on a photovoltaic module substrate.

Подробнее
11-04-2013 дата публикации

Methods for in-situ chamber clean utilized in an etching processing chamber

Номер: US20130087174A1
Принадлежит: Applied Materials Inc

Embodiments of the invention include methods for in-situ chamber dry cleaning a plasma processing chamber utilized for gate structure fabrication process in semiconductor devices. In one embodiment, a method for in-situ chamber dry clean includes supplying a first cleaning gas including at least a boron containing gas into a processing chamber in absence of a substrate disposed therein, supplying a second cleaning gas including at least a halogen containing gas into the processing chamber in absence of the substrate, and supplying a third cleaning gas including at least an oxygen containing gas into the processing chamber in absence of the substrate.

Подробнее
18-04-2013 дата публикации

Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants

Номер: US20130095255A1
Принадлежит: AIR PRODUCTS AND CHEMICALS, INC.

A chemical vapor deposition method for producing a porous organosilica glass film comprising: introducing into a vacuum chamber gaseous reagents including at least one precursor selected from the group consisting of an organosilane and an organosiloxane, and a porogen that is distinct from the precursor, wherein the porogen is a Cto Ccyclic hydrocarbon compound having a non-branching structure and a degree of unsaturation equal to or less than 2; applying energy to the gaseous reagents in the vacuum chamber to induce reaction of the gaseous reagents to deposit a preliminary film on the substrate, wherein the preliminary film contains the porogen; and removing from the preliminary film substantially all of the labile organic material to provide the porous film with pores and a dielectric constant less than 2.6. 1. A chemical vapor deposition method for producing a porous organosilica glass film represented by the formula SiOCHF , where v+w+x+y+z=100% , v is from 10 to 35 atomic % , w is from 10 to 65 atomic % , x is from 5 to 30 atomic % , y is from 10 to 50 atomic % and z is from 0 to 15 atomic % , said method comprising:providing a substrate within a vacuum chamber;{'sub': 4', '14, 'introducing into the vacuum chamber gaseous reagents including at least one precursor selected from the group consisting of an organosilane and an organosiloxane, and a porogen that is distinct from the precursor, wherein the porogen is a Cto Ccyclic hydrocarbon compound having a non-branching structure and a degree of unsaturation equal to or less than 2;'}applying energy to the gaseous reagents in the vacuum chamber to induce reaction of the gaseous reagents to deposit a preliminary film on the substrate, wherein the preliminary film contains the porogen; andremoving from the preliminary film substantially all of the labile organic material to provide the porous film with pores and a dielectric constant less than 2.6.2. The method of wherein the dielectric constant is less than 2.2.3. ...

Подробнее