Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 6491. Отображено 100.
02-02-2012 дата публикации

Radiation-sensitive resin composition, method for forming resist pattern, polymer and compound

Номер: US20120028189A1
Автор: Mitsuo Sato, Yusuke Asano
Принадлежит: JSR Corp

A radiation-sensitive resin composition includes (A) a fluorine-containing compound that includes a group shown by the following formula (1), and (B) a photoacid generator. wherein R C represents a (p+1)-valent aromatic ring group, Q represents a linking group obtained by removing one hydrogen atom from a monovalent hydrophilic group, R E represents a hydrogen atom or a hydrocarbon group having 1 to 10 carbon atoms, p is an integer from 1 to 5, provided that a plurality of Q and a plurality of R E may respectively be either the same or different when p is an integer from 2 to 5, and “*” indicates a bonding hand.

Подробнее
02-02-2012 дата публикации

Method of forming pattern and organic processing liquid for use in the method

Номер: US20120028196A1
Принадлежит: Fujifilm Corp

An embodiment of the method of forming a pattern, comprises (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) processing the exposed film with an organic processing liquid, wherein the processing liquid contains an organic solvent whose normal boiling point is 175° C. or higher, the organic solvent being contained in the processing liquid in a content of less than 30 mass %.

Подробнее
09-02-2012 дата публикации

Resist polymer and resist composition

Номер: US20120034561A1
Принадлежит: Mitsubishi Rayon Co Ltd

The resist polymer of the present invention comprises a specific constitutional unit having a cyano group, a constitutional unit having an acid-dissociable group, and a specific constitutional unit having a lactone skeleton. When the above polymer is used as a resist resin in DUV excimer laser lithography or electron beam lithography, it exhibits high sensitivity and high resolution, and provides a good resist pattern shape, having a small degree of occurrence of line edge roughness or generation of microgels.

Подробнее
01-03-2012 дата публикации

Nitrogen-containing organic compound, chemically amplified positive resist composition, and patterning process

Номер: US20120052441A1
Принадлежит: Shin Etsu Chemical Co Ltd

An aralkylcarbamate of imidazole base is effective as the quencher. In a chemically amplified positive resist composition comprising the carbamate, deprotection reaction of carbamate takes place by reacting with the acid generated upon exposure to high-energy radiation, whereby the composition changes its basicity before and after exposure, resulting in a pattern profile with advantages including high resolution, rectangular shape, and minimized dark-bright difference.

Подробнее
22-03-2012 дата публикации

Resin, resist composition and method for producing resist pattern

Номер: US20120070778A1
Принадлежит: Sumitomo Chemical Co Ltd

A resin having a structural unit derived from a compound represented by the following formula (I), wherein R 1 , A 1 and ring X 1 are as defined in the instant specification:

Подробнее
22-03-2012 дата публикации

Copolymer for positive type lithography, polymerization initiator used in production of said copolymer, and composition for semiconductor lithography

Номер: US20120071638A1
Принадлежит: Maruzen Petrochemical Co Ltd

A copolymer for positive type lithography, having at least a recurring unit (A) having a structure wherein an alkali-soluble group is protected by an acid-dissociating, dissolution-suppressing group, represented by the following formula (A) [in the formula (A), R 10 is a hydrogen atom or a hydrocarbon group which may be substituted by fluorine atom; R 11 is a crosslinked, alicyclic hydrocarbon group; n is an integer of 0 or 1; and R 12 is an acid-dissociating, dissolution-suppressing group], and a terminal structure (B) having a structure wherein an alkali-soluble group is protected by an acid-dissociating, dissolution-suppressing group, represented by the following formula (B) [in the formula (B), R 21 is a hydrocarbon group which may contain nitrogen atom; R 22 is an acid-dissociating, dissolution-suppressing group; and p is a site of bonding with copolymer main chain].

Подробнее
29-03-2012 дата публикации

Resist composition, resist film therefrom and method of forming pattern therewith

Номер: US20120076996A1
Принадлежит: Fujifilm Corp

Provided is a resist composition, including (A) a resin that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, (B) a compound that when exposed to actinic rays or radiation, generates an acid, the compound being any of those of general formulae (I) and (II) below, (C) a resin containing at least either a fluorine atom or a silicon atom, and (D) a mixed solvent containing a first solvent and a second solvent, at least either the first solvent or the second solvent exhibiting a normal boiling point of 200° C. or higher.

Подробнее
29-03-2012 дата публикации

Actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film therefrom and method of forming pattern

Номер: US20120076997A1
Принадлежит: Fujifilm Corp

Provided is an actinic-ray- or radiation-sensitive resin composition, including a resin comprising a repeating unit (A), the a repeating unit (A) containing a structural moiety (S1) that when acted on by an acid, is decomposed to thereby generate an alkali-soluble group and a structural moiety (S2) that when acted on by an alkali developer, is decomposed to thereby increase its rate of dissolution in the alkali developer, and a repeating unit (B) that when exposed to actinic rays or radiation, generates an acid.

Подробнее
05-04-2012 дата публикации

Radiation-sensitive resin composition, polymer and compound

Номер: US20120082934A1
Принадлежит: JSR Corp

[Problem] To reduce the time required for a film to exhibit decreased hydrophobicity after liquid immersion lithography while allowing the surface of a film to exhibit high hydrophobicity during liquid immersion lithography. [Solution] A radiation-sensitive resin composition including (A) a polymer that includes a repeating unit (a1) and a fluorine atom, and (B) a photoacid generator, the repeating unit (a1) including a group shown by any of the following formulas (1-1) to (1-3).

Подробнее
19-04-2012 дата публикации

Radiation-sensitive resin composition, polymer, and method for forming resist pattern

Номер: US20120094234A1
Принадлежит: JSR Corp

The radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation sensitive acid generator. The first polymer includes a repeating unit represented by formula (1). The second polymer includes an acid labile group and is dissociated by an action of acid so that alkali solubility is given by dissociation of said acid labile group. R 1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. R 2 represents a single bond or a divalent linear, branched or cyclic, saturated or unsaturated hydrocarbon group having 1-20 carbon atoms. X represents a fluorine atom-substituted methylene group or a linear or branched fluoroalkylene group having 2-20 carbon atoms. R 3 represents a hydrogen atom or a monovalent organic group.

Подробнее
10-05-2012 дата публикации

Resist composition for immersion exposure, method of forming resist pattern, and fluorine-containing resin

Номер: US20120116038A1
Принадлежит: Individual

A resist composition for immersion exposure including: a base component (A) which exhibits changed solubility in an alkali developing solution under the action of acid; an acid-generator component (B) which generates acid upon exposure; and a fluorine-containing resin component (F); dissolved in an organic solvent (S), the fluorine-containing resin component (F) including a structural unit (f1) containing a fluorine atom, a structural unit (f2) containing a hydrophilic group-containing aliphatic hydrocarbon group, and a structural unit (f3) derived from an acrylate ester containing a tertiary alkyl group-containing group or an alkoxyalkyl group.

Подробнее
17-05-2012 дата публикации

Compositions comprising base-reactive component and processes for photolithography

Номер: US20120122030A1

New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that comprise one or more base reactive groups and (i) one or more polar groups distinct from the base reactive groups, and/or (ii) at least one of the base reactive groups is a non-perfluorinated base reactive group. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.

Подробнее
24-05-2012 дата публикации

Sulfonium salt-containing polymer, resist composition, patterning process, and sulfonium salt monomer and making method

Номер: US20120129103A1
Принадлежит: Shin Etsu Chemical Co Ltd

A sulfonium salt having a 4-fluorophenyl group is introduced as recurring units into a polymer comprising hydroxyphenyl (meth)acrylate units and acid labile group-containing (meth)acrylate units to form a polymer which is useful as a base resin in a resist composition. The resist composition has a high sensitivity, high resolution and minimized LER.

Подробнее
19-07-2012 дата публикации

Chemically amplified positive resist composition and patterning process

Номер: US20120184100A1
Принадлежит: Shin Etsu Chemical Co Ltd

A chemically amplified positive resist composition comprising (A) a substantially alkali insoluble polymer having an acidic functional group protected with an acid labile group, (B) an acid generator, and (C) a perfluoroalkyl ethylene oxide adduct or a nonionic fluorinated organosiloxane compound is coated, exposed to UV radiation having a wavelength of at least 150 nm, and developed. The composition has advantages of uniformity and minimized edge crown upon coating, and no scum formation after development.

Подробнее
09-08-2012 дата публикации

Radiation-sensitive resin composition

Номер: US20120202150A1
Принадлежит: JSR Corp

A radiation-sensitive resin composition includes an acid-labile group-containing polymer and photoacid generator. The radiation-sensitive resin composition is used to form a resist pattern using a developer that includes an organic solvent in an amount of 80 mass % or more. The radiation-sensitive resin composition has a contrast value γ of 5.0 to 30.0. The contrast value γ is calculated from a resist dissolution contrast curve obtained when developing the radiation-sensitive resin composition using the organic solvent.

Подробнее
30-08-2012 дата публикации

Chemically amplified negative resist composition and patterning process

Номер: US20120219888A1
Принадлежит: Shin Etsu Chemical Co Ltd

A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition exhibits a high resolution and forms a negative resist pattern of a profile with minimized LER and undercut.

Подробнее
30-08-2012 дата публикации

Resist composition and method for producing resist pattern

Номер: US20120219906A1
Принадлежит: Sumitomo Chemical Co Ltd

A resist composition of the invention includes: (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator represented by the formula (II), wherein R 1 , A 1 , R 2 , Q 1 , Q 2 , L 1 , ring W 1 , and Z + are defined in the specification.

Подробнее
13-09-2012 дата публикации

Salt, resist composition and method for producing resist pattern

Номер: US20120231392A1
Принадлежит: Sumitomo Chemical Co Ltd

A salt represented by the formula (I) and a resist composition containing the salt are provided, wherein Q 1 , Q 2 , L 1 , ring W 1 , R e1 , R e2 , R e3 , R e4 , R e5 , R e6 , R e7 , R e8 , R e9 , R e10 , R e11 , R e12 , R e13 and Z are defined in the specification.

Подробнее
18-10-2012 дата публикации

Resist composition and method for producing resist pattern

Номер: US20120264059A1
Принадлежит: Sumitomo Chemical Co Ltd

A resist composition includes (A) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator having a structure to be cleaved by the action of an alkaline developer, and (C) a compound represented by the formula (I), wherein R 1 and R 2 in each occurrence independently represent a C 1 to C 12 hydrocarbon group, a C 1 to C 6 alkoxyl group, a C 2 to C 7 acyl group, a C 2 to C 7 acyloxy group, a C 2 to C 7 alkoxycarbonyl group, a nitro group or a halogen atom; m and n independently represent an integer of 0 to 4.

Подробнее
25-10-2012 дата публикации

Resin and photoresist composition comprising same

Номер: US20120270154A1
Принадлежит: Sumitomo Chemical Co Ltd

The present invention provides a resin comprising a structural unit derived from a compound represented by the formula (I): wherein R 1 represents a hydrogen atom or a methyl group, A 2 represents a divalent fluorine-containing C1-C12 hydrocarbon group, and A 1 represents a group represented by the formula (a-g1): A 10 -X 10  s A 11 -  (a-g1) wherein A 10 is independently in each occurrence a C1-C5 aliphatic hydrocarbon group, A 11 represents a C1-C5 aliphatic hydrocarbon group, X 10 is independently in each occurrence —O—, —CO—, —CO—O— or —O—CO—, and s represents an integer of 0 to 2, and a photoresist composition comprising the resin and an acid generator.

Подробнее
01-11-2012 дата публикации

Method of forming resist pattern and negative tone-development resist composition

Номер: US20120276481A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A method of forming a resist pattern, the method including: forming a resist film on a substrate using a resist composition containing a base component (A) that exhibits reduced solubility in an organic solvent under the action of acid, an acid generator component (B) that generates acid upon exposure and a fluorine-containing polymeric compound (F), exposing the resist film, and patterning the resist film by negative tone development using a developing solution containing the organic solvent, thereby forming a resist pattern, wherein the base component (A) contains a resin component (A1) containing a structural unit (a1) derived from an acrylate ester, the dissolution rates of (A1) and (F) in the developing solution are each at least 10 nm/s, and the absolute value of the difference in the dissolution rates of (A1) and (F) in the developing solution is not more than 80 nm/s.

Подробнее
20-12-2012 дата публикации

Device, thin film transistor, method for manufacturing the device and method for manufacturing the thin film transistor

Номер: US20120319090A1
Принадлежит: Panasonic Corp, Sumitomo Chemical Co Ltd

A problem of the present invention is to provide a device having good characteristics and long life, wherein a functional thin film is formed in a desired region by a coating method; a thin film transistor; a method for producing the device; and a method for producing the thin film transistor. This problem can be solved by a device comprising: a substrate, a first electrode formed on the substrate, a functional thin film formed above the first electrode, and a second electrode disposed above the functional thin film, characterized by further comprising, in a region surrounding the region where the functional thin film is formed, a film containing a compound in which a group containing fluorine and a π-conjugated system are bound together by a cycloalkene structure or a cycloalkane structure.

Подробнее
24-01-2013 дата публикации

Resist composition and method for producing resist pattern

Номер: US20130022924A1
Принадлежит: Sumitomo Chemical Co Ltd

A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator, wherein R 1 , A 1 , A 13 , A 14 , X 12 , R 3 , R 4 , m′ and n′ are defined in the specification.

Подробнее
24-01-2013 дата публикации

Resist composition and method for producing resist pattern

Номер: US20130022928A1
Принадлежит: Sumitomo Chemical Co Ltd

A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator having an acid labile group, wherein R 1 , A 1 , A 13 , A 14 , X 12 are defined in the specification.

Подробнее
07-02-2013 дата публикации

CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION FOR ArF IMMERSION LITHOGRAPHY AND PATTERN FORMING PROCESS

Номер: US20130034813A1
Принадлежит: Shin Etsu Chemical Co Ltd

A chemically amplified positive resist composition comprising (A) a sulfonium salt of 3,3,3-trifluoro-2-hydroxy-2-trifluoromethylpropionic acid, (B) an acid generator, (C) a base resin, and (D) an organic solvent is suited for ArF immersion lithography. The carboxylic acid sulfonium salt is highly hydrophobic and little leached out in immersion water. By virtue of controlled acid diffusion, a pattern profile with high resolution can be constructed.

Подробнее
14-03-2013 дата публикации

Positive resist composition and patterning process

Номер: US20130065179A1
Принадлежит: Shin Etsu Chemical Co Ltd

There is disclosed a positive resist composition comprising (A) a specific resin (B) a photo acid generator, (C) a basic compound, and (D) a solvent. There can be a positive resist composition having, in a photolithography using a high energy beam such as an ArF excimer laser beam as a light source, an excellent resolution, especially excellent depth of focus (DOF) characteristics with an excellent pattern profile, and in addition, in formation of a contact hole pattern, giving a pattern having excellent circularity and high rectangularity; and a patterning process using this positive resist composition.

Подробнее
14-03-2013 дата публикации

Fluorine-Containing Sulfonate, Fluorine-Containing Sulfonate Resin, Resist Composition and Pattern Formation Method

Номер: US20130065182A1
Принадлежит: Central Glass Co Ltd

According to the present invention, there is provided a fluorine-containing sulfonate resin having a repeating unit of the following general formula (3). In order to prevent deficiency such as roughness after pattern formation or failure in pattern formation, the fluorine-containing sulfonate resin incorporates therein a photoacid generating function and serves as a resist resin in which “a moiety capable of changing its developer solubility by the action of an acid” and “a moiety having a photoacid generating function” are arranged with regularity.

Подробнее
21-03-2013 дата публикации

Patterning process and resist composition

Номер: US20130071788A1
Принадлежит: Shin Etsu Chemical Co Ltd

A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units of acid labile group-substituted vinyl alcohol and maleic anhydride and/or maleimide, an acid generator, and an organic solvent onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.

Подробнее
28-03-2013 дата публикации

Actinic ray-sensitive or radiation-sensitive resin composition, and resist film, pattern forming method, method for preparing electronic device, and electronic device, each using the same

Номер: US20130078426A1
Принадлежит: Fujifilm Corp

An actinic ray-sensitive or radiation-sensitive resin composition capable of forming a hole pattern which has an ultrafine pore diameter (for example, 60 nm or less) and has an excellent cross-sectional shape with excellent local pattern dimensional uniformity; and a resist film, a pattern forming method, a method for preparing an electronic device, and an electronic device, each using the same, are provided. The actinic ray-sensitive or radiation-sensitive resin composition includes (P) a resin containing 30 mol % or more of a repeating unit (a) represented by the following general formula (I) based on all the repeating units; (B) a compound capable of generating an acid upon irradiation of actinic rays or radiation; and (G) a compound having at least one of a fluorine atom and a silicon atom, and further having basicity or being capable of increasing the basicity by an action of an acid:

Подробнее
04-04-2013 дата публикации

SWITCH ELEMENT COMPRISING A LIQUID-CRYSTALLINE MEDIUM

Номер: US20130083284A1
Автор: Junge Michael

The present invention relates to a switch element, which is thermo-responsive and which switches between a less transmissive state for radiant energy and a more transmissive state for radiant energy, and which comprises a liquid-crystalline medium. The invention furthermore relates to the use of the switch element for the regulation of radiant energy flow between interior spaces and the environment and for the regulation of the temperature of interior spaces. The invention furthermore relates to a liquid-crystalline medium, characterised in that it comprises 5-60% of a compound of the formula (I), in particular for use in the switch elements according to the invention. 8. Switch element according to claim 1 , characterised in that X is on each occurrence claim 1 , identically or differently claim 1 , selected from F and Cl.9. Switch element according to claim 1 , characterised in that the total concentration of the compounds of the formula (I) is between 5 and 60%.10. Switch element according to claim 2 , characterised in that the total concentration of the compounds of the formulas (I) and (II) is between 40 and 100%.11. Switch element according to claim 1 , characterized in that no electrical wiring claim 1 , circuitry and/or switching network is present.13. Use of a liquid-crystalline medium according to in a thermoresponsive optical switch element.14. Composite system comprising a liquid-crystalline medium according to and a polymer claim 12 , preferably a microporous polymer.15. A method for the regulation of the flow of radiant energy between an interior space and the environment claim 1 , which comprises regulating the flow with a switch element according to . The present invention relates to a switch element, which is thermo-responsive and which switches between a less transmissive state for radiant energy and a more transmissive state for radiant energy, and which comprises a liquid-crystalline medium. The invention furthermore relates to the use of the ...

Подробнее
11-04-2013 дата публикации

Photoresist composition and resist pattern-forming method

Номер: US20130089817A1
Принадлежит: JSR Corp

A photoresist composition includes a polymer that includes a first structural unit shown by a formula (1), and an acid generator. R 1 represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group. R 2 represents a divalent hydrocarbon group having 1 to 10 carbon atoms. A represents —COO—*, —OCO—*, —O—, —S—, or —NH—, wherein “*” indicates a site bonded to R 3 . R 3 represents a single bond or a divalent hydrocarbon group having 1 to 10 carbon atoms. The polymer preferably further includes a second structural unit that includes an acid-labile group.

Подробнее
25-04-2013 дата публикации

Layered product for metamaterial transfer and metamaterial transferred substrate

Номер: US20130101815A1
Принадлежит: Asahi Kasei Corp

Disclosed is a layered product for metamaterial transfer for transferring a metamaterial layer onto a substrate, including: a resin mold having a fine convex-concave structure on a surface; and an inorganic layer as a metamaterial layer including at least one dielectric layer and at least one metal layer deposited on a surface of the resin mold, wherein resin of the resin mold contains fluorine, and a ratio between an average elemental fluorine concentration Eb of the resin and an elemental fluorine concentration Es of the surface of the resin mold satisfies the following equation: 200≧Es/Eb≧5.0.

Подробнее
16-05-2013 дата публикации

Pattern forming method and manufacturing method of semiconductor device

Номер: US20130122429A1
Принадлежит: Tokyo Electron Ltd

A disclosed manufacturing method of a semiconductor device includes laminating a substrate, an etched film, an anti-reflective coating film, and a resist film; forming a pattern made of the resist film using a photolithographic technique; forming the third mask pattern array by a mask pattern forming method; and a seventh step of forming a fourth mask pattern array by processing the etched film using the third mask pattern array.

Подробнее
27-06-2013 дата публикации

NOVEL ACRYL MONOMER, POLYMER AND RESIST COMPOSITION COMPRISING SAME

Номер: US20130164674A1
Принадлежит: KOREA KUMHO PETROCHEMICAL CO., LTD.

Disclosed are an acrylic monomer having a structure represented by formula (1), a polymer containing a repeating unit derived from the acrylic monomer, and a resist composition prepared by using the polymer, which exhibits excellent adhesiveness, storage stability, and enhanced line width roughness, exhibits excellent resolution in both C/H patterns and L/S patterns, has an excellent process window so that an excellent pattern profile can be obtained regardless of the type of the substrate, and exhibits improved contrast. 2. The acrylic monomer according to claim 1 , wherein Ris selected from the group consisting of methylene claim 1 , ethylidene claim 1 , propylidene claim 1 , trimethylene claim 1 , tetramethylene claim 1 , pentamethylene claim 1 , hexamethylene claim 1 , and heptamethylene.3. The acrylic monomer according to claim 1 , wherein Ris selected from the group consisting of a monocyclic cycloalkyl group having 3 to 14 carbon atoms claim 1 , a bicyclic cycloalkyl group having 4 to 20 carbon atoms claim 1 , a tricyclic cycloalkyl group having 10 to 30 carbon atoms claim 1 , and a tetracyclic cycloalkyl group having 10 to 30 carbon atoms.6. The polymer according to claim 5 , wherein Ris selected from the group consisting of methylene claim 5 , ethylidene claim 5 , propylidene claim 5 , trimethylene claim 5 , tetramethylene claim 5 , pentamethylene claim 5 , hexamethylene claim 5 , and heptamethylene.7. The polymer according to claim 5 , wherein Ris selected from the group consisting of a monocyclic cycloalkyl group having 3 to 14 carbon atoms claim 5 , a bicyclic cycloalkyl group having 4 to 20 carbon atoms claim 5 , a tricyclic cycloalkyl group having 10 to 30 carbon atoms claim 5 , and a tetracyclic cycloalkyl group having 10 to 30 carbon atoms.11. The polymer according to claim 5 , comprising the repeating unit represented by the formula (2) at a content of 10 mol % to 40 mol %.13. The polymer according to claim 5 , wherein the polymer has a weight ...

Подробнее
11-07-2013 дата публикации

POSITIVE TYPE RESIST COMPOSITION FOR USE IN LIQUID IMMERSION EXPOSURE AND A METHOD OF FORMING THE PATTERN USING THE SAME

Номер: US20130177850A1
Автор: INABE Haruki, KANDA Hiromi
Принадлежит: FUJIFILM Corporation

A positive type resist composition for use in liquid immersion exposure comprises: (A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid; (B) a compound generating acid upon irradiation with one of an actinic ray and a radiation; (C) an alkali soluble compound having an alkyl group of 5 or more carbon atoms; and (D) a solvent. 1. A positive type resist composition for use in liquid immersion exposure comprising:(A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid;(B) a compound generating acid upon irradiation with one of an actinic ray and a radiation;(C) an alkali soluble resin having one or more fluorine atoms; and(D) a solvent, andwherein a structure of resin (A) and a structure of resin (C) are not the same.5. The positive type resist composition for use in liquid immersion exposure according to claim 1 ,wherein the resin (A) contains a lactone group.7. The positive type resist composition for use in liquid immersion exposure according to claim 1 ,wherein the resin (A) has no aromatic group.8. The positive type resist composition for use in liquid immersion exposure according to claim 1 ,wherein a blending amount of the resin (A) is 40 to 99.99 mass % based on a total solid content of the resist.10. The positive type resist composition for use in liquid immersion exposure according to claim 9 ,{'sup': '−', 'the non-nucleophilic anion for Xis an aliphatic sulfonic acid anion substituted at α-position of sulfonic acid with a fluorine atom.'}11. The positive type resist composition for use in liquid immersion exposure according to claim 1 ,wherein the positive type resist composition contains two or more compounds as the compound (B).12. The positive type resist composition for use in liquid immersion exposure according to claim 1 ,wherein the ...

Подробнее
08-08-2013 дата публикации

Radiation-sensitive resin composition, polymer, and resist pattern-forming method

Номер: US20130203000A1
Принадлежит: JSR Corp

A radiation-sensitive resin composition includes a polymer component, a radiation-sensitive acid generating agent, and a nitrogen-containing compound having a ring structure. The polymer component includes, in an identical polymer or different polymers, a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). R 1 represents a hydrogen atom or a methyl group. Z is a group which represents a divalent monocyclic alicyclic hydrocarbon group taken together with R 2 . R 2 represents a carbon atom. R 3 represents a methyl group or an ethyl group. R 4 represents a hydrogen atom or a methyl group. X is a group which represents a divalent bridged alicyclic hydrocarbon group having no less than 10 carbon atoms taken together with R 5 . R 5 represents a carbon atom. R 6 represents a branched alkyl group having 3 or 4 carbon atoms.

Подробнее
08-08-2013 дата публикации

METHODS FOR INHIBITING MUSCLE ATROPHY

Номер: US20130203712A1
Принадлежит: UNIVERSITY OF IOWA RESEARCH FOUNDATION

In one aspect, the invention relates methods for inhibiting or preventing muscle atrophy or increasing muscle mass by providing to a subject in need thereof an effective amount of ursolic acid, a derivative thereof, or an analog of the ursane scaffold. This abstract is intended as a scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention. 2. The method of claim 1 , wherein the mammal has been diagnosed with a need for treatment of muscle atrophy prior to the administering step.3. The method of claim 1 , wherein the compound is not ursolic acid claim 1 , boswellic acid claim 1 , corosolic acid claim 1 , betulinic acid claim 1 , or UA0713.4. The method of claim 1 , wherein the compound is ursolic acid claim 1 , boswellic acid claim 1 , corosolic acid claim 1 , betulinic acid claim 1 , or UA0713.5. The method of claim 1 , wherein the compound is ursolic acid.6. The method of claim 1 , wherein the compound is not administered as a foodstuff.7. The method of claim 1 , wherein administration increases muscle mass and/or muscular strength in the animal.17. The method of claim 16 , wherein AA is a phenylalanine residue claim 16 ,19. A pharmaceutical composition comprising at least one compound as defined in .20. A pharmaceutical composition comprising at least one compound as defined in . This application claims the benefit of U.S. Applications No. 61/346,813, filed on May 20, 2010, and No. 61/445,488, filed on Feb. 22, 2011, which are hereby incorporated by reference in entirety.This invention was made with government support under grant VA Career Development Award-2 to Christopher M. Adams, and support from a VA Research Enhancement Award Program to Steven D. Kunkel. The United States government has certain rights in the invention.Skeletal muscle atrophy is characteristic of starvation and a common effect of aging. It is also a nearly universal consequence of severe human illnesses, including cancer, ...

Подробнее
29-08-2013 дата публикации

Acid generator, chemically amplified resist composition, and patterning process

Номер: US20130224657A1
Принадлежит: Shin Etsu Chemical Co Ltd

The present invention provides an acid generator generates a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: To provide a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of LER and a depth of focus and can be effectively and widely used particularly without degradation of a resolution, a chemically amplified resist composition using the same, and a patterning process.

Подробнее
05-09-2013 дата публикации

POSITIVE-TYPE PHOTORESIST COMPOSITION, PHOTORESIST LAMINATE, METHOD FOR PRODUCING PHOTORESIST PATTERN, AND METHOD FOR PRODUCING CONNECTING TERMINAL

Номер: US20130230801A1
Принадлежит: TOKYO OHKA KOGYO CO., LTD.

What is provided is a positive-type photoresist composition containing an acid generator (A) capable of generating an acid when irradiated with an active ray or radiation, a resin (B) whose solubility in alkali increases under the action of acid, and an organic solvent (S), the photoresist composition further containing an alkali-metal salt (C). 2. The positive-type photoresist composition according to claim 1 , wherein the content of the alkali-metal salt (C) is 1 claim 1 ,000 ppm to 100 claim 1 ,000 ppm by mass relative to the mass of the acid generator (A).3. The positive-type photoresist composition according to claim 1 , further comprising an alkali-soluble resin (D).4. The positive-type photoresist composition according to claim 3 , wherein the alkali-soluble resin (D) includes at least one resin selected from the group consisting of a novolac resin (D1) claim 3 , a polyhydroxystyrene resin (D2) claim 3 , and an acrylic resin (D3).5. The positive-type photoresist composition according to claim 1 , further comprising an acid-diffusion control agent (E).6. A photoresist laminate comprising a support claim 1 , and laminated thereon a photoresist layer formed from the positive-type photoresist composition according to .7. A method for producing a photoresist pattern claim 1 , the method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'laminating on a support, a photoresist layer formed from the positive-type photoresist composition according to ;'}exposing the photoresist layer with an active ray or radiation; anddeveloping the photoresist layer after exposure, and thereby obtaining the photoresist pattern.8. A method for producing a connecting terminal claim 7 , the method comprising forming a connecting terminal formed of a conductor claim 7 , in a nonresist section of the photoresist pattern obtainable by the method for producing the photoresist pattern according to . This application is based on and claims the benefit of priority from Japanese ...

Подробнее
05-09-2013 дата публикации

Fluorinated monomer of cyclic acetal structure, polymer, resist protective coating composition, resist composition, and patterning process

Номер: US20130231491A1
Принадлежит: Shin Etsu Chemical Co Ltd

A fluorinated monomer of cyclic acetal structure has formula (1) wherein R is a C 1 -C 20 alkyl group which may be substituted with halogen or separated by oxygen or carbonyl, and Z is a divalent organic group which forms a ring with alkylenoxy and contains a polymerizable unsaturated group. A polymer derived from the fluorinated monomer may be endowed with appropriate water repellency, water sliding property, lipophilicity, acid lability and hydrolyzability and is useful in formulating a protective coating composition and a resist composition.

Подробнее
19-09-2013 дата публикации

Radiation-sensitive resin composition, method for forming resist pattern, organic acid and acid generating agent

Номер: US20130244185A9
Принадлежит: JSR Corp

A radiation-sensitive resin composition includes an acid generating agent to generate an organic acid by irradiation with a radioactive ray. The organic acid has a cyclic hydrocarbon group and an organic group including a bond that is cleavable by an acid or a base to produce a polar group. The organic acid is preferably represented by a following formula (I). Z represents an organic acid group. R 1 represents an alkanediyl group, wherein a part or all of hydrogen atoms of the alkanediyl group represented by R 1 are optionally substituted by a fluorine atom. X represents a single bond, O, OCO, COO, CO, SO 3 or SO 2 . R 2 represents a cyclic hydrocarbon group. R 3 represents a monovalent organic group having a functional group represented by a following formula (x). n is an integer of 1 to 3. Z—R 1 —X—R 2 —(R 3 ) n   (I) —R 31 -G-R 13   (x)

Подробнее
03-10-2013 дата публикации

Radiation-sensitive resin composition, pattern-forming method, polymer, and compound

Номер: US20130260315A1
Принадлежит: JSR Corp

A radiation-sensitive resin composition includes a polymer component that includes one or more types of polymers, and a radiation-sensitive acid generator. At least one type of the polymer of the polymer component includes a first structural unit represented by a following formula (1). R 1 represents a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R 2 represents a linear alkyl group having 5 to 21 carbon atoms. Z represents a divalent alicyclic hydrocarbon group or an aliphatic heterocyclic group having a ring skeleton which has 4 to 20 atoms. A part or all of hydrogen atoms included in the alicyclic hydrocarbon group and the aliphatic heterocyclic group represented by Z are not substituted or substituted.

Подробнее
24-10-2013 дата публикации

Photoresist composition and resist pattern-forming method

Номер: US20130280657A1
Принадлежит: JSR Corp

A photoresist composition includes a polymer component that includes a first structural unit represented by the formula (1) and a second structural unit represented by the formula (2), an acid generator, and a compound represented by the formula (3). The first structural unit and the second structural unit are included in an identical polymer, or different polymers. R 1 is hydrogen atom, fluorine atom, etc., R 2 and R 3 are independently hydrogen atom, fluorine atom, etc., a is an integer from 1 to 6, R 4 and R 5 independently hydrogen atom, fluorine atom, etc., R 6 is hydrogen atom, fluorine atom, etc., R 7 and R 8 are each independently alkyl group having 1 to 4 carbon atoms, etc., R 9 is alkyl group having 1 to 4 carbon atoms, etc., R 10 is hydrogen atom, etc., A − is —N − —SO 2 —R a , etc., and X + is onium cation.

Подробнее
26-12-2013 дата публикации

PROCESSES FOR PRODUCING TEREPHTHALIC ACID AND TEREPHTHALIC ESTERS

Номер: US20130345467A1
Принадлежит:

The present invention generally relates to a condensed process for producing terephthalic acid and terephthalic esters from a dialkyl cyclohexane-2,5-di-one-1,4-dicarboxylate; a chemoselective process for preparing a substantially bicyclic-lactone-free dialkyl cyclohexane-2,5-diol-1,4-dicarboxylate; and compositions of matter prepared thereby. 1. A condensed process for preparing a dialkyl terephthalate , the condensed process comprising a one-pot portion comprising steps (a) to (c): (a) contacting a mixture comprising dialkyl cyclohexane-2 ,5-dione-1 ,4-dicarboxylate and an oxygen-containing solvent with hydrogen (H) gas and a hydrogenating effective amount of a dual-function supported metal catalyst under hydrogenating effective conditions to give a dialkyl cyclohexane-2 ,5-diol-1 ,4-dicarboxylate , wherein the dual-function supported metal catalyst comprises a metal than can facilitate reduction and dehydrogenation and the metal is deposited on a solid support; (b) contacting the dialkyl cyclohexane-2 ,5-diol-1 ,4-dicarboxylate with a dehydrating effective amount of a dehydration catalyst under dehydrating effective conditions to give dialkyl dihydrobenzene-1 ,4-dicarboxylate; and (c) contacting the dialkyl dihydrobenzene-1 ,4-dicarboxylate with a dehydrogenating effective amount of the dual-function supported metal catalyst under dehydrogenating effective conditions to give a dialkyl terephthalate; wherein steps (a) to (c) are performed in a same reactor and the oxygen-containing solvent of step (a) is carried through and also employed in steps (b) and (c).2. The condensed process as in claim 1 , wherein the dialkyl cyclohexane-2 claim 1 ,5-diol-1 claim 1 ,4-dicarboxylate has less than 5 weight percent of a bicyclic lactone by-product.3. A chemoselective process for preparing a substantially bicyclic-lactone-free dialkyl cyclohexane-2 claim 1 ,5-diol-1 claim 1 ,4-dicarboxylate claim 1 , the chemoselective process comprising contacting a mixture comprising a ...

Подробнее
09-01-2014 дата публикации

Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition and resist film

Номер: US20140011134A1
Принадлежит: Fujifilm Corp

A pattern forming method contains (i) a step of forming a film by an actinic ray-sensitive or radiation-sensitive resin composition containing (P) a resin having (a) a repeating unit represented by the specific formula, and (B) a compound capable of generating an organic acid upon irradiation with an actinic ray or radiation; (ii) a step of exposing the film, and (iii) a step of developing the film by using an organic solvent-containing developer to form a negative pattern.

Подробнее
09-01-2014 дата публикации

High resolution, solvent resistant, thin elastomeric printing plates

Номер: US20140011137A1
Принадлежит: EI Du Pont de Nemours and Co

The present invention relates to a printing element comprising at least one polymer layer on a substrate which has photoimageable constituents and a chemically functionalized polymer to make the polymer layer either more hydrophobic or hydrophilic. In one embodiment of the present invention, the printing element comprises two adjacent polymer layers on a substrate in which the photoimaged layer comprises a polymer chemically modified with hydrophobic fluoroalkyl side groups to provide differential wetting with hydrophilic inks.

Подробнее
16-01-2014 дата публикации

Method of producing ammonium salt compound, method of producing compound, and compound, polymeric compound, acid generator, resist composition and method of forming resist pattern

Номер: US20140017617A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A method of producing an ammonium salt compound, including reacting a first ammonium salt compound containing a first ammonium cation which is a primary, secondary or tertiary ammonium cation with a nitrogen-containing compound having a lone pair to obtain a second ammonium salt compound which contains a conjugated acid of the nitrogen-containing compound, the conjugated acid of the nitrogen-containing compound having a larger pKa than the pKa of the first ammonium cation; and a method of producing a compound, including a step of salt exchange between the ammonium salt compound obtained by the aforementioned production method and a sulfonium cation or iodonium cation which has a higher hydrophobicity than the hydrophobicity of the conjugated acid of the nitrogen-containing compound.

Подробнее
06-02-2014 дата публикации

N-ACYL-B-LACTAM DERIVATIVE, MACROMOLECULAR COMPOUND, AND PHOTORESIST COMPOSITION

Номер: US20140038106A1
Принадлежит: KURARAY CO., LTD.

Provided are N-acyl-β-lactam derivatives represented by the following general formula, from which a photoresist composition capable of controlling an acid diffusion length to be short is obtained; a polymer obtained by polymerizing the N-acyl-β-lactam derivative represented by the following general formula as one of starting materials; and a photoresist composition containing the polymer, 16-. (canceled)9. The N-acyl-β-lactam derivative of claim 7 , wherein n is 1.10. The N-acyl-β-lactam derivative of claim 8 , wherein n is 1.11. The N-acyl-β-lactam derivative of claim 7 , wherein n is 0 claim 7 , and the Rand Rare connected to each other to form a substituted or unsubstituted ring 2) having a ring forming atom number of 10 claim 7 , said ring 2) optionally comprising an oxygen atom.12. The N-acyl-β-lactam derivative of claim 8 , wherein n is 0 claim 8 , and Zrepresents a ring formed together with the two carbon atoms on the β-lactam claim 8 , with a number of atoms forming the ring being 10.13. A polymer obtained by polymerizing the N-acyl-β-lactam derivative of .14. A photoresist composition claim 12 , comprising the polymer of claim 12 , a photo acid generator claim 12 , and a solvent.17. The method of or claim 12 , wherein n is 1.18. The method of or claim 12 , wherein n is 0. The present invention relates to an N-acyl-β-lactam derivative, a polymer obtained by polymerizing at least the N-acyl-β-lactam derivative as one of starting materials, and a photoresist composition having a short acid diffusion length, in which a line width roughness (LWR) is improved and from which a resist pattern having a high resolution is formed.In recent years, in the field of manufacture of electronic devices represented by the manufacture of integrated circuit devices, requirements for high integration of devices are increasing, and it is known that the structure of a polymer in a photoresist composition influences the formation of a fine pattern.In the photoresist composition, ...

Подробнее
13-02-2014 дата публикации

POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20140045122A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist composition comprises a polymer having a carboxyl group substituted with an acid labile group having formula (1) wherein Rand Rare alkyl or alkenyl, Rand Rare a single bond, methylene, ethylene or propylene, Rand Rare hydrogen or alkyl. The composition has a high dissolution contrast, high resolution, and suppressed acid diffusion rate, and forms a pattern of good profile and minimal edge roughness. 3. The resist composition of wherein the polymer comprising recurring units (a) represented by formula (2) has further copolymerized therein recurring units (b) having an adhesive group selected from the class consisting of hydroxyl claim 2 , lactone ring claim 2 , ether claim 2 , ester claim 2 , carbonyl claim 2 , cyano claim 2 , sulfonic acid ester claim 2 , sulfonamide claim 2 , —O—C(═O)—S— and —O—C(═O)—NH— wherein 0 Подробнее

13-02-2014 дата публикации

CYCLOPROPYL DERIVATIVES AND METHODS OF USE

Номер: US20140045936A1
Принадлежит:

The disclosure relates to cyclopropyl derivatives and methods of use. In some embodiments, the disclosure relates to methods of managing medical disorders with pharmaceutical compositions disclosed herein administered to subject in need thereof. In certain embodiments, the disclosure relates to methods of managing mental disorders, mood disorders, pain, and fibromyalgia and related conditions with pharmaceutical compositions disclosed herein. 3. A compound of claim 1 , wherein the A ring is aryl.4. A compound of claim 1 , wherein X is oxygen.5. A compound of claim 1 , wherein Y is NR.5. A compound of claim 1 , wherein Ris hydrogen or Calkyl.6. A compound of claim 1 , wherein Ris hydrogen or Calkyl.7. A compound of claim 1 , wherein Ris a hydrogen claim 1 , halogen claim 1 , or alkoxy.8. A compound of selected from:(1S,2S)-methyl 2-((methylamino)methyl)-1-phenylcyclopropanecarboxylate,(1S,2S)-2-((methylamino)methyl)-1-phenylcyclopropanecarboxylic acid,(1S,2S)-methyl 1-(3,4-dichlorophenyl)-2-((methylamino)methyl)cyclopropanecarboxylate,(1S,2S)-1-(3,4-dichlorophenyl)-2-((methylamino)methyl)cyclopropanecarboxylic acid,(1S,2S)-methyl 1-(3,4-dibromophenyl)-2-((methylamino)methyl)cyclopropanecarboxylate,(1S,2S)-1-(3,4-dibromophenyl)-2-((methylamino)methyl)cyclopropanecarboxylic acid,(1S,2S)-methyl 1-(3,4-dimethoxyphenyl)-2-((methylamino)methyl)cyclopropanecarboxylate,(1S,2S)-1-(3,4-dimethoxyphenyl)-2-((methylamino)methyl)cyclopropanecarboxylic acid,(1S,2S)-methyl 1-(2-chlorophenyl)-2-((methylamino)methyl)cyclopropanecarboxylate,(1S,2S)-1-(2-chlorophenyl)-2-((methylamino)methyl)cyclopropanecarboxylic acid,(1S,2S)-methyl 1-(4-bromophenyl)-2-((methylamino)methyl)cyclopropanecarboxylate,(1S,2S)-1-(4-bromophenyl)-2-((methylamino)methyl)cyclopropanecarboxylic acid,(1S,2S)-methyl 1-(4-methoxyphenyl)-2-((methylamino)methyl)cyclopropanecarboxylate,(1S,2S)-1-(4-methoxyphenyl)-2-((methylamino)methyl)cyclopropanecarboxylic acid,(1S,2S)-methyl 1-(2-methoxyphenyl)-2-((methylamino)methyl ...

Подробнее
20-02-2014 дата публикации

COMPOSITION, ANTI-OXIDE FILM INCLUDING THE SAME, ELECTRONIC COMPONENT INCLUDING THE ANTI-OXIDE FILM, AND METHODS FOR FORMING THE ANTI-OXIDE FILM AND ELECTRONIC COMPONENT

Номер: US20140048318A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Disclosed herein is a composition, including a fluorine-based polymer or a perfluoropolyether (PFPE) derivative and a PFPE-miscible polymer, an anti-oxide film and electronic component including the same, and methods of forming an anti-oxide film and an electronic component. Use of the composition may achieve formation of an anti-oxide film through a solution process and electronic components using a metal having increased conductivity and decreased production costs. 1. An electronic component comprising a wiring pad having a metal surface coated with an anti-oxide film , the anti-oxide film including a composition having , a perfluoropolyether (PFPE) derivative of formula (1) or (2):{'br': None, 'sub': 2', '2', '2', '2', '2, 'i': m', 'n, 'A-CFO(CFCFO)(CFO)CF-A \u2003\u2003(1)'}{'br': None, 'sub': 3', '2', '2', '2', '2, 'i': m', 'n, 'CFO(CFCFO)(CFO)CF-A \u2003\u2003(2)'}wherein:{'sub': 1', '2', '3', '1', '2', '3', '1', '10', '1', '2', '3', '1', '10', '1', '30', '1', '10', '2', '30', '1', '30', '2', '30, 'A is A′ or RA′ wherein A′ is a functional group selected from the group consisting of COF, SiXXX(X, Xand Xare independently C-Calkyl and at least one of X, Xand Xis C-Calkoxy), silanol, chlorosilane, carboxylic acid, alcohol, amine, phosphoric acid and derivatives thereof, and R is C-Calkylene which may be optionally substituted by at least one selected from the group consisting of hydroxy, C-Calkyl, hydroxyalkyl, amide, nitro, C-Calkenyl, C-Calkoxy, and C-Calkoxyalkyl;'}m is 1 to 50; andn is 1 to 50; anda PFPE-miscible polymer.225-. (canceled)26. The electronic component of claim 1 , wherein the perfluoropolyether derivative and PFPE-miscible polymer form a copolymer.27. The electronic component of claim 1 , wherein the PFPE-miscible polymer is a photosensitive polymer.28. The electronic component of claim 27 , wherein the photosensitive polymer is a polymer having at least one photosensitive functional group selected from the group consisting of acrylate claim 27 ...

Подробнее
06-03-2014 дата публикации

Photoresist and coated substrate comprising same

Номер: US20140065540A1
Принадлежит: Rohm and Haas Electronic Materials LLC

A polymer includes the polymerized product of monomers including a nitrogen-containing monomer comprising formula (Ia), formula (Ib), or a combination of formulas (Ia) and (Ib), and an acid-deprotectable monomer having the formula (II): wherein a, L 1 , LN, R a , R b , R c , and X are defined herein. The polymer is a useful component of a photoresist composition.

Подробнее
06-03-2014 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20140065544A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a copolymer comprising recurring units derived from acenaphthylene, indene, benzofuran or benzothiophene and fluorine-containing recurring units, as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography. The resist film has a hydrophilic surface and is effective for suppressing formation of blob defects after development. 1. A resist composition comprisinga polymer capable of increasing alkali solubility under the action of acid, as a base resin anda copolymer comprising recurring units derived from at least one monomer selected from the group consisting of acenaphthylene, indene, benzofuran, and benzothiophene, and recurring units having at least one fluorine atom, as a polymeric additive.3. The resist composition of which is a chemically amplified positive resist composition.4. The resist composition of wherein the polymer serving as a base resin comprises recurring units having an acid labile group and recurring units having a hydroxyl group and/or lactone ring as an adhesive group.7. The resist composition of claim 1 , further comprising at least one of an organic solvent claim 1 , basic compound claim 1 , dissolution regulator claim 1 , and surfactant.8. A pattern forming process comprising the steps of applying the resist composition of onto a substrate to form a coating claim 1 , baking claim 1 , exposing the coating to high-energy radiation claim 1 , and developing the exposed coating in a developer.9. The process of wherein the high-energy radiation is KrF excimer laser of wavelength 248 nm claim 8 , ArF excimer laser of wavelength 193 nm claim 8 , electron beam or soft x-ray of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2012-194741 filed in ...

Подробнее
06-03-2014 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20140065545A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a copolymer comprising recurring units derived from (meth)acrylate, vinyl ether, vinylfluorene, vinylanthracene, vinylpyrene, vinylbiphenyl, stilbene, styrylnaphthalene or dinaphthylethylene, and fluorine-containing recurring units, as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography. The resist film has a hydrophilic surface and is effective for suppressing formation of blob defects after development. 1. A resist composition comprisinga polymer capable of increasing alkali solubility under the action of acid, as a base resin and{'sub': 12', '20, 'a copolymer comprising recurring units derived from at least one monomer selected from the group consisting of a (meth)acrylate and vinyl ether each having a C-Caromatic group exclusive of acenaphthyl, vinylfluorene, vinylanthracene, vinylpyrene, vinylbiphenyl, stilbene, styrylnaphthalene, and dinaphthylethylene, and recurring units having at least one fluorine atom, as a polymeric additive.'}3. The resist composition of which is a chemically amplified positive resist composition.4. The resist composition of wherein the polymer serving as a base resin comprises recurring units having an acid labile group and recurring units having a hydroxyl group and/or lactone ring as an adhesive group7. The resist composition of claim 1 , further comprising at least one of an organic solvent claim 1 , basic compound claim 1 , dissolution regulator claim 1 , and surfactant.8. A pattern forming process comprising the steps of applying the resist composition of onto a substrate to form a coating claim 1 , baking claim 1 , exposing the coating to high-energy radiation claim 1 , and developing the exposed coating in a developer.9. The process of wherein the high-energy radiation is KrF excimer ...

Подробнее
06-03-2014 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20140065546A1
Автор: Hatakeyama Jun
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a polymer comprising recurring units derived from a styrene having 1,1,1,3,3,3-hexafluoro-2-propanol as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography, reducing LWR after development, and suppressing formation of blob defects after development because of its hydrophilic surface. 1. A resist composition comprisinga polymer capable of increasing alkali solubility under the action of acid, as a base resin anda polymer comprising recurring units derived from a styrene having 1,1,1,3,3,3-hexafluoro-2-propanol, as a polymeric additive.4. The resist composition of which is a chemically amplified positive resist composition.5. The resist composition of wherein the polymer serving as a base resin comprises recurring units having an acid labile group and recurring units having a hydroxyl group and/or lactone ring as an adhesive group8. The resist composition of claim 1 , further comprising at least one of an organic solvent claim 1 , basic compound claim 1 , dissolution regulator claim 1 , and surfactant.9. A pattern forming process comprising the steps of applying the resist composition of onto a substrate to form a coating claim 1 , baking claim 1 , exposing the coating to high-energy radiation claim 1 , and developing the exposed coating in a developer.10. The process of wherein the high-energy radiation is KrF excimer laser of wavelength 248 nm claim 9 , ArF excimer laser of wavelength 193 nm claim 9 , electron beam or soft x-ray of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2012-194753 filed in Japan on Sep. 5, 2012, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition, especially ...

Подробнее
20-03-2014 дата публикации

CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20140080055A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A chemically amplified resist composition comprising a base polymer and an amine quencher in the form of a β-alanine, γ-aminobutyric acid, 5-aminovaleric acid, 6-aminocaproic acid, 7-aminoheptanoic acid. 8-aminooctanoic acid or 9-aminononanoic acid derivative having an unsubstituted carboxyl group has a high contrast of alkaline dissolution in rate before and after exposure and forms a pattern of good profile at a high resolution, minimal roughness and wide DOF. 3. The resist composition of claim 2 , further comprising a dissolution inhibitor.4. The resist composition of claim 1 , further comprising an organic solvent claim 1 , the composition being a chemically amplified negative resist composition.5. The resist composition of claim 4 , further comprising a crosslinker.6. The resist composition of claim 1 , further comprising an acid generator.8. The resist composition of claim 1 , further comprising a surfactant.9. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate claim 1 , baking to form a resist film claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed film with a developer.10. The process of wherein the high-energy radiation is ArF exciter laser radiation of 193 nm wavelength or KrF excimer laser radiation of 248 nm wavelength.11. The process of wherein the high-energy radiation is electron beam or extreme ultraviolet radiation of 3 to 15 nm wavelength. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2012-202328 filed in Japan on Sep. 14, 2012, the entire contents of which are hereby incorporated by reference,This invention relates to a chemically amplified resist composition comprising a specific basic compound, and more particularly, to a chemically amplified positive or negative resist composition suited for use in the photolithography with KrF excimer laser, ArF excimer laser, ER or EUV radiation, and a ...

Подробнее
03-04-2014 дата публикации

Resist pattern formation method and resist composition

Номер: US20140093827A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A resist pattern formation method including formation of a resist film, exposure, development, and subsequent rinsing using a resist composition containing a high-molecular compound having a constituent unit represented by the formula (a0-1), a constituent unit containing an acid decomposable group whose polarity increases by the action of an acid, and a constituent unit containing a group represented by the formula (a2-r-1). R represents a hydrogen atom, an alkyl group, or a halogenated alkyl group; Ra 01 represents a lactone-containing polycyclic group, an —SO 2 -containing polycyclic group, or a cyano group-containing polycyclic group; Ra′ 21 represents a hydrogen atom, an alkyl group, an alkoxy group, a halogen atom, a halogenated alkyl group, a hydroxyl group, —COOR″, —OC(═O)R″, a hydroxyalkyl group, or a cyano group; R″ represents a hydrogen atom or an alkyl group; and n′ represents an integer of from 0 to 2.

Подробнее
03-04-2014 дата публикации

PROCESS FOR PRODUCING 3-ALKOXY-2-AMINO-6-FLUOROBICYCLO [3.1.0] HEXANE-2,6-DICARBOXYLIC ACID DERIVATIVE AND INTERMEDIATE THEREOF

Номер: US20140094613A1
Принадлежит: TAISHO PHARMACEUTICAL CO., LTD.

A process for producing a 3-alkoxy-2-amino-6-fluoro bicyclo[3.1.0]hexane-2,6-dicarboxylic acid derivative represented by the formula (I) or a salt thereof, which includes converting a compound represented by the formula (VI) or a salt thereof to the compound represented by the formula (I) or a salt thereof. 111-. (canceled) The present invention relates to a process for producing a 3-alkoxy-2-amino-6-fluoro bicyclo[3.1.0]hexane-2,6-dicarboxylic acid derivative useful as a pharmaceutical. The invention also relates to a novel intermediate compound produced in the production process.An excitatory amino acid such as glutamic acid modulates various physiological processes such as long term potentiation (learning and memory), synaptic plasticity development, motion control, respiration, cardiovascular modulation, and perception in the central nervous system (CNS) of a mammal.Presently, glutamate receptors are classified into two major groups, that is, “an ionotropic type in which the receptor has an ion channel structure”: ion channel type glutamate receptor (iGluR), and “a metabotropic type in which the receptor is coupled to a G protein”: metabotropic glutamate receptor (mGluR) (see, Non-Patent Document 1). It appears that receptors of either class mediate normal synaptic transmission in accordance with an excitatory pathway. It also appears that they are involved in modification of synaptic binding from the development stage throughout the lifetime (see, Non-Patent Document 2).Eight subtypes of the metabotropic glutamate receptor that have been identified so far are classified into three groups (group I, II, and III) depending on pharmacological characteristics and intracellular second messengers to which they are coupled. Among them, group II receptor (mGluR2/mGluR3) binds with adenylate cyclase, and inhibits the accumulation of cyclic adenosine-1-phosphate (cAMP) stimulated by forskolin (see, Non-Patent Document 3). Thus, it is suggested that compounds that ...

Подробнее
06-01-2022 дата публикации

Chemically amplified resist composition and patterning process

Номер: US20220004101A1
Принадлежит: Shin Etsu Chemical Co Ltd

A chemically amplified resist composition is provided comprising an acid generator and a quencher comprising a salt compound consisting of a nitrogen-containing cation and a 1,1,1,3,3,3-hexafluoro-2-propoxide anion having a trifluoromethyl, hydrocarbylcarbonyl or hydrocarbyloxycarbonyl group bonded thereto. The resist composition has a high sensitivity and forms a pattern with improved LWR or CDU, independent of whether it is of positive or negative tone.

Подробнее
05-01-2017 дата публикации

MANUFACTURING METHOD FOR ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, MASK BLANK COMPRISING ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, PHOTO MASK, FORMING METHOD FOR PATTERN, MANUFACTURING METHOD FOR ELECTRONIC DEVICE, AND ELECTRONIC DEVICE

Номер: US20170003591A1
Принадлежит: FUJIFILM Corporation

A manufacturing method for an actinic ray-sensitive or radiation-sensitive resin composition that contains a resin, an acid generator, an organic acid, and a solvent, includes at least one of (i), (ii), or (iii) below, and a content ratio of the organic acid in the actinic ray-sensitive or radiation-sensitive resin composition is greater than 5% by mass based on a total solid content in the composition; (i) dissolving the organic acid in a solution that does not substantially contain the resin and the acid generator, (ii) dissolving the organic acid in a solution that contains the acid generator and does not substantially contain the resin, and (iii) dissolving the organic acid in a solution that contains the resin and does not substantially contain the acid generator, an actinic ray-sensitive or radiation-sensitive resin composition, an actinic ray-sensitive or radiation-sensitive film, a mask blank including the film, a forming method for a photo mask and a pattern, a manufacturing method for an electronic device, and an electronic device. 1. A manufacturing method for an actinic ray-sensitive or radiation-sensitive resin composition that contains (A) resin , (B) acid generator , (C) organic acid; and (D) solvent , comprising:at least one of (i), (ii), or (iii) below,wherein a content ratio of (C) organic acid in the actinic ray-sensitive or radiation-sensitive resin composition is greater than 5% by mass based on a total solid content in the composition,(i) dissolving (C) organic acid in a solution that does not substantially contain (A) resin and (B) acid generator;(ii) dissolving (C) organic acid in a solution that contains (B) acid generator and does not substantially contain (A) resin; and(iii) dissolving (C) organic acid in a solution that contains (A) resin and does not substantially contain (B) acid generator.2. The manufacturing method according to claim 1 ,wherein pKa of (C) organic acid is lower than pKa of (A) resin and is greater than pKa of acid ...

Подробнее
01-01-2015 дата публикации

Photoresist composition, resist pattern-forming method, acid diffusion control agent, and compound

Номер: US20150004544A1
Автор: Hayato Namai
Принадлежит: JSR Corp

A photoresist composition containing: a polymer including an acid-labile group; a radiation-sensitive acid generator; and an acid diffusion control agent that contains a compound represented by a formula (1). In the formula (1), R 1 , R 2 and R 3 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms. A represents a group having a valency of n that is obtained by combining: a hydrogen atom, a linear hydrocarbon group having 1 to 30 carbon atoms, an alicyclic hydrocarbon group having 3 to 30 carbon atoms or a combination thereof; —O—, —CO—, —COO—, —SO 2 O—, —NRSO 2 —, —NRSO 2 O—, —NRCO— or a combination thereof; and n nitrogen atoms as a binding site to the carbonyl group in the formula (1), in which a sum of atomic masses of the atoms constituting A is no less than 120. n is an integer of 1 to 4.

Подробнее
07-01-2016 дата публикации

Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition for organic solvent development used therefor and method of manufacturing the same, method of manufacturing electronic device, and electronic device

Номер: US20160004156A1
Принадлежит: Fujifilm Corp

There is provided a pattern forming method including: (1) filtering, by using a filter, a resin solution containing (A) a resin capable of increasing its polarity by an action of an acid to decrease solubility in a developer including an organic solvent, and (C1) a solvent; (2) preparing an actinic ray-sensitive or radiation-sensitive resin composition containing the resin (A) obtained from the filtrating (1) and a solvent (C2) different from the solvent (C1); (3) filtering the actinic ray-sensitive or radiation-sensitive resin composition by using a filter; (4) forming a film by using a filtrate obtained by the filtering (3); (5) exposing the film; and (6) performing development using a developer containing an organic solvent to form a negative pattern, wherein an absolute value of the difference between solubility parameter (SP C1 ) of the solvent (C1) and solubility parameter (SP DEV ) of the developer (C1), |SP C1 −SP DEV |, is 1.00 (cal/cm 3 ) 1/2 or less.

Подробнее
04-01-2018 дата публикации

PATTERNED STAMP MANUFACTURING METHOD, PATERNED STAMP AND IMPRINTING METHOD

Номер: US20180004084A1
Принадлежит:

A method of manufacturing a patterned stamp () for patterning a contoured surface () is disclosed. The method comprises applying a layer () of a pliable material precursor over a master () carrying an inverse pattern () to form a desired pattern () in said layer; curing the pliable material precursor to form a pliable stamp layer () comprising said desired pattern; providing an intermediate stamp structure by adhering a porous pliable support layer () to the pliable stamp layer; releasing the intermediate stamp structure from the master; forcing the intermediate stamp structure onto the contoured surface with said pattern of features facing the contoured surface; forming the patterned stamp by filling the porous pliable support layer with a filler material to reduce the pliability of the support layer; and removing the patterned stamp from the contoured surface. A corresponding patterned stamp, imprinting method and imprinted article are also disclosed. 1. A printing stamp for imprint lithography , comprising:a pliable stamp layer having a contoured surface carrying a relief pattern that is exposed for patterning of a contoured surface of a substrate, anda support layer adhered to the pliable stamp layer and comprising a plurality of pores filled with a filler material.2. The imprint stamp of claim 1 , wherein the filler material is a different material than the material of the pliable stamp layer.3. The imprint stamp of claim 1 , wherein the filler material is the same material as the material of the pliable stamp layer.4. The imprint stamp of claim 1 , wherein at least one of the pliable stamp layer comprises a siloxane based polymer.5. The imprint stamp of claim 1 , wherein the pliable stamp layer has a first Young's modulus and the support layer has a second Young's modulus claim 1 , wherein the first Young's modulus is larger than the second Young's modulus.6. The imprint stamp of claim 1 , wherein the material of the support layer and the filler material have ...

Подробнее
04-01-2018 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20180004087A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist composition based on a polymer comprising recurring units (a) of (meth)acrylate having an iodized lactone ring, and recurring units (b1) having a carboxyl group substituted with an acid labile group and/or recurring units (b2) having a phenolic hydroxyl group substituted with an acid labile group has a high sensitivity and resolution, and forms a pattern of good profile and minimal edge roughness after exposure. 3. The resist composition of wherein the polymer further comprises recurring units (c) having an adhesive group which is selected from the group consisting of hydroxyl claim 1 , carboxyl claim 1 , lactone ring claim 1 , carbonate claim 1 , thiocarbonate claim 1 , carbonyl claim 1 , cyclic acetal claim 1 , ether claim 1 , ester claim 1 , sulfonic acid ester claim 1 , cyano claim 1 , amide claim 1 , and —O—C(═O)-G- wherein G is —S— or —NH—.5. The resist composition of claim 1 , further comprising an organic solvent and an acid generator claim 1 , the composition being a chemically amplified positive resist composition.6. The resist composition of claim 1 , further comprising a basic compound.7. The resist composition of claim 1 , further comprising a surfactant.8. A pattern forming process comprising the steps of coating the positive resist composition of onto a substrate claim 1 , baking to form a resist film claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed resist film in a developer.9. The process of wherein the high-energy radiation is i-line claim 8 , KrF excimer laser claim 8 , ArF excimer laser claim 8 , EB claim 8 , or EUV of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2016-128886 filed in Japan on Jun. 29, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a positive resist composition, and more particularly to a chemically amplified positive resist composition; ...

Подробнее
02-01-2020 дата публикации

RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN

Номер: US20200004143A1
Принадлежит:

A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid includes a base component which exhibits changed solubility in a developing solution under action of acid, and a compound represented by general formula (D0-1) below, in which Yarepresents an arylene group, an alkylene group, an alkenylene group or a divalent alicyclic group, provided that the divalent alicyclic group may contain a hetero atom in the alicyclic structure; Rrepresents a linear or branched alkyl group. nrepresents 0 or 1. 3. The resist composition according to claim 1 , wherein the number of carbons in the alkyl group for Ris 11 to 30.4. The resist composition according to claim 1 , wherein Yarepresents an alkylene group or a divalent alicyclic group.5. The resist composition according to claim 2 , wherein Yarepresents an alkylene group or a divalent alicyclic group.6. The resist composition according to claim 3 , wherein Yarepresents an alkylene group or a divalent alicyclic group.7. The resist composition according to claim 1 , wherein Yarepresents an alkylene group having 1 to 8 carbon atoms.8. The resist composition according to claim 2 , wherein Yarepresents an alkylene group having 1 to 8 carbon atoms.9. The resist composition according to claim 3 , wherein Yarepresents an alkylene group having 1 to 8 carbon atoms.10. The resist composition according to claim 1 , further comprising an acid generator component (B) which generates acid upon exposure.11. The resist composition according to claim 10 , wherein the acid generator component (B) contains an onium salt having a hydroxy group in an anion moiety.12. A method of forming a resist pattern claim 10 , the method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(i) forming a resist film on a substrate using the resist composition according to ;'}(ii) exposing the resist film; and(iii) developing the exposed resist film to form a resist pattern.13. The ...

Подробнее
07-01-2021 дата публикации

RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN

Номер: US20210003918A1
Принадлежит:

A resist composition containing a polymer compound having a constitutional unit (a01) represented by Formula (a01), and a fluororesin component having a constitutional unit (f10) represented by Formula (f1-01) or (f1-02), in which the constitutional unit (a01) is a constitutional unit which contains a specific acid dissociable group (a01-r-1) containing an aliphatic cyclic group and an aromatic cyclic group; in Formulae (f1-01) and (f1-02), R represents a hydrogen atom, at least one of Rafand Rafand at least one of Rafand Rafrepresent a hydrocarbon group substituted with a fluorine atom, and the total number of fluorine atoms is 3 or greater. 4. The resist composition according to claim 3 , wherein the constitutional unit (f10) is a constitutional unit represented by Formula (f1-1-1) or Formula (f1-2-1) claim 3 ,{'sup': 11', '12, 'in Formula (f1-1-1), both Raf′and Raf′represent a group in which some or all hydrogen atoms in a hydrocarbon group have been substituted with fluorine atoms, and'}{'sup': 13', '14, 'in Formula (f1-2-1), both Raf′and Raf′represent a group in which some or all hydrogen atoms in a hydrocarbon group have been substituted with fluorine atoms.'}5. The resist composition according to claim 1 , wherein the fluorine additive component (F) is a polymer consisting of the constitutional unit (f10).6. The resist composition according to claim 1 , wherein the fluorine additive component (F) comprises a fluororesin component having the constitutional unit (f10) and the constitutional unit (a01).7. The resist composition according to claim 1 , wherein in Formula (a01-r-1) claim 1 , Xa0 represents a group that forms a monocyclic aliphatic cyclic group with Ya0.8. The resist composition according to claim 2 , wherein in Formula (a01-r-1) claim 2 , Xa0 represents a group that forms a monocyclic aliphatic cyclic group with Ya0.9. The resist composition according to claim 3 , wherein in Formula (a01-r-1) claim 3 , Xa0 represents a group that forms a monocyclic ...

Подробнее
03-01-2019 дата публикации

METHOD OF FORMING RESIST PATTERN

Номер: US20190004425A1
Автор: Hoshino Manabu
Принадлежит: ZEON CORPORATION

The objective is to favorably form a clear resist pattern using a resist composition containing a polymer that can inhibit resist pattern collapse when used as a main chain scission-type positive resist. A method of forming a resist pattern includes: a step of forming a resist film using a positive resist composition containing a polymer including a monomer unit (A) represented by general formula (I), shown below, and a monomer unit (B) represented by general formula (II), shown below, with a proviso that at least one of the monomer unit (A) and the monomer unit (B) includes at least one fluorine atom; an exposure step; and a development step. The development is carried out using a developer having a surface tension of 17 mN/m or less. 2. The method of forming a resist pattern according to claim 1 , whereinthe developer comprises a fluorine-containing solvent.3. The method of forming a resist pattern according to claim 2 , wherein{'sub': 3', '2', '3, 'the developer is CFCFHCFHCFCF.'}4. The method of forming a resist pattern according to claim 1 , wherein{'sup': '1', 'Ris a chlorine atom.'}5. The method of forming a resist pattern according to claim 4 , wherein{'sup': '2', 'Ris a fluorine atom-substituted alkyl group, and'}{'sup': 3', '4, 'Rand Rare each a hydrogen atom or an unsubstituted alkyl group.'}6. The method of forming a resist pattern according to claim 1 , wherein{'sup': 5', '9, 'Rto Rare each a hydrogen atom or an unsubstituted alkyl group, and'}the monomer unit (A) includes at least one fluorine atom.7. The method of forming a resist pattern according to claim 1 , whereindevelopment time is at least 3 minutes and not more than 5 minutes. This disclosure relates to a method of forming a resist pattern and, in particular, to a method of forming a resist pattern using a positive resist composition containing a polymer that can suitably be used as a positive resist.Polymers that display increased solubility in a developer after undergoing main chain scission ...

Подробнее
03-01-2019 дата публикации

NEGATIVE RESIST PATTERN-FORMING METHOD, AND COMPOSITION FOR UPPER LAYER FILM FORMATION

Номер: US20190004426A1
Принадлежит: JSR Corporation

Provided is a negative resist pattern-forming method that enables a resist pattern with fewer development defects to be formed while favorable water repellency of the surface of the upper layer film is maintained. A negative resist pattern-forming method includes the steps of: forming a resist film using a radiation-sensitive resin composition; forming an upper layer film on one face of the resist film using a composition for upper layer film formation; subjecting the resist film having the upper layer film formed thereon to liquid immersion lithography; and developing the resist film subjected to the liquid immersion lithography with a developer solution containing an organic solvent, wherein at least one of the radiation-sensitive resin composition and the composition for upper layer film formation contains a fluorine atom. 1. A negative resist pattern-forming method comprising:forming a resist film using a radiation-sensitive resin composition;forming an upper layer film on one face of the resist film using a composition for upper layer film formation;subjecting the resist film having the upper layer film formed thereon to liquid immersion lithography; anddeveloping the resist film subjected to the liquid immersion lithography with a developer solution comprising an organic solvent,wherein at least one of the radiation-sensitive resin composition and the composition for upper layer film formation comprises a fluorine atom.2. The negative resist pattern-forming method according to claim 1 , wherein the radiation-sensitive resin composition comprises a first polymer component and a radiation-sensitive acid generator claim 1 , andthe first polymer component comprises a first polymer that comprises a fluorine atom, and a second polymer that comprises an acid-labile group.4. The negative resist pattern-forming method according to claim 3 , wherein the second polymer component comprises a first structural unit that comprises an alicyclic structure.5. The negative ...

Подробнее
12-01-2017 дата публикации

(METH)ACRYLIC ACID ESTER COMPOUND AND PRODUCTION METHOD THEREFOR

Номер: US20170008830A1
Принадлежит:

Provided are a novel alicyclic ester compound and a method for producing a compound of general formula (1) at a high yield from a compound of general formula (2) and a compound of general formula (3). An adamantane compound expressed by general formula (2) and a hydroxyalkyl (meth)acrylate ester compound expressed by general formula (3) are reacted with each other by use of a dehydration condensation agent as a catalyst to obtain an alicyclic ester compound expressed by general formula 3. The method according to claim 2 , wherein the dehydration condensation agent is at least one selected from the group consisting of N claim 2 ,N′-dicyclohexylcarbodiimide claim 2 , N claim 2 ,N′-diisopropylcarbodiimide claim 2 , 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride claim 2 , 2 claim 2 ,4 claim 2 ,6-trichlorobenzoylchloride claim 2 , 2-methyl-6-nitrobenzoic anhydride claim 2 , 2 claim 2 ,4 claim 2 ,6-trichlorobenzoylchloride claim 2 , bis(pentafluorophenyl) carbonate claim 2 , and dimesitylammonium pentafluorobenzenesulfonate.6. A photosensitive resin composition claim 2 , comprising the (meth)acrylic copolymer according to or and a photoacid generator. The present invention relates to a novel (meth)acrylic ester compound and a method for producing the same, and also relates to a resin and a resin composition synthesized from such an ester compound.A (meth)acrylic ester compound containing adamantane in a chemical structure thereof has high transparency and high heat resistance and is known as being usable for an optical material, a reflection-preventive coat, an optical semiconductor reflecting material, an adhesive, a photoresist and the like (Patent Documents 1 through 3). Such a (meth)acrylic ester compound is in wide use especially for a photoresist among these uses (Patent Documents 4 through 8).Recently, size reduction is advanced with the lithography process. ArF excimer laser lithography is advanced and now uses liquid immersion exposure and even ...

Подробнее
12-01-2017 дата публикации

Monomer, polymer, positive resist composition, and patterning process

Номер: US20170008982A1
Принадлежит: Shin Etsu Chemical Co Ltd

A polymer comprising recurring units derived from a polymerizable monomer having two structures of hydroxyphenyl methacrylate having a hydroxy group substituted with an acid labile group is used as base resin in a positive resist composition, especially chemically amplified positive resist composition. The resist composition forms a resist film which is processed by lithography into a pattern of good profile having a high resolution, minimal edge roughness, and etch resistance.

Подробнее
10-01-2019 дата публикации

Resist composition and resist patterning process

Номер: US20190010119A1
Принадлежит: Shin Etsu Chemical Co Ltd

The present invention provides a resist composition, including: (A) a sulfonium salt containing an anion and a cation, the cation including a partial structure shown by the following general formula (A1); and (B) a polymer compound containing a repeating unit shown by the following general formula (B1). The present invention provides a resist composition that causes few defects and is excellent in lithography performance, having regulated acid diffusion, in photolithography using a high energy beam as a light source, and a resist patterning process using this resist composition.

Подробнее
11-01-2018 дата публикации

PATTERN FORMING METHOD, RESIST PATTERN, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND COMPOSITION FOR FORMING UPPER LAYER FILM

Номер: US20180011406A1
Принадлежит: FUJIFILM Corporation

A pattern forming method includes: applying an actinic ray-sensitive or radiation- sensitive resin composition onto a substrate to form a resist film; forming an upper layer film on the resist film, using a composition for forming an upper layer film; exposing the resist film having the upper layer film formed thereon; and developing the exposed resist film using a developer including an organic solvent to form a pattern. The composition for forming an upper layer film contains a resin having a repeating unit (a) with a ClogP value of 2.85 or more and a compound (b) with a ClogP of 1.30 or less, and the receding contact angle of the upper layer film with water is 70 degrees or more, a resist pattern formed by the pattern forming method, and a method for manufacturing an electronic device, including the pattern forming method. 1. A pattern forming method comprising:a step a of applying an actinic ray-sensitive or radiation-sensitive resin composition onto a substrate to form a resist film;a step b of forming an upper layer film on the resist film, using a composition for forming an upper layer film;a step c of exposing the resist film having the upper layer film formed thereon; anda step d of developing the exposed resist film using a developer including an organic solvent to form a pattern,wherein the composition for forming an upper layer film contains a resin having a repeating unit (a) with a ClogP value of 2.85 or more and a compound (b) with a ClogP of 1.30 or less, andthe receding contact angle of the upper layer film with water is 70 degrees or more.2. The pattern forming method according to claim 1 , wherein the resin contained in the composition for forming an upper layer film is a resin having a repeating unit containing an alicyclic hydrocarbon group.3. The pattern forming method according to claim 1 , wherein the resin contained in the composition for forming an upper layer film is a resin having a repeating unit containing an acid-decomposable group.4. ...

Подробнее
10-01-2019 дата публикации

Method of manufacturing chemical fluid for manufacturing electronic material, pattern forming method, method of manufacturing semiconductor device, chemical fluid for manufacturing electronic material, container, and quality inspection method

Номер: US20190011827A1
Принадлежит: Fujifilm Corp

In a method of manufacturing a chemical fluid for manufacturing an electronic material, a method of reducing particulate metal in the chemical fluid is selected according to a concentration of particulate metal including an iron atom, a concentration of particulate metal including a copper atom, and a concentration of particulate metal including a zinc atom which are measured by SP ICP-MS in the chemical fluid, and at least one of the concentration of particulate metal including an iron atom, the concentration of particulate metal including a copper atom, or the concentration of particulate metal including a zinc atom is reduced by using the selected reducing method.

Подробнее
09-01-2020 дата публикации

RADIATION-SENSITIVE RESIN COMPOSITION, RESIST PATTERN-FORMING METHOD AND POLYMER COMPOSITION

Номер: US20200012194A1
Принадлежит: JSR Corporation

Provided are a radiation-sensitive resin composition, a resist pattern-forming method and a polymer component. The radiation-sensitive resin composition contains: a polymer component having a first structural unit that includes a phenolic hydroxyl group and a second structural unit that includes an acid-labile group; and a radiation-sensitive acid generator, wherein, the polymer component satisfies inequality (A), wherein, in the inequality (A), X1 represents a proportion (mol %) of the first structural unit comprised with respect to total structural units constituting the polymer component included in a fraction eluted until a retention time at which a cumulative area accounts for 1% of a total area on a gel permeation chromatography (GPC) elution curve of the polymer component detected by a differential refractometer; and X2 represents a proportion (mol %) of the first structural unit comprised with respect to total structural units constituting the polymer component. 1. A radiation-sensitive resin composition which comprises:a polymer component comprising in a single polymer or different polymers, a first structural unit that comprises a phenolic hydroxyl group and a second structural unit that comprises an acid-labile group; anda radiation-sensitive acid generator, {'br': None, 'X1 Подробнее

19-01-2017 дата публикации

METHOD FOR PRODUCING POLYMERIZABLE COMPOUND

Номер: US20170015639A1
Принадлежит: ZEON CORPORATION

Provided is a method for producing a polymerizable compound represented by a formula (I) comprising: 2. The method according to claim 1 , wherein R included in the compound represented by the formula (IV) is a substituted or unsubstituted alkyl group having 1 to 20 carbon atoms claim 1 , a substituted or unsubstituted alkenyl group having 1 to 20 carbon atoms claim 1 , a substituted or unsubstituted alkynyl group having 1 to 20 carbon atoms claim 1 , a substituted or unsubstituted aromatic group having 6 to 18 carbon atoms claim 1 , or a substituted or unsubstituted heteroaromatic group having 4 to 18 carbon atoms.3. The method according to claim 1 , wherein each of Rincluded in the compound represented by the formula (IV) is a hydrogen atom.4. The method according to claim 1 , wherein an acid component included in the acidic aqueous solution is an inorganic acid or an organic acid having 1 to 20 carbon atoms.5. The method according to claim 1 , wherein an acid component included in the acidic aqueous solution is at least one acid selected from a group consisting of hydrochloric acid claim 1 , sulfuric acid claim 1 , phosphoric acid claim 1 , boric acid claim 1 , a sulfonic acid claim 1 , a sulfinic acid claim 1 , formic acid claim 1 , acetic acid claim 1 , and oxalic acid. The present invention relates to a method by which a polymerizable compound that can produce an optical film that achieves uniform conversion of polarized light over a wide wavelength band, can be produced in high purity and high yield.A quarter-wave plate that converts linearly polarized light into circularly polarized light, a half-wave plate that changes (converts) the plane of vibration of linearly polarized light by 90°, and the like are known as a retardation film that is used for a flat panel display (FPD) and the like. These retardation films can achieve accurate conversion of specific monochromatic light so that ¼λ or ½λ retardation occurs. In recent years, various wideband retardation ...

Подробнее
15-01-2015 дата публикации

POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20150017586A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist composition is provided comprising a polymer comprising recurring units having a carboxyl or phenolic hydroxyl group substituted with an acid labile group and recurring units of hydroxyanthraquinone or hydroxy-2,3-dihydro-1,4-anthracenedione methacrylate, and having a Mw of 1,000-500,000. The resist composition has a satisfactory effect of suppressing acid diffusion and a high resolution, and forms a pattern of good profile and minimal edge roughness after exposure. 3. The resist composition of wherein the polymer further comprises recurring units (c) having an adhesive group selected from the class consisting of hydroxyl claim 2 , carboxyl claim 2 , lactone ring claim 2 , carbonate claim 2 , thiocarbonate claim 2 , carbonyl claim 2 , cyclic acetal claim 2 , ether claim 2 , ester claim 2 , sulfonic acid ester claim 2 , cyano claim 2 , amide claim 2 , and —O—C(═O)-G- wherein G is sulfur or NH and c is a number in the range: 0 Подробнее

25-01-2018 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME

Номер: US20180024435A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

The present invention provides a resist composition containing a base resin composed of a polymer compound that contains a repeating unit “a” shown by formula (1) and a repeating unit “b” having either or both of a carboxyl group in which a hydrogen atom is substituted with an acid-labile group and a phenolic hydroxyl group in which a hydrogen atom is substituted with an acid-labile group, with a weight average molecular weight of 1,000 to 500,000. There can be provided a resist composition that has high sensitivity and high resolution, and can give a pattern with low dimensional variation and good pattern profile after exposure. 7. The resist composition according to claim 1 , wherein the polymer compound further comprises a repeating unit “c” having an adhesion group selected from a hydroxyl group claim 1 , a carboxyl group claim 1 , a lactone ring claim 1 , a carbonate group claim 1 , a thiocarbonate group claim 1 , a carbonyl group claim 1 , a cyclic acetal group claim 1 , an ether group claim 1 , an ester group claim 1 , a sulfonate ester group claim 1 , a cyano group claim 1 , an amide group claim 1 , and —O—C(═O)-G- claim 1 , where G represents a sulfur atom or —NH— claim 1 , and a intramolecular fraction c1 of the repeating unit “c” satisfies 0 Подробнее

10-02-2022 дата публикации

POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, POSITIVE PHOTOSENSITIVE DRY FILM, METHOD FOR PRODUCING POSITIVE PHOTOSENSITIVE DRY FILM, PATTERNING PROCESS, METHOD FOR FORMING CURED FILM, INTERLAYER INSULATION FILM, SURFACE PROTECTIVE FILM, AND ELECTRONIC COMPONENT

Номер: US20220043351A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

The present invention is a positive photosensitive resin composition including: (A) an alkali-soluble resin containing at least one or more structures selected from a polyimide structure, a polybenzoxazole structure, a polyamide-imide structure, and a precursor structure thereof; (B) a crosslinkable polymer compound containing a structural unit represented by the following general formula (1) and having a group crosslinked with the component (A); and (C) a compound having a quinonediazide structure for serving as a photosensitizer to generate an acid by light and increase a dissolution speed to an alkaline aqueous solution. An object of the present invention is to provide a positive photosensitive resin composition and a positive photosensitive dry film that enable formation of a fine pattern and high resolution, have excellent mechanical characteristics even when cured at low temperatures, and have no degradation in adhesive force between before and after a high temperature and high humidity test. 5. The positive photosensitive resin composition according to claim 1 , further comprising (D) a heat crosslinking agent.7. The positive photosensitive resin composition according to claim 1 , containing 1 to 50 parts by mass of the component (B) relative to 100 parts by mass of the component (A).8. The positive photosensitive resin composition according to claim 5 , containing 0.5 to 100 parts by mass of the component (D) relative to 100 parts by mass of the component (A).9. The positive photosensitive resin composition according to claim 1 , further comprising any one or more of (E) a protective amine compound claim 1 , (F) a thermal acid generator claim 1 , (G) an antioxidant claim 1 , and (H) a silane compound.10. A patterning process comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(1) forming a photosensitive material film by coating a substrate with the positive photosensitive resin composition according to ;'}(2) subsequently, after a heat treatment, ...

Подробнее
24-01-2019 дата публикации

FLUORINE-CONTAINING POLYMER, PURIFICATION METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION

Номер: US20190025695A1
Принадлежит: JSR CORPORATION

An object of the present invention is to provide a novel fluorine-containing polymer, a radiation-sensitive resin composition for liquid immersion lithography which contains the fluorine-containing polymer, which leads to a pattern having an excellent shape and excellent depth of focus, wherein the amount of an eluted component in a liquid for liquid immersion lithography such as water that comes in contact with the resist during exposure in liquid immersion lithography is little, and which provides a larger receding contact angle between the resist film and the liquid for liquid immersion lithography such as water, and a method for purifying the fluorine-containing polymer. The present resin composition comprises a novel fluorine-containing polymer (A) containing repeating units represented by the general formulae (1) and (2) and having Mw of 1,000-50,000, a resin (B) having an acid-unstable group, a radiation-sensitive acid generator (C), a nitrogen-containing compound (D) and a solvent (E). 18-. (canceled)10: The radiation-sensitive resin composition according to claim 9 , wherein the acid-unstable resin further comprises a repeating unit comprising a lactone structure.11: The radiation-sensitive resin composition according to claim 9 , wherein the radiation-sensitive acid generator comprises an onium ion claim 9 , the onium ion comprising:a cation; and{'sup': 17', '−', '17', '−', '17, 'sub': n', '2n', '3', '3, 'an anion represented by RCFSO, an anion represented by RSO, or both thereof, wherein Rrepresents a fluorine atom, a cycloalkyl group, or a bridge alicyclic hydrocarbon group, the cycloalkyl group and the bridge alicyclic hydrocarbon group having no more than 12 carbon atoms and optionally being substituted, and n is an integer of 1 to 10;'}12: The radiation-sensitive resin composition according to claim 11 , wherein the anion is represented by RCFSO.13: The radiation-sensitive resin composition according to claim 11 , wherein Ris the bridge alicyclic ...

Подробнее
24-01-2019 дата публикации

COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN

Номер: US20190025698A1
Принадлежит: Sumitomo Chemical Company, Limited

A compound represented by the formula (I). 2. The compound according to claim 1 , wherein{'sup': '1', 'Wis an adamantanediyl group or a cyclohexanediyl group.'}4. The compound according to claim 1 , wherein Ais a single bond.5. The compound according to claim 1 , wherein Ris a Cto Cfluorinated alkyl group.6. The compound according to claim 1 , wherein{'sup': 2', 'f, 'sub': 2', 'n, 'Ris —(CH)—R,'}where n represents an integer of 1 to 6, and{'sup': 'f', 'sub': 1', '6, 'Rrepresents a Cto Cperfuloroalkyl group.'}7. The compound according to claim 1 , wherein{'sup': 2', 'fa', 'fb, 'Ris —CH(R)(R);'}{'sup': fa', 'fb', 'fa', 'fb, 'sub': 1', '6, 'where Rand Reach independently represent a Cto Cperfuloroalkyl group, provided that Rand Rhave 11 or less of carbon atoms in total.'} This application is a Divisional of co-pending application Ser. No. 14/835,102 filed on Aug. 25, 2015, which claims priority under 35 U.S.C. § 119(a) to Patent Application No. 2014-170762 filed in Japan on Aug. 25, 2014 and Patent Application No. 2014-189934 filed in Japan on Sep. 18, 2014, all of which are hereby expressly incorporated by reference into the present application.The present invention relates to a compound, a resin, a composition, a resist composition and a method for producing the resist pattern.A resist composition which contains a resin having the following structural unit is described in Patent document of JP 2012-53460A.The present invention provides following inventions of <1> to <10>.<1> A compound represented by formula (I).wherein Rrepresents a hydrogen atom, a halogen atom or a Cto Calkyl group that may have a halogen atom;Rrepresents a Cto Cfluorinated saturated hydrocarbon group;Wrepresents a Cto Cdivalent alicyclic hydrocarbon group;Aand Aeach independently represent a single bond or *-A-X-(A-X)-;Aand Aeach independently represent a Cto Calkanediyl group;Xand Xeach independently represents —O—, —CO—O— or —O—CO—;a represents 0 or 1; and* represents a binding site to an ...

Подробнее
24-04-2014 дата публикации

Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device

Номер: US20140113223A1
Принадлежит: Fujifilm Corp

There is provided a pattern forming method comprising: (i) a step of forming a first film on a substrate by using a first resin composition (I), (ii) a step of forming a second film on the first film by using a second resin composition (II) different from the resin composition (I), (iii) a step of exposing a multi-layered film having the first film and the second film, and (iv) a step of developing the first film and the second film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.

Подробнее
01-02-2018 дата публикации

PHOTOACID GENERATOR AND PHOTORESIST COMPOSITION INCLUDING THE SAME

Номер: US20180031967A1
Принадлежит:

A photoacid generator (PAG) and a photoresist composition, the PAG being represented by the following Chemical Formula (I): 10. The PAG as claimed in claim 1 , wherein AL is detachable from the —SOgroup by exposure to an acid.13. The photoresist composition as claimed in claim 12 , wherein the composition does not include an acid amplifier.15. The photoresist composition as claimed in claim 12 , wherein the PAG represented by Chemical Formula (I) generates two acids in response to exposure to one photon.16. A photoacid generator (PAG) claim 12 , the PAG comprising:an acid labile group bonded to one sulfonate group, the acid labile group being capable of being deprotected by an acid, andanother sulfonate group bonded to a sulfonium ion or an iodonium ion.17. The PAG as claimed in claim 16 , wherein the PAG generates two acids in response to exposure to one photon.19. A photoresist composition including the PAG as claimed in .20. A method of manufacturing a device claim 16 , the method comprising:{'claim-ref': {'@idref': 'CLM-00019', 'claim 19'}, 'applying the photoresist composition as claimed in on an object, and'}exposing and developing the photoresist composition to form a pattern. Korean Patent Application No. 10-2016-0096127, filed on Jul. 28, 2016 and Korean Patent Application No. 10-2017-0095720, filed on Jul. 27, 2017, in the Korean Intellectual Property Office, and entitled: “Photoacid Generator and Photoresist Composition Including the Same,” is incorporated by reference herein in its entirety.Embodiments relate to a photoacid generator and a photoresist composition including the same.A photoacid generator (PAG) may generate a larger amount of acids based on the same light intensity in terms of an advantage in improving productivity and realizing a pattern, and it may be advantageous to add a large amount of a photoacid generator to a photoresist composition.The embodiments may be realized by providing a photoacid generator (PAG) represented by the ...

Подробнее
01-02-2018 дата публикации

PATTERNING DEVICES USING BRANCHED FLUORINATED PHOTOPOLYMERS

Номер: US20180031968A1
Принадлежит:

A fluorinated photopolymer composition is disclosed having a branched copolymer provided in a fluorinated solvent. The copolymer includes a branching unit, a first repeating unit having a fluorine-containing group, and a second repeating unit having a solubility-altering reactive group. The branched fluorinated photopolymer composition is particularly suited for the fabrication of organic electronic and bioelectronic devices, or other devices having sensitive active organic materials. 113-. (canceled)14. A method of patterning a device , comprising:forming a photopolymer layer on a device substrate, the photopolymer layer including a branched copolymer comprising a branching unit, a first repeating unit having a fluorine-containing group, and a second repeating unit having a solubility-altering reactive group;exposing the photopolymer layer to patterned radiation to form an exposed photopolymer layer; andcontacting the exposed photopolymer layer with a developing agent to remove a portion of the exposed photopolymer layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photopolymer covering the device substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photopolymer, the developing agent comprising a first fluorinated solvent.15. The method according to further comprising contacting the first pattern of photopolymer with a stripping agent claim 14 , different in composition from the developing agent claim 14 , the stripping agent comprising a second fluorinated solvent.16. The method according to wherein at least one of the first and second fluorinated solvents is a hydrofluoroether.17. The method according to wherein the device is an OLED device and the device substrate comprises one or more layers of an organic OLED material.18. The method according to wherein the device is an organic TFT device and the device substrate comprises one or more layers of an ...

Подробнее
30-01-2020 дата публикации

SHIKIMATE ANALOGUES AND METHODS OF USE

Номер: US20200031791A1
Принадлежит:

The present disclosure, in at least certain embodiments, is directed to shikimate (shikimic acid) analogues and compositions thereof, devices and kits which contain the shikimate analogues or compositions thereof, and methods of use of the compounds and compositions for treating and neutralizing irritant or odoriferous compounds on animate or inanimate surfaces or in atmospheres. Examples of such irritant and odoriferous compounds include urushiols from poison ivy, oak, and sumac and mercaptans of skunk spray. 2. The composition of claim 1 , wherein the at least one shikimate analogue has Structural Formula I claim 1 , wherein X=O claim 1 , Ris (C1-C8)alkyl claim 1 , Ris absent claim 1 , Ris selected from the group consisting of fluoro claim 1 , chloro claim 1 , bromo claim 1 , iodo claim 1 , and (C1-C8)alkoxy claim 1 , R=OH claim 1 , R=OH claim 1 , and R=OH.3. The composition of claim 1 , wherein the at least one shikimate analogue has Structural Formula I claim 1 , wherein X=O claim 1 , R=CH claim 1 , Ris absent claim 1 , R=Cl claim 1 , R=OH claim 1 , R=OH claim 1 , and R=OH (Pericosine A).4. The composition of claim 1 , wherein the at least one shikimate analogue has Structural Formula I claim 1 , wherein X=O claim 1 , R=CH claim 1 , Ris absent claim 1 , R=OCH claim 1 , R=OH claim 1 , R=OH claim 1 , and R=OH (Pericosine C).5. The composition of claim 1 , wherein the at least one shikimate analogue is selected from the group consisting of Pericosine A claim 1 , Pericosine B claim 1 , Pericosine C claim 1 , and Pericosine D.6. The composition of claim 1 , wherein at least one of the one or more secondary compounds is not methanol claim 1 , ethanol claim 1 , or a propanol.7. The composition of claim 1 , wherein the one or more secondary compounds is selected from the group consisting of organic bases claim 1 , inorganic bases claim 1 , and organic/inorganic bases.8. The composition of claim 1 , wherein the one or more secondary compounds is an amine or a salt ...

Подробнее
17-02-2022 дата публикации

POSITIVE RESIST MATERIAL AND PATTERNING PROCESS

Номер: US20220050378A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist material contains a base polymer containing: a repeating unit having two carboxyl groups whose hydrogen atoms are substituted with two tertiary carbon atoms each bonded to a double bond or triple bond; and a repeating unit having an acid generator shown by any of the following formulae (b1) to (b3). Thus, the present invention provides: a positive resist material having higher sensitivity than conventional positive resist materials, and smaller dimensional variation; and a patterning process using this inventive positive resist material. 3. The positive resist material according to claim 1 , wherein the base polymer further comprises at least one selected from the group consisting of a repeating unit containing a carboxyl group whose hydrogen atom is substituted with a first acid labile group different from the two tertiary carbon atoms each bonded to a double bond or triple bond claim 1 , and a repeating unit containing a phenolic hydroxy group whose hydrogen atom is substituted with a second acid labile group.4. The positive resist material according to claim 2 , wherein the base polymer further comprises at least one selected from the group consisting of a repeating unit containing a carboxyl group whose hydrogen atom is substituted with a first acid labile group different from the two tertiary carbon atoms each bonded to a double bond or triple bond claim 2 , and a repeating unit containing a phenolic hydroxy group whose hydrogen atom is substituted with a second acid labile group.7. The positive resist material according to claim 1 , wherein the base polymer further comprises a repeating unit comprising an adhesive group selected from the group consisting of a hydroxy group claim 1 , a carboxyl group claim 1 , a lactone ring claim 1 , a carbonate group claim 1 , a thiocarbonate group claim 1 , a carbonyl group claim 1 , a cyclic acetal group claim 1 , an ether bond claim 1 , an ester bond claim 1 , a sulfonic acid ester group claim 1 , a cyano ...

Подробнее
01-05-2014 дата публикации

Photoresists comprising ionic compound

Номер: US20140120470A1
Принадлежит: Rohm and Haas Electronic Materials LLC

New photoresist compositions are provided that comprise a component that comprises a radiation-insensitive ionic compound. Preferred photoresists of the invention may comprise a resin with photoacid-labile groups; a photoacid generator compound; and a radiation-insensitive ionic compound that can function to decrease undesired photogenerated-acid diffusion out of unexposed regions of a photoresist coating layer.

Подробнее
01-05-2014 дата публикации

Resist composition, method of forming resist pattern, novel compound, and acid generator

Номер: US20140120472A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1′) and/or a compound represented by (b1-1″) (R 1 ″-R 3 ″ represents an aryl group or an alkyl group, provided that at least one of R 1 ″-R 3 ″ represents a substituted aryl group being substituted with a group represented by (b1-1-0), and two of R 1 ″-R 3 ″ may be mutually bonded to form a ring with the sulfur atom; X represents a C 3 -C 30 hydrocarbon group; Q 1 represents a carbonyl group-containing divalent linking group; X 10 represents a C 1 -C 30 hydrocarbon group; Q 3 represents a single bond or a divalent linking group; Y 10 represents —C(═O)— or —SO 2 —; Y 11 represents a C 1 -C 10 alkyl group or a fluorinated alkyl group: Q 2 represents a single bond or an alkylene group; and W represents a C 2 -C 10 alkylene group).

Подробнее
11-02-2016 дата публикации

Photolithographic methods

Номер: US20160041467A1
Принадлежит: Rohm and Haas Electronic Materials LLC

Provided are photoresist overcoat compositions, substrates coated with the overcoat compositions and methods of forming electronic devices by a negative tone development process. The compositions, coated substrates and methods find particular applicability in the manufacture of semiconductor devices.

Подробнее
09-02-2017 дата публикации

Compound, polymer compound, resist composition, and patterning process

Номер: US20170038683A1
Принадлежит: Shin Etsu Chemical Co Ltd

The present invention provides a compound shown by the formula (1), wherein R 1 represents a hydrogen atom, a fluorine atom, a methyl group, or a trifluoromethyl group; A represents a single bond or a linear divalent hydrocarbon group having 1 to 30 carbon atoms or a branched or cyclic divalent hydrocarbon group having 3 to 30 carbon atoms, in which the hydrocarbon group may contain a heteroatom, and a part or all of hydrogen atoms in the hydrocarbon group may be substituted with a group containing a heteroatom; “n” represents 0 or 1, provided that “n” is 0 when A is a single bond; and M + represents a cation. This compound is suitable as a raw material of a polymer compound usable for a base resin of a resist composition that has high resolution and high sensitivity and is excellent in balance of lithography properties such as LWR and CDU.

Подробнее
09-02-2017 дата публикации

Active-light-sensitive or radiation-sensitive resin composition, active-light-sensitive or radiation-sensitive film and pattern forming method, each using composition, and method for manufacturing electronic device

Номер: US20170038685A1
Принадлежит: Fujifilm Corp

An active-light-sensitive or radiation-sensitive resin composition includes a resin (A) and a photoacid generator (B) capable of generating an acid upon irradiation with active light or radiation, in which the active-light-sensitive or radiation-sensitive resin composition contains at least a photoacid generator (B1) represented by the following General Formula (1) and a photoacid generator (B2) other than the photoacid generator (B1) as the photoacid generator (B).

Подробнее
08-02-2018 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20180039173A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a base polymer and a sulfonium or iodonium salt of iodinated phenoxy or iodinated phenylalkoxy-containing fluorinated sulfonic acid offers a high sensitivity and minimal LWR or improved CDU independent of whether it is of positive or negative tone. 2. The resist composition of claim 1 , further comprising an organic solvent.4. The resist composition of claim 3 , further comprising a dissolution inhibitor.5. The resist composition of which is a chemically amplified positive resist composition.6. The resist composition of wherein the base polymer is free of an acid labile group.7. The resist composition of claim 6 , further comprising a crosslinker.8. The resist composition of which is a chemically amplified negative resist composition.9. The resist composition of claim 1 , further comprising a surfactant.11. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate claim 1 , baking to form a resist film claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed film in a developer.12. The process of wherein the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.13. The process of wherein the high-energy radiation is electron beam or extreme ultraviolet radiation of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2016-155516 filed in Japan on Aug. 8, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition comprising a sulfonium or iodonium salt of iodinated phenoxy or iodinated phenylalkoxy-containing fluorinated sulfonic acid as an acid generator and a pattern forming process.To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. The wide-spreading flash ...

Подробнее
08-02-2018 дата публикации

NEGATIVE RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS

Номер: US20180039175A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A negative resist composition comprising (A) a sulfonium compound of betaine type and (B) a polymer is provided. The resist composition is effective for controlling acid diffusion during the exposure step, exhibits a very high resolution during pattern formation, and forms a pattern with minimal LER. 6. The negative resist composition of wherein the base polymer (B) further contains another polymer comprising recurring units having the formula (B1) and recurring units having the formula (B5) claim 4 , but free of recurring units having the formulae (a1) claim 4 , (a2) claim 4 , and (a3).7. The negative resist composition of claim 1 , further comprising (C) a crosslinker.8. The negative resist composition of claim 3 , which is free of a crosslinker.10. The negative resist composition of claim 1 , further comprising (E) an acid generator.11. A resist pattern forming process comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the negative resist composition of onto a processable substrate to form a resist film thereon,'}exposing the resist film patternwise to high-energy radiation, anddeveloping the resist film in an alkaline developer to form a resist pattern.12. The process of wherein the high-energy radiation is KrF excimer laser radiation claim 11 , EUV or EB.13. The process of wherein the processable substrate is a photomask blank. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2016-154694 filed in Japan on Aug. 5, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a negative resist composition and a process for forming a resist pattern.To meet the recent demand for higher integration in integrated circuits, pattern formation to a finer feature size is required. Acid-catalyzed chemically amplified resist compositions are most often used in forming resist patterns with a feature size of 0.2 μm or less. High-energy radiation such as UV, ...

Подробнее
08-02-2018 дата публикации

COLORED RESIN COMPOSITION, COLORED FILM, DECORATIVE SUBSTRATE AND TOUCH PANEL

Номер: US20180039176A1
Принадлежит: Toray Industries, Inc.

A colored resin composition is disclosed including a polyimide resin having a specified structure to achieve remarkable heat resistance and enabling the formation of a colored film which is excellent in reliability because a coloring material is highly dispersed and stabilized therein. A colored resin composition is disclosed including (A) an alkali-soluble polyimide resin having a structural unit containing a phenolic hydroxyl group, a sulfonic acid group or a thiol group, (B) a coloring material, (C) a polymeric dispersant, and (D) an organic solvent. A patterned colored layer obtained from the composition of the present invention is useful for a decorative substrate, a touch panel, an organic EL display device and the like. 2. The colored resin composition according to claim 1 , wherein the (C) polymeric dispersant has no acid value and has an amine value.3. The colored resin composition according to claim 1 , wherein the (C) polymeric dispersant has a tertiary amino group or a nitrogen-containing heterocyclic basic functional group.4. The colored resin composition according to claim 1 , wherein the (D) organic solvent is composed mainly of an acetate-based solvent.5. The colored resin composition according to claim 1 , wherein the (B) coloring material is composed of an organic pigment and/or an inorganic pigment.6. A colored film being composed of a cured product of the colored resin composition according to .7. A decorative substrate being provided with the colored film according to .8. A touch panel being provided with the decorative substrate according to .9. An organic EL display device having the colored film according to on at least one layer of a flattening layer on a driving circuit and an insulating layer on a first electrode. This is Continuation application of PCT/JP2016/059409, filed Mar. 24, 2016, which claims priority to Japanese Patent Application No. 2015-068203, filed Mar. 30, 2015, the disclosures of these applications being incorporated ...

Подробнее
08-02-2018 дата публикации

POSITIVE RESIST COMPOSITION, RESIST PATTERN FORMING PROCESS, AND PHOTOMASK BLANK

Номер: US20180039177A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist composition comprising a polymer adapted to be decomposed under the action of acid to increase its solubility in alkaline developer and a sulfonium compound of specific structure has a high resolution. When the resist composition is processed by lithography, a pattern with minimal LER can be formed. 6. The positive resist composition of claim 1 , further comprising (D) an organic solvent.7. The positive resist composition of claim 1 , further comprising (E) a photoacid generator.8. A resist pattern forming process comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the positive resist composition of onto a processable substrate to form a resist film thereon,'}exposing the resist film patternwise to high-energy radiation, anddeveloping the resist film in an alkaline developer to form a resist pattern.9. The process of wherein the high-energy radiation is EUV or EB.10. The process of wherein the processable substrate has an outermost surface of silicon-containing material.11. The process of wherein the processable substrate is a photomask blank.12. A photomask blank having coated thereon the positive resist composition of . This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2016-154628 filed in Japan on Aug. 5, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a positive resist composition, a resist pattern forming process, and a photomask blank.To meet the recent demand for higher integration in integrated circuits, pattern formation to a finer feature size is required. Acid-catalyzed chemically amplified resist compositions are most often used in forming resist patterns with a feature size of 0.2 μm or less. High-energy radiation such as UV, deep-UV or electron beam (EB) is used as the light source for exposure of these resist compositions. In particular, while EB lithography is utilized as the ultra-fine microfabrication ...

Подробнее
12-02-2015 дата публикации

CHEMICALLY AMPLIFIED PHOTOSENSITIVE RESIN COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN USING THE SAME

Номер: US20150044613A1
Принадлежит:

A chemically amplified photosensitive resin composition including a compound represented by formula (1) and/or formula (4), a resin having an acid-dissociative dissolution-controlling group whose solubility in alkali increases under the action of an acid or an alkali-soluble resin, a photoacid generator, and an organic solvent, in which the solid concentration is 40% by mass to 65% by mass. R, R, and Rindependently represent a hydrogen atom or an alkyl group, Rrepresents a group represented by formula (2) or (3), and Rand Rrepresent a monovalent hydrocarbon group which may have a substituent. 2. The chemically amplified photosensitive resin composition according to claim 1 , which contains a resin having an acid-dissociative dissolution-controlling group and whose solubility in alkali increases under the action of an acid.3. A method for producing a resist pattern claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'forming a photosensitive resin layer containing the chemically amplified photosensitive resin composition according to on a substrate;'}selectively exposing the photosensitive resin layer; anddeveloping the exposed photosensitive resin layer.4. A method for producing a resist pattern claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00002', 'claim 2'}, 'forming a photosensitive resin layer containing the chemically amplified photosensitive resin composition according to on a substrate;'}selectively exposing the photosensitive resin layer; anddeveloping the exposed photosensitive resin layer.5. The method for producing a resist pattern according to claim 3 , wherein a film thickness of the photosensitive resin layer is 30 μm or more.6. The method for producing a resist pattern according to claim 4 , wherein a film thickness of the photosensitive resin layer is 30 μm or more. This application claims priority to Japanese Patent Application No. 2013-166530, filed Aug. 9, 2013, the content of which is incorporated herein by reference.1. ...

Подробнее
07-02-2019 дата публикации

Photoresist and Method

Номер: US20190041749A1
Принадлежит:

Shrinkage and mass losses are reduced in photoresist exposure and post exposure baking by utilizing a small group which will decompose. Alternatively a bulky group which will not decompose or a combination of the small group which will decompose along with the bulky group which will not decompose can be utilized. Additionally, polar functional groups may be utilized in order to reduce the diffusion of reactants through the photoresist. 1. A method of manufacturing a semiconductor device , the method comprising: a hydrocarbon backbone;', 'a first acid labile group, wherein the first acid labile group has less than 9 carbon atoms, wherein the first acid labile group comprises a cycloalkyl group and further comprises a C4-C5 alkyl group, an acetyl group, or an acetyl alkyl group; and', 'a second acid labile group, wherein the second acid labile group comprises greater than 9 carbon atoms;, 'applying a photoresist to a layer to be patterned, wherein the photoresist comprisesexposing the photoresist to a patterned light source; anddeveloping the photoresist after the exposing the photoresist.2. The method of claim 1 , wherein the developing the photoresist further comprises applying a negative tone developer to the photoresist after the exposing the photoresist.3. The method of claim 1 , wherein the photoresist further comprises a bulky group which will not decompose claim 1 , wherein the bulky group which will not decompose comprises greater than 9 carbon atoms.4. The method of claim 3 , wherein the bulky group which will not decompose has a loading of greater than 5%.5. The method of claim 1 , wherein after the exposing the photoresist to the patterned light source claim 1 , the first acid labile group and the second acid labile group cleave from the hydrocarbon backbone and degas from the photoresist.6. The method of claim 1 , wherein monomers comprising the first acid labile group are greater than 5% of the monomers within the photoresist.7. The method of claim 1 , ...

Подробнее
15-02-2018 дата публикации

NON-IONIC ARYL KETONE BASED POLYMERIC PHOTO-ACID GENERATORS

Номер: US20180044459A1
Принадлежит:

Non-ionic photo-acid generating (PAG) polymerizable monomers were prepared that contain a side chain sulfonate ester of an alpha-hydroxy aryl ketone. The aryl ketone group has a perfluorinated substituent alpha to the ketone carbonyl. The sulfur of the sulfonate ester is also directly linked to a fluorinated group. PAG polymers prepared from the PAG monomers release a strong sulfonic acid when exposed to high energy radiation such as deep UV or extreme UV light. The photo-generated sulfonic acid has a low diffusion rate in an exposed resist layer subjected to a post-exposure bake (PEB) at 100° C. to 150° C., resulting in formation of good line patterns after development. 10. The compound of claim 1 , wherein Ris selected from the group consisting of trifluoromethyl and perfluoroethyl.16. The PAG polymer of claim 14 , wherein Y′ is benzene-1 claim 14 ,4-diyl.17. The PAG polymer of claim 14 , wherein the PAG polymer is thermally stable in a lithographic process up to at least 130° C.19. The PAG polymer of claim 14 , wherein the PAG polymer comprises a second repeating unit comprising an acid labile group claim 14 , the acid labile group comprising a protected carboxylic acid group capable of being deprotected by an acid claim 14 , and the PAG polymer is capable of chemical amplification in a lithographic process.20. The PAG polymer of claim 19 , wherein the acid labile group of the protected carboxylic acid group is protected with an acid-labile functionality selected from the group consisting of tertiary esters claim 19 , acetals claim 19 , ketals claim 19 , carbonates claim 19 , and orthoesters.21. A resist composition claim 19 , comprising:{'claim-ref': {'@idref': 'CLM-00013', 'claim 13'}, 'the PAG polymer of ; and'}an organic solvent, wherein the PAG polymer is dissolved in the organic solvent, and the resist composition is suitable for forming a resist pattern in a lithographic process.22. A method claim 19 , comprising:{'claim-ref': {'@idref': 'CLM-00013', ' ...

Подробнее
18-02-2021 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20210048746A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a base polymer and a sulfonium salt of a carboxylic acid having an iodine or bromine-substituted hydrocarbyl group offers a high sensitivity, minimal LWR and improved CDU, independent of whether it is of positive or negative tone. 1. A resist composition comprising a sulfonium salt of a carboxylic acid having an iodine or bromine-substituted hydrocarbyl group (exclusive of iodine or bromine-substituted aromatic ring).3. The resist composition of claim 1 , further comprising an acid generator capable of generating a sulfonic acid claim 1 , imide acid or methide acid.5. The resist composition of which is a chemically amplified positive resist composition.6. The resist composition of wherein the base polymer is free of an acid labile group.7. The resist composition of which is a chemically amplified negative resist composition.9. The resist composition of claim 1 , further comprising an organic solvent.10. The resist composition of claim 1 , further comprising a surfactant.11. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate to form a resist film claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed resist film in a developer.12. The process of wherein the high-energy radiation is i-line of wavelength 365 nm claim 11 , ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.13. The process of wherein the high-energy radiation is EB or EUV of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2019-148857 filed in Japan on Aug. 14, 2019, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition and a pattern forming process.To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. The wide- ...

Подробнее
07-02-2019 дата публикации

METHOD FOR FORMING SEMICONDUCTOR STRUCTURE

Номер: US20190043710A1

A method for forming a semiconductor device structure is provided. The method includes forming a material layer over a substrate and providing a resist solution. The resist solution includes a plurality of first polymers and a plurality of second polymers, each of the first polymers includes a first polymer backbone, and a first acid-labile group (ALG) with a first activation energy bonded to the first polymer backbone. Each of the second polymers includes a second polymer backbone, and a second acid-labile group with a second activation energy bonded to the second polymer backbone, the second activation energy is greater than the first activation energy. The method includes forming a resist layer over the material layer, and the resist layer includes a top portion and a bottom portion, and the first polymers diffuse to the bottom portion, and the second polymers diffuse to the top portion. 1. A method for forming a semiconductor structure , comprising:forming a material layer over a substrate;providing a resist solution, wherein the resist solution comprises a plurality of first polymers and a plurality of second polymers, each of the first polymers comprises a first polymer backbone and a first acid-labile group (ALG) with a first activation energy bonded to the first polymer backbone, each of the second polymers comprises a second polymer backbone and a second acid-labile group with a second activation energy bonded to the second polymer backbone, wherein the second activation energy is greater than the first activation energy;forming a resist layer over the material layer, wherein the resist layer comprises a top portion and a bottom portion, the first polymers diffuse to the bottom portion, and the second polymers diffuse to the top portion;exposing a portion of the resist layer by performing an exposure process;removing a portion of the resist layer to form a patterned resist layer;patterning the material layer by using the patterned resist layer as a mask; ...

Подробнее
15-02-2018 дата публикации

METHOD FOR FORMING RESIST UNDERLAYER FILM

Номер: US20180046078A1
Принадлежит: NISSAN CHEMICAL INDUSTRIES, LTD.

A method forms a resist underlayer film that has high resistance to dry etching using a gas containing a fluorocarbon. A method for forming a resist underlayer film includes the steps of: applying to a substrate a resist underlayer film-forming composition containing a fullerene derivative in which one to six molecules of malonic acid diester of the following Formula (1): 2. The method for forming a resist underlayer film according to claim 1 , wherein the temperature in baking under the atmosphere is 750° C. or lower.3. The method for forming a resist underlayer film according to claim 1 , wherein an oxygen concentration under the atmosphere is 0.01 ppm to 100 ppm.4. The method for forming a resist underlayer film according to claim 1 , wherein the resist underlayer film-forming composition further contains a surfactant.5. A method for forming a pattern comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying an intermediate layer-forming composition to the resist underlayer film formed by the method according to , followed by baking, to form a silicon-containing intermediate layer;'}forming a resist film on the silicon-containing intermediate layer;carrying out at least exposure and development for the resist film to form a resist pattern; anddry etching the silicon-containing intermediate layer using a gas containing a fluorocarbon through the resist pattern serving as a mask. The present invention relates to a method for forming a resist underlayer film used in a lithography process that is a film obtained from a composition containing a fullerene derivative as a solid content.In a lithography process for production of a semiconductor device, a technology of forming a resist pattern in a desired shape by providing a resist underlayer film before formation of a photoresist film has been known. The following Patent Documents 1 and 2 describe a resist underlayer film-forming composition prepared using a fullerene derivative. In the ...

Подробнее