Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 7032. Отображено 197.
20-10-2016 дата публикации

СПОСОБ И УСТРОЙСТВО ДЛЯ ОСАЖДЕНИЯ АТОМНЫХ СЛОЕВ

Номер: RU2600047C2
Принадлежит: ПИКОСАН ОЙ (FI)

Изобретение относится к способу химического осаждения атомных слоев на подложку, устройству и линии для упомянутого осаждения. Способ химического осаждения атомных слоев на подложку включает использование реактора для осаждения атомных слоев, выполненного с возможностью осаждения материала на по меньшей мере одной подложке путем последовательных поверхностных реакций самонасыщения, использование сухого воздуха в реакторе в качестве продувочного газа и использование сухого воздуха в качестве несущего инертного газа для увеличения давления в источнике прекурсора. Устройство для упомянутого осаждения содержит реакционную камеру для осаждения атомных слоев, выполненную с возможностью осаждения материала на по меньшей мере одной подложке путем последовательных поверхностных реакций самонасыщения, линию подачи сухого воздуха из источника сухого воздуха для подачи сухого воздуха в качестве продувочного газа в реакционную камеру упомянутого устройства и средства для использования сухого воздуха ...

Подробнее
10-02-2015 дата публикации

УСТРОЙСТВО И СПОСОБ НАНЕСЕНИЯ АТОМНОГО СЛОЯ

Номер: RU2541232C2

Изобретение относится к устройству и способу для нанесения атомного слоя на поверхность подложки. Упомянутое устройство содержит инжекторную головку для газообразного прекурсора с выступающими частями, содержащую систему подачи газообразного прекурсора, причем упомянутая инжекторная головка выполнена с возможностью инжекции газообразного прекурсора из системы подачи газообразного прекурсора в пространство нанесения для контакта с поверхностью подложки. Пространство нанесения ограничено упомянутой инжекторной головкой и поверхностью подложки. Упомянутое устройство выполнено с возможностью обеспечения перемещения упомянутой инжекторной головки и подложки относительно друг друга в плоскости поверхности подложки. Упомянутая инжекторная головка содержит инжектор несущего газа для инжекции несущего газа между упомянутой инжекторной головкой и поверхностью подложки и/или между упомянутой инжекторной головкой и поверхностью держателя подложки, который механически прикреплен к подложке. Инжектор ...

Подробнее
08-05-2019 дата публикации

Номер: RU2017124606A3
Автор:
Принадлежит:

Подробнее
02-08-2018 дата публикации

Номер: RU2017103485A3
Автор:
Принадлежит:

Подробнее
10-08-2016 дата публикации

ПОКРЫТИЕ ПОЛОТНА ПОДЛОЖКИ ОСАЖДЕНИЕМ АТОМНЫХ СЛОЕВ

Номер: RU2014152784A
Принадлежит:

... 1. Способ атомно-слоевого осаждения, включающий:подачу покрываемого полотна в реакционное пространство реактора атомно-слоевого осаждения иобеспечение доступности реакционного пространства разделенным во времени импульсам подачи прекурсоров для нанесения материала на покрываемое полотно посредством последовательных самоограниченных поверхностных реакций.2. Способ по п. 1, включающий введение покрываемого полотна из зоны избыточного давления в реакционное пространство через прорезь, обеспечивающую поддержание разности давлений между указанной зоной и реакционным пространством.3. Способ по п. 2, в котором указанную прорезь образуют посредством ограничительных пластин.4. Способ по любому из предыдущих пунктов, в котором управление толщиной нанесенного материала осуществляют выбором скорости полотна.5. Способ по п. 2 или 3, включающий подачу неактивного газа в зону избыточного давления.6. Способ по любому из пп. 1-3, в котором направление потока паров прекурсоров в реакционном пространстве ...

Подробнее
16-04-2015 дата публикации

Vorrichtung zur Bearbeitung einer Oberfläche eines Substrats und Düsenkopf

Номер: DE112013003259T5
Принадлежит: BENEQ OY

Die Erfindung betrifft eine Vorrichtung und einen Düsenkopf zur Bearbeitung einer Oberfläche eines Substrats (20). Die Vorrichtung weist auf: einen Substratstützmechanismus (2, 4, 12, 16) zum Stützen des Substrats (20) auf einer Substratstützebene (4) in einer Prozesszone (50), einen Düsenkopf (6, 7) zum Einwirkenlassen aufeinanderfolgender Oberflächenreaktionen mindestens eines ersten Präkursors (A) und eines zweiten Präkursors (B) auf die Oberfläche des Substrats (20) und einen Düsenkopfstützmechanismus (8, 11, 24, 26, 28, 29, 30, 32, 34) zum Stützen des Düsenkopfs (6, 7) in einem vorbestimmten Abstand (3) von der Substratstützebene (4). Der Düsenkopfstützmechanismus (8, 10, 11, 24, 26, 28, 29, 30, 32, 34) weist eine Düsenkopfstützfläche (24, 25, 37) auf, und der Düsenkopf (6, 7) wird an der Düsenkopfstützfläche (24, 25, 37) gestützt.

Подробнее
02-07-2008 дата публикации

Sintered body comprising particles coated by atomic layer deposition

Номер: GB0002445218A
Принадлежит:

Sintered bodies for cutting tools comprise a plurality of hard particles with at least some having a coating formed by atomic layer deposition disposed thereon and a binder phase. The hard particles have a size less than 500 microns and may be tungsten carbide, tantalum carbide, titanium carbide, diamond or boron nitride. The coating is 1-10 nanometres thick and formed from a metal (e.g. at least one of Fe, Ni or Co), alloy and/or ceramic. The binder phase can be formed from the coating or added as a separate ingredient. When the hard phase particles have an average size less than 100 microns the coating preferably comprises 1-5 volume % of each coated hard particle.

Подробнее
22-06-2005 дата публикации

High conductivity particle filter

Номер: GB0002409180A
Принадлежит:

A high conductivity particle filter provides a flow path to subject a fluid stream to a series of turns. The turns require an abrupt directional change for the fluid steam. Traps are positioned in proximity to the turns to capture particles, which have greater inertia than the fluid. The flow path may be a spiral or a series of parallel paths. A cross sectional area of the flow path may be progressively decreased to increase flow velocity and particle inertia.

Подробнее
30-12-2020 дата публикации

Fully coated particles

Номер: GB2585119A
Принадлежит:

A plurality of fully coated particles comprising a plurality of particles each having a solid core enclosed by a coating is obtainable by providing a plurality of particles into a gas phase coating reactor 10, subjecting the particles to pulses of gas phase materials by a gas phase coating technique, forcing the particles through a sieve 16 within the reactor to deagglomerate any particle aggregates and repeating the coating process to form particles with a solid core fully enclosed by a coating. The coating may comprise an inorganic material, preferably one or more metal or metalloid-containing compounds, most preferably a hydroxide or an oxide. The solid core may or may not comprise a biologically active agent and may comprise a pH modifying agent, for example citric acid. A pharmaceutical composition comprises a pharmacologically effective amount of a biologically active agent in the form of fully coated particles, wherein the coating enables a therapeutically effective controlled or ...

Подробнее
04-03-2020 дата публикации

Apparatus

Номер: GB0002576851A
Принадлежит:

A reactor 10 for forming fully coated particles having a solid core comprises a reactor vessel 12, a gas phase coating mechanism 21, 23 for introducing pulses of gas phase materials, a sieve 16 located within the reactor vessel and a forcing means 19 for forcing the particles through the sieve to deagglomerate any particle aggregates. The forcing means may include shaking, tumbling, centrifugal force, sonic vibration, ultrasonic vibration, vacuum, air column, gas flow or gravitational. A forcing aid may include a brush, balls, scraper, spatula, paddle or air jet. First and second shutters 15a, 15b may be located above and below the sieve. The reactor vessel may include two reactor chambers that are configured to switch places, possibly by rotation about an axle 26. The gas phase coating mechanism is preferably an atomic layer deposition (ALD) mechanism. Forcing the particles through the sieve between pulses ensures that the particles are fully exposed to the gas phase materials and contact ...

Подробнее
15-03-2008 дата публикации

APPARATUS AND PROCEDURE FOR THE MINIMIZATION OF PARASITI CVD DURING THE ATOM LAYER COATING

Номер: AT0000388484T
Принадлежит:

Подробнее
15-08-2009 дата публикации

DEVICE FOR THE TRANSPORT OF GASES INTO A CHAMBER AND FROM A CHAMBER

Номер: AT0000439457T
Принадлежит:

Подробнее
15-10-2009 дата публикации

ATOMIC POSITION SEPARATION SYSTEM AND - PROCEDURES

Номер: AT0000444380T
Принадлежит:

Подробнее
29-05-2020 дата публикации

A multi-layer deposition reactor is disclosed.

Номер: CH0000715599A2
Принадлежит:

Dans la présente invention, un réacteur (1) est prévu pour revêtir simultanément une pluralité de substrats individuels (3) d'un revêtement multicouche comprenant au moins une couche organique et au moins une couche inorganique. Le réacteur (1) comprend une chambre de dépôt unique dans laquelle des premiers moyens de dépôt et des seconds moyens de dépôt sont agencés pour déposer, lors du fonctionnement du réacteur (1), ladite au moins une couche organique et ladite au moins une couche inorganique respectivement. Le réacteur (1) comprend en outre des moyens de support (2) pour les substrats individuels (3), lesdits moyens de support (2) étant configurés pour retourner chaque substrat individuel (3) pendant le dépôt desdites couches organiques et desdites couches inorganiques.

Подробнее
30-12-2008 дата публикации

РЕАКТОР ДЛЯ ПОСЛОЙНОГО АТОМНОГО ОСАЖДЕНИЯ

Номер: EA200801014A1
Принадлежит:

Изобретение относится к реакционной камере реактора послойного атомного осаждения, содержащей нижнюю стенку, верхнюю стенку и боковые стенки, проходящие между верхней стенкой и нижней стенкой с образованием внутренней части (28) реакционной камеры. Реактор содержит также одно или несколько впускных отверстий (30) для подачи газа в реакционную камеру и одно или несколько выпускных отверстий (40, 50) для отвода поданного в реактор газа из реакционной камеры. Реакционная камера отличается тем, что каждая боковая стенка реакционной камеры содержит одно или несколько впускных отверстий (30), и в этом случае все боковые стенки реакционной камеры принимают участие в газообмене.

Подробнее
30-12-2008 дата публикации

DEVICE FOR THE REACTOR OF THE LAYERED ATOMIC PRECIPITATION

Номер: EA0200801015A1
Принадлежит:

Подробнее
28-09-2012 дата публикации

METHOD AND DEVICE FOR MONITORING THE PROCESS OF COATING BY MEANS OF DEPOSITION

Номер: EA0201270338A1
Принадлежит:

Подробнее
29-05-2015 дата публикации

NOZZLE AND-TYPE NOZZLE-DRAFT HEAD

Номер: EA0201491521A1
Автор:
Принадлежит:

Подробнее
30-06-2011 дата публикации

УСТРОЙСТВО ДЛЯ РЕАКТОРА ПОСЛОЙНОГО АТОМНОГО ОСАЖДЕНИЯ

Номер: EA201071368A1
Принадлежит:

Настоящее изобретение относится к устройству для реактора послойного атомного осаждения, содержащего реакционную камеру, причем устройство содержит патрубки для подачи реакционного газа в реакционную камеру (2) и его отвода из реакционной камеры и патрубки для подачи барьерного газа. Патрубки для подачи и отвода реакционного газа и патрубки для подачи барьерного газа содержат средний элемент (3), имеющий множество параллельных каналов (4-7), проходящих через этот элемент, и первый и второй реверсивные элементы (8, 9), установленные на концах среднего элемента (3), в которые открываются каналы (4-7), причем реверсивные элементы (8, 9) выполнены таким образом, что объединяют каналы в среднем элементе (3) для получения потока между каналами.

Подробнее
26-04-2019 дата публикации

Apparatus and methods for atomic layer deposition

Номер: CN0109689930A
Принадлежит:

Подробнее
30-06-2017 дата публикации

FILM FORMING APPARATUS

Номер: CN0106906454A
Принадлежит:

Подробнее
22-03-2019 дата публикации

Gas supply apparatus and film forming apparatus

Номер: CN0109504952A
Принадлежит:

Подробнее
12-12-2012 дата публикации

Method and apparatus for depositing atomic layers on a substrate

Номер: CN0102822384A
Принадлежит:

Подробнее
10-04-2018 дата публикации

Manufacturing method of semiconductor device, the substrate processing apparatus, substrate processing system and recording medium

Номер: CN0105493248B
Автор:
Принадлежит:

Подробнее
05-09-2012 дата публикации

Combinatorial process system

Номер: CN101919027B
Принадлежит:

Подробнее
12-12-2012 дата публикации

ALD apparatus and method

Номер: CN0101818334B
Автор: SNEH OFER
Принадлежит:

Подробнее
09-05-2012 дата публикации

Arrangement for processing substrate and substrate carrier

Номер: CN0102449189A
Автор: JARMO MAULA, MAULA JARMO
Принадлежит:

Подробнее
06-01-2014 дата публикации

Thin film deposition apparatus

Номер: KR0101347046B1
Принадлежит:

Подробнее
09-03-2017 дата публикации

원자 층 증착 시스템에서 개별적인 선구체 존들 사이의 여분의 선구체 운송의 방지

Номер: KR0101714538B1

ALD 박막 증착을 위한 시스템 및 방법(200, 300)은 다중의 개별적인 선구체 존(214, 216, 314, 316)을 수반하는 병진-기반의 프로세스에서 기재(210, 310)의 표면으로부터 여분의 비-화학 흡착된 선구체를 제거하기 위한 메커니즘(280, 380)을 포함한다. 본 개시에 따른 여분의 선구체 제거 메커니즘(280, 380)은 여분의 선구체의 국부화된 높은 온도 조건, 높은 에너지 조건, 또는 공비 혼합물을 도입하여, 개별적인 선구체 존에 도달하기 전에 여분의 선구체를 유리시키고, 이를 통해 기재의 열-도입된 열화를 야기하지 않고도 CVD 증착이 발생하는 것을 방지한다.

Подробнее
01-07-2010 дата публикации

Seqeuntial Chemical Vapor Deposition

Номер: KR0100966088B1
Автор:
Принадлежит:

Подробнее
09-01-2020 дата публикации

Thin film deposition apparatus

Номер: KR0102064145B1
Автор:
Принадлежит:

Подробнее
24-08-2018 дата публикации

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Номер: KR0101882774B1

... 웨이퍼를 가열 처리하는 매엽(枚葉) 장치에서 웨이퍼 면내의 막 특성의 향상을 실현 가능하게 하는 것을 목적으로 한다.본 발명의 일 형태에 따르면, 기판을 처리하는 처리 용기; 상기 기판을 처리할 때 위치하는 기판 처리 포지션과 상기 기판을 상기 처리 용기에 반입할 때 위치하는 기판 반송 포지션 사이에서 상하동(上下動) 가능하도록 구성되고, 제1 온도로 상기 기판을 가열하는 제1 가열부를 포함하는 것과 함께, 상기 기판 처리 포지션에서 상기 기판이 재치되는 재치면을 포함하는 기판 재치부; 상기 기판이 상기 기판 재치부에 재치되기 전에 상기 기판이 재치되는 리프트 핀; 불활성 가스를 가열하는 제2 가열부를 포함하고, 상기 처리 용기에 가열된 상기 불활성 가스를 공급하는 가열 가스 공급계; 및 상기 기판 재치부가 상기 기판 반송 포지션으로부터 상기 기판 처리 포지션으로 이동하는 동안 상기 기판의 표면과 이면(裏面)이 소정의 온도 범위가 되도록 상기 리프트 핀 상에 상기 기판이 재치되어 상기 기판이 상기 기판 재치부로부터 이간된 상태에서 상기 제1 가열부를 제어하는 것과 함께, 상기 제2 가열부에 의해 가열된 상기 불활성 가스를 공급하도록 상기 가열 가스 공급계를 제어하는 제어부를 포함하는 기판 처리 장치가 제공된다.

Подробнее
10-10-2007 дата публикации

METHOD AND AN APPARATUS FOR PROVIDING UNIFORM GAS DELIVERY TO A REACTOR WHILE MAINTAINING PRECURSORS AT DIFFERENT TEMPERATURES UNTIL THE PRECURSORS REACH AND REACT ON A SURFACE OF A SUBSTRATE

Номер: KR1020070100120A
Принадлежит:

PURPOSE: A method and an apparatus for providing uniform gas delivery to a reactor are provided to achieve film uniformity on a substrate by preventing undesirable mixing of precursors and high film deposition rates without causing a bottleneck throughout the overall manufacturing process. CONSTITUTION: A gas distribution system(28) for a reactor comprises more than two individual gas supply orifice arrays displaced from on another along an axis contoured by a gas flow direction towards a workpiece deposition surface from the gas supply orifice arrays. At least a gas supply orifice array in a lower side is positioned between the gas supply orifice array in an upper side and the workpiece deposition surface. © KIPO 2007 ...

Подробнее
07-03-2016 дата публикации

원자층 증착 반응기 내 기판 웹 트랙의 형성

Номер: KR1020160024882A
Принадлежит:

... 제1 지지 롤 세트(17, 27, 37)를 제2 지지 롤 세트(18, 28)에 대하여 이동시킴으로써 증착 반응기(10)의 반응 공간 안으로 반복 패턴을 가진 기판 웹(15)의 트랙을 형성하기 위한 장치 및 방법이 제공된다. 본 발명의 일 효과는 트랙이 자동적으로 형성된다는 것이다. 다른 효과는, 반응 공간으로부터의 가스를 기판 웹을 위한 제1 지지 롤 세트를 통해 진행하는 루트를 거쳐서 제거함으로써 상부로부터 하부로 향하는 유동이 얻어질 수 있다는 점이다.

Подробнее
07-03-2016 дата публикации

REACTOR OF SUBSTRATE PROCESSING APPARATUS

Номер: KR1020160024660A
Принадлежит:

Disclosed is a reactor of a substrate processing apparatus. According to the present invention, the reactor of the substrate processing apparatus is a reactor (100) of the substrate processing apparatus by which at least one substrate (40) is processed, wherein a planar cross-sectional shape of the reactor (100) has at least two radii of curvature, thereby increasing efficiency in discharging substrate process gas. COPYRIGHT KIPO 2016 ...

Подробнее
21-02-2017 дата публикации

가스 공급 장치 및 밸브 장치

Номер: KR1020170019419A
Принадлежит:

TiCl4 가스와 NH3 가스를 교대로 복수회 기판에 공급해서 성막을 행함에 있어서, 밸브 장치(1)의 냉각을 억제하면서 N2 가스의 유량을 크게 하는 것이 가능하고, 스루풋 향상에 기여할 수 있는 기술을 제공한다. TiCl4 가스와 NH3 가스를 교대로 복수회 웨이퍼(W)에 공급해서 성막을 행함에 있어서, 한쪽의 처리 가스의 공급과 다른 쪽의 처리 가스의 공급과의 사이에 처리 용기(10) 내에 공급되는 분위기 치환용의 N2 가스를 사전에 가열하고 있다. 이 때문에, 처리 용기(10)의 내벽이나 웨이퍼(W) 등의 가스 접촉 부위의 냉각을 억제하면서 N2 가스의 유량을 크게 할 수 있으므로, 분위기의 치환에 필요로 하는 시간을 단축할 수 있고, 스루풋의 향상에 기여할 수 있음과 함께, 밸브 장치(1)의 냉각에 의한 반응 생성물의 부착 등의 문제의 발생이 억제된다.

Подробнее
23-01-2015 дата публикации

Номер: KR1020150008667A
Автор:
Принадлежит:

Подробнее
04-03-2016 дата публикации

METHOD FOR VOID-FREE COBALT GAP FILL

Номер: KR1020160024351A
Принадлежит:

The present invention provides methods of depositing void-free cobalt into features with high aspect ratios. Methods involve the following steps: (a) partially filling a feature with cobalt; (b) exposing the feature to plasma generated from nitrogen-containing gas to selectively inhibit cobalt nucleation on surfaces near or at the top of the feature; optionally repeating the steps (a) and (b), and depositing bulk cobalt into the feature by chemical vapor deposition. The methods may also involve exposing a feature including a barrier layer to the plasma generated from the nitrogen-containing gas to selectively inhibit cobalt nucleation. The methods may be performed at low temperatures less than about 400°C by using cobalt-containing precursors. COPYRIGHT KIPO 2016 ...

Подробнее
16-08-2018 дата публикации

VAPOR DEPOSITION APPARATUS AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS

Номер: KR1020180091785A
Принадлежит:

The present invention relates to a vapor deposition apparatus which is able to perform efficient deposition process, is able to easily improve a feature of a deposition film, and is for depositing a thin film on a substrate, comprising: a main body portion provided with an upper member and a side member coupled to the upper member; an accommodating portion arranged toward one side of the side member; a stage arranged in the accommodating portion and formed to mount the substrate; a first injection portion arranged in the side member and injecting at least one gas to a space between the side member and the upper member; a second injection portion arranged in the upper member and injecting at least one gas in a space between the upper member and the side member; and a plasma generating portion provided with a coil portion arranged on one surface of the upper member and a power portion connected to the coil portion. COPYRIGHT KIPO 2018 ...

Подробнее
20-07-2020 дата публикации

Apparatus for forming metal oxide thin film and method for forming metal oxide thin film

Номер: KR1020200087186A
Автор:
Принадлежит:

Подробнее
22-09-2014 дата публикации

SYSTEMS AND METHODS FOR REMOTE PLASMA ATOMIC LAYER DEPOSITION

Номер: KR1020140111989A
Автор:
Принадлежит:

Подробнее
24-12-2004 дата публикации

GAS VALVE ASSEMBLY WITH LABYRINTH SEAL FOR PREVENTING LEAKAGE OF MAGNETIC FLUID IN ALD APPARATUS

Номер: KR20040108444A
Автор: LEE, SANG GON
Принадлежит:

PURPOSE: A gas valve assembly of an ALD(Atomic Layer Deposition) apparatus is provided to prevent a magnetic fluid from leaking out of a magnetic seal by using a labyrinth seal. CONSTITUTION: A gas valve assembly of an ALD apparatus includes a driving shaft, a housing, a plurality of annular magnetic seals and a plurality of labyrinth seals. The driving shaft(1) includes a gas supply path(50) and an inlet port of the gas supply path. The housing(2) with a plurality of through holes(5) surrounds the driving shaft. The plurality of magnetic seals(12) are formed between the driving shaft and the housing. The plurality of labyrinth seals(100) are formed between the driving shaft and the housing to prevent a magnetic fluid from leaking out of the magnetic seals. © KIPO 2005 ...

Подробнее
29-04-2020 дата публикации

PROCESSING APPARATUS AND COVER MEMBER

Номер: KR1020200044779A
Принадлежит:

Подробнее
21-07-2017 дата публикации

ROLL TO ROLL-BASED LAMINATION DEVICE

Номер: KR1020170084897A
Принадлежит:

The present invention relates to a device for laminating specific substances on a film in multiple layers, comprising: a pair of EHDA units formed on a web moving between an unwinding roll and a winding roll; and an ALD unit disposed between the EHDA units. The ALD unit is operated in an atmospheric environment to enable easy mass production of the ALD unit and enables lamination thickness to be easily controlled. Moreover, the ALD unit can reduce manufacturing costs. COPYRIGHT KIPO 2017 ...

Подробнее
23-01-2019 дата публикации

원자층 증착 공정용 챔버

Номер: KR1020190007864A
Принадлежит:

... 원자층 증착 공정용 챔버는, 원통형 형상을 갖고 내부에 원자층 증착 공정을 위한 공정 공간을 제공하는 원통형 바디, 상기 공정 공간과 연통되며, 소스 가스 및 캐리어 가스를 포함하는 공정 가스를 상기 공정 공간으로 유입시키는 가스 유입부, 및 상기 가스 유입부와 상기 공정 공간 사이를 연결하는 나선 구조를 가짐으로써 상기 공정 가스의 사이클론 기류를 형성하는 사이클론 형성부를 구비하는 가스 공급 유닛 및 상기 원통형 바디의 상부와 연결되며, 상기 공정 공간으로부터 가스를 배출할 수 있도록 구비된 가스 배출 유닛을 포함한다.

Подробнее
09-07-2019 дата публикации

Номер: KR1020190081002A
Автор:
Принадлежит:

Подробнее
01-08-2008 дата публикации

Film formation method and apparatus for semiconductor process

Номер: TW0200832553A
Принадлежит:

An oxide film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas including a source gas containing a film source element and no amino group, a second process gas including an oxidizing gas, and a third process gas including a preliminary treatment gas. A first step includes an excitation period of supplying the third process gas excited by an exciting mechanism, thereby performing a preliminary treatment on the target substrate by preliminary treatment gas radicals. A second step performs supply of the first process gas, thereby adsorbing the film source element on the target substrate. A third step includes an excitation period of supplying the second process gas excited by an exciting mechanism, thereby oxidizing the film source element adsorbed on the target substrate by oxidizing gas radicals.

Подробнее
01-12-2013 дата публикации

Film deposition method and film deposition device

Номер: TW0201348497A
Принадлежит:

A film deposition device (10) executes a plasma ALD sequence to deposit a nitride film with a DCS silicon component on a substrate W, and then sequentially executes first through fourth gas supply processes and a plasma supply process as plasma post-treatments. The gas supplied in the first through fourth gas supply processes of the plasma post-treatment is one of N2, NH3, Ar or H2, or is a reformed gas composed of an appropriate mixed gas of these gases. By supplying a plasma of the reformed gas onto the nitride film on the substrate W following execution of the plasma ALD sequence, the properties of the nitride film deposited on the substrate W are improved.

Подробнее
01-09-2021 дата публикации

Thin film forming apparatus and radical unit for forming thin film

Номер: TW202132604A
Принадлежит:

According to an embodiment of the present disclosure, a thin film forming apparatus includes a chamber, a plurality of gas inlets that are formed at an upper portion of the chamber and receive at least two reaction gas and precursors for radical reaction, and a radical unit configured to generate radicals by reacting the reaction gas provided through the gas inlet and deposit a thin film on a substrate by spraying the radicals and the precursors downward. The radical unit is configured with a plurality of plates, a precursor spray path is configured to be sprayed from the radical unit after the precursors are sprayed to a plurality of paths greater than precursor spray paths of the gas inlet in an uppermost plate among the plurality of plates, and a reaction gas spray path is configured not to overlap with the precursor spray path.

Подробнее
16-09-2019 дата публикации

Geometrically selective deposition of dielectric films utilizing low frequency bias

Номер: TW0201936978A
Принадлежит:

Apparatus and methods for depositing and treating or etching a film are described. A batch processing chamber includes a plurality of processing regions with at least one plasma processing region. A low frequency bias generator is connected to a susceptor assembly to intermittently apply a low frequency bias to perform a directional treatment or etching the deposited film.

Подробнее
01-03-2021 дата публикации

Номер: TWI719940B
Принадлежит: TOKYO ELECTRON LTD, TOKYO ELECTRON LIMITED

Подробнее
04-10-2012 дата публикации

GAS-INJECTION APPARATUS, ATOMIC LAYER DEPOSITION APPARATUS, AND ATOMIC LAYER DEPOSITION METHOD USING THE APPARATUS

Номер: WO2012134070A2
Принадлежит:

The present invention relates to a gas-injection apparatus, to an atomic layer deposition apparatus, and to an atomic layer deposition method using the apparatus. The gas-injection apparatus is configured in the shape of a single pipe. Gas is supplied onto a substrate through the central portion of the gas-injection apparatus, and simultaneously, gas supplied through gas-intake holes formed in specific portions along an outer surface of a gas supply pipe is suctioned. Thus, when the gas-injection apparatus is disposed near the substrate, the supply and suction of the gas may be performed at the same time. Here, since a deposition process is performed at a normal pressure, it is unnecessary to provide an additional apparatus and set aside time to produce a vacuum state. Also, since consecutive processes are able to be carried out, pre- or post-processes may be performed together at the same time. In addition, a plurality of source injection apparatuses may be provided to form a multi-component ...

Подробнее
27-08-2009 дата публикации

ATOMIC LAYER DEPOSITION APPARATUS AND ATOMIC LAYER DEPOSITION METHOD

Номер: WO000002009104379A1
Принадлежит:

An atomic layer deposition apparatus comprises a first chamber surrounded by a wall in which a reactive gas supply hole is formed, a second chamber surrounded by a wall in which a material gas supply hole is formed, an antenna array consisting of a plurality of rod antenna elements provided in parallel in the first chamber in order to generate plasma by using the reactive gas, a substrate stage provided in the second chamber for mounting a substrate, and a connection member for connecting the first chamber with the second chamber in order to supply a gas containing radicals generated by the antenna array from the first chamber to the second chamber.

Подробнее
15-07-2010 дата публикации

GAP MAINTENANCE FOR OPENING TO PROCESS CHAMBER

Номер: WO2010080252A1
Принадлежит:

A semiconductor processing apparatus includes a reaction chamber (202, 302, 402), a movable susceptor (208, 308, 408, 508, 808), a movement element (210, 310, 810), and a control system (211, 811). The reaction chamber (202, 302, 402) includes a baseplate (212, 312, 412, 512, 812). The baseplate (212, 312, 412, 512, 812) includes an opening (250, 350, 450, 550). The movable susceptor (208, 308, 408, 508, 808) is configured to hold a workpiece (W). The movable element (210, 310, 810) is configured to move a workpiece (W) held on the susceptor (208, 308, 408, 508, 808) towards the opening (250, 350, 450, 550) of the baseplate (212, 312, 412, 512, 812). The control system (211, 811) is configured to space the susceptor (208, 308, 408, 508, 808) from the baseplate (212, 312, 412, 512, 812) by an unsealed gap (216, 316, 416, 516, 816) during processing of a workpiece (W) in the reaction chamber (202, 302, 402). Purge gases may flow through the gap (216, 316, 416, 516, 816) into the reaction ...

Подробнее
25-02-2010 дата публикации

PROCESS GAS DELIVERY FOR SEMICONDUCTOR PROCESS CHAMBER

Номер: WO2010022215A3
Принадлежит:

Methods and apparatus for a gas delivery assembly are provided herein. In some embodiments, the gas delivery assembly includes a gas inlet funnel having a first volume; and a gas conduit having an inlet to receive a gas and an outlet to facilitate the flow of the gas out of the gas conduit and into the first volume, wherein the gas conduit has a second volume less than the first volume, and an increasing cross-section from a first cross-section proximate the inlet to a second cross-section proximate the outlet, wherein the second cross-section is non-circular. In some embodiments, each conduit has a longitudinal axis that intersects a central axis of the gas inlet funnel.

Подробнее
13-12-2007 дата публикации

APPARATUS AND PROCESS FOR PLASMA-ENHANCED ATOMIC LAYER DEPOSITION

Номер: WO000002007142690A3
Принадлежит:

Embodiments of the invention provide an apparatus configured to form a material during an atomic layer deposition (ALD) process, such as a plasma-enhanced ALD (PE- ALD) process. In one embodiment, a process chamber (50) is configured to expose a substrate to a sequence of gases and plasmas during a PE-ALD process. The process chamber (50) comprises components that are capable of being electrically insulated, electrically grounded or RP energized. In one example, a chamber (50) body and a gas manifold (800) assembly are grounded and separated by electrically insulated components, such as an insulation cap (700), a plasma screen insert (600) and an isolation ring (200). A showerhead (300), a plasma baffle (500) and a water box (400) are positioned between the insulated components and become RF hot when activated by a plasma generator (92). Other embodiments of the invention provide deposition processes to form layers of materials within the process chamber (50).

Подробнее
03-03-2011 дата публикации

FILM CASSETTE FOR GASEOUS VAPOR DEPOSITION

Номер: WO2011026066A3
Принадлежит:

A cassette for supporting a film during a gaseous vapor deposition process includes a central shaft having first and second end plates. A rib on each end plate forms a spiral groove able to accept an edge of a film. Each rib has a cross sectional configuration having substantially linear major edges, a predetermined width dimension and a predetermined average thickness dimension, and a width-to-thickness aspect ratio of at least 2:1. The rib could be substantially rectangular with an optional flow spoiler at the free end or substantially wedge-shaped. The inter spoke spacing between end plates is at least three hundred millimeters (300 mm) and is also greater than the width dimension of a film substrate at a gaseous deposition temperature. The width dimension of each rib is between about 0.5% to about 2.0% of the interspoke spacing.

Подробнее
09-09-2005 дата публикации

HIGH THROUGHPUT SURFACE TREATMENT ON COILED FLEXIBLE SUBSTRATES

Номер: WO2005081788A3
Принадлежит:

One or more substrates (106) may be coiled into one or more coils in such a way that adjacent turns of the coils do not touch one another. The one or more coiled substrates (106) are placed in a treatment chamber (102) where substantially an entire surface of the one or more coiled substrates (106) may be treated with a surface treatment process. One or more spacers (116) may be placed between adjacent layers of the coiled substrate (106) before a full turn of the substrate (106) has been coiled around the carousel (104).

Подробнее
04-12-2014 дата публикации

SUBSTRATE HOLDER, ARRANGEMENT AND SUBSTRATE CARRIER FOR SUPPORTING SUBSTRATES

Номер: WO2014191623A1
Автор: LI, Shuo
Принадлежит:

The invention relates to a substrate holder, arrangement and carrier for supporting substrates (200, 300) during processing of the substrates (200, 300) by subjecting a surface (204, 302) of the substrate (200, 300) to successive surface reactions of at least a first precursor and a second precursor. The substrate holder comprises a recess (50, 60) for receiving the one or more substrates (200, 300), a separate lower holder part (20) provided with the first support surface (26, 30) for supporting the one or more substrates (200, 300) from the first side surface (202, 302) in the recess (50, 60) and a separate upper holder part (10) positioned or arranged to be positioned on the lower holder part (20), the upper holder part (10) comprising a first barrier surface (14) extending over the recess (50, 60).

Подробнее
17-10-2002 дата публикации

ATOMIC LAYER DEPOSITION SYSTEM AND METHOD

Номер: WO0002081771A2
Принадлежит:

Подробнее
01-02-2018 дата публикации

Method and Apparatus for Forming Nitride Film

Номер: US20180033608A1
Принадлежит:

A method for forming a nitride film is provided. The method includes preparing a substrate to be processed, the substrate having a first base film formed of a material having a relatively long incubation time and a second base film formed of a material having a relatively short incubation time with respect to a nitride film, forming a nitride film on the substrate by means of ALD or CVD using a raw material gas and a nitriding gas while heating the substrate to a predetermined temperature, and etching nitride on the first base film to be removed by supplying an etching gas to thereby expose a film surface of the first base film, wherein the forming the nitride film and the etching the nitride are repeatedly performed a predetermined number of times to selectively form the nitride film on the second base film. 1. A method for forming a nitride film , the method comprising:preparing a substrate to be processed, the substrate having a first base film formed of a material having a relatively long incubation time and a second base film formed of a material having a relatively short incubation time with respect to a nitride film;forming a nitride film on the substrate by means of ALD or CVD using a raw material gas and a nitriding gas while heating the substrate to a predetermined temperature; andetching nitride on the first base film to be removed by supplying an etching gas, thereby exposing a film surface of the first base film ,wherein the forming the nitride film and the etching the nitride are repeatedly performed a predetermined number of times to selectively form the nitride film on the second base film.2. The method of claim 1 , wherein when the forming the nitride film is performed by means of the ALD claim 1 , the raw material gas is initially supplied.3. The method of claim 1 , wherein the etching gas is a gas that is relatively easy to etch the nitride film and is relatively difficult to etch the first base film.4. The method of claim 1 , further comprising ...

Подробнее
09-07-2019 дата публикации

Common terminal heater for ceramic pedestals used in semiconductor fabrication

Номер: US0010345802B2
Принадлежит: Lam Research Corporation, LAM RES CORP

System and methods for processing a substrate using a reactor with multiple heating zones and control of said heating zones using a common terminal shared between two power supplies are provided. The reactor includes a heater assembly for supporting the substrate and a showerhead for supplying process gases into the reactor. An inner heater and an outer heater are integrated in the heater assembly. An inner power supply has a positive terminal connected to a first end of the inner heater and a negative terminal is connected to a second end of the inner heater that is coupled to a common terminal. An outer power supply has a positive terminal connected to a first end of the outer heater and a negative terminal connected to a second end of the outer heater that is coupled to the common terminal. A common-terminal heater module is configured to receive a measured temperature that is proximate to the inner heater. A desired temperature setting is received and a servo control law is processed ...

Подробнее
20-10-2015 дата публикации

Sequential cascading of reaction volumes as a chemical reuse strategy

Номер: US0009162209B2
Автор: Karl Leeser, LEESER KARL

A substrate processing system includes one or more processing chambers defining N reaction volumes. N-1 first valves are arranged between the N reaction volumes. A controller communicates with the N-1 first valves and is configured to pressurize a first one of the N reaction volumes with precursor gas to a first target pressure, wait a first predetermined soak period, evacuate a second one of the N reaction volumes to a second target pressure that is lower than the first target pressure, and open one of the N-1 first valves between the first one of the N reaction volumes and a second one of the N reaction volumes.

Подробнее
28-01-2021 дата публикации

NOZZLE HEAD AND APPARATUS

Номер: US20210025057A1
Принадлежит:

A nozzle head and an apparatus for subjecting a surface of a substrate to successive surface reactions of at least two precursors according to the principles of atomic layer deposition, the nozzle head includes a nozzle head body, a nozzle head output face and gas channels for transporting gas. The nozzle head further includes a first through hole through at least two of the two or more nozzles and a first tube having a tube wall and being fitted into the first through hole, said first tube including gas conduits provided in the tube wall for providing a fluid communication between the first tube and the gas channels in connection with the two or more nozzles.

Подробнее
22-03-2007 дата публикации

Technique for atomic layer deposition

Номер: US20070065576A1
Принадлежит:

A technique for atomic layer deposition is disclosed. In one particular exemplary embodiment, the technique may be realized by an apparatus for atomic layer deposition. The apparatus may comprise a process chamber having a substrate platform to hold at least one substrate. The apparatus may also comprise a supply of a precursor substance, wherein the precursor substance comprises atoms of at least one first species and atoms of at least one second species, and wherein the supply provides the precursor substance to saturate a surface of the at least one substrate. The apparatus may further comprise a plasma source of metastable atoms of at least one third species, wherein the metabstable atoms are capable of desorbing the atoms of the at least one second species from the saturated surface of the at least one substrate to form one or more atomic layers of the at least one first species.

Подробнее
08-09-2005 дата публикации

Deposition reactors and systems

Номер: US20050193947A1
Автор: Cem Basceri
Принадлежит:

Methods for depositing material onto workpieces, methods of controlling the delivery of gases in deposition processes, and apparatus for depositing materials onto workpieces. One embodiment of a method for depositing material onto a workpiece comprises placing a micro-device workpiece having a plurality of submicron features in a reactor proximate to outlet ports of a gas distributor in the reactor. This method also includes flowing a gas from a gas supply to a closed compartment of the reactor until the gas reaches a desired pressure within the compartment, and subsequently dispensing the gas from the outlet ports of the gas distributor. The compartment can be in a reaction chamber of the reactor or outside of the reaction chamber. The gas can be dispensed from the outlet ports by opening an outlet valve between the compartment and the outlet ports while also physically displacing the gas from the compartment. The gas can be displaced from the compartment using a piston, diaphragm, bladder ...

Подробнее
18-09-2003 дата публикации

Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes

Номер: US20030175423A1
Принадлежит:

The ALD process chamber has heating radiation sources and the process sequence includes rapid temperature changes on a substrate surface of a substrate arranged in the ALD process chamber. The temperature changes are controlled and the ALD and CVD processes are optimized by in situ temperature steps, for example in order to produce nanolaminates.

Подробнее
23-04-2019 дата публикации

Pulsed valve manifold for atomic layer deposition

Номер: US0010266946B2
Принадлежит: ASM AMERICA, INC.

A vapor deposition device includes a reactor including a reaction chamber and an injector for injecting vapor into the reaction chamber. The device also includes a manifold for delivering vapor to the injector. The manifold includes a manifold body having an internal bore, a first distribution channel disposed within the body in a plane intersecting the longitudinal axis of the bore, and a plurality of supply channels disposed within the body and in flow communication with the first distribution channel and with the bore. Each of the first supply channels is disposed at an acute angle with respect to the longitudinal axis of the bore, and each of the supply channels connects with the bore at a different angular position about the longitudinal axis. The distribution channel (and thus, the supply channels) can be connected with a common reactant source. Related deposition methods are also described.

Подробнее
04-01-2022 дата публикации

Apparatus for coating particles

Номер: US0011214865B2
Принадлежит: Nanexa AB

A reactor for forming fully coated particles having a solid core, the reactor comprises a reactor vessel which is configured to receive particles, and a gas phase coating mechanism that is configured to selectively introduce pulses of gas phase materials that form a coating on the particles. The reactor also includes a sieve (16) that is located within the reactor vessel, and a forcing means that is configured to force the particles through the sieve (16) in use. The sieve is configured to deagglomerate any particle aggregates formed in the reactor vessel upon forcing of the particles by the forcing means through the sieve.

Подробнее
15-02-2022 дата публикации

Multi-layer plasma resistant coating by atomic layer deposition

Номер: US0011251023B2
Принадлежит: APPLIED MATERIALS, INC.

Described herein are articles, systems and methods where a plasma resistant coating is deposited onto a surface of a chamber component using an atomic layer deposition (ALD) process. The plasma resistant coating has a stress relief layer and a layer comprising a solid solution of Y2O3—ZrO2and uniformly covers features, such as those having an aspect ratio of about 3:1 to about 300:1.

Подробнее
25-10-2018 дата публикации

METHODS AND APPARATUS FOR FORMING SMOOTH AND CONFORMAL COBALT FILM BY ATOMIC LAYER DEPOSITION

Номер: US20180308701A1
Принадлежит:

Provided herein are atomic layer deposition (ALD) methods of depositing cobalt in a feature. The methods involve two-step surface treatments during an ALD cycle, with one step involving the reaction of a co-reactant gas with an adsorbed cobalt precursor and the other step involving a growth-inhibiting reactant gas on the cobalt surface. The growth-inhibiting reactant gas significantly lowers cobalt growth rate, producing a highly conformal cobalt film. The described ALD processes enable improved controllability in film nucleation, step coverage, and morphology by the separate surface treatment and low process temperature. The methods are applicable to a variety of feature fill applications including the fabrication of metal gate/contact fill in front end of line (FEOL) processes as well as via/line fill in back end of line (BEOL) processes.

Подробнее
13-09-2018 дата публикации

Film Forming Apparatus

Номер: US20180258527A1
Принадлежит:

A film forming apparatus includes first and second processing gas supply parts for respectively supplying first and second processing gases to the substrate, and a separation region formed between first and second processing regions to separate an atmosphere of the first processing region to which the first processing gas is supplied and an atmosphere of the second processing region to which the second processing gas is supplied. The separation region includes: a separation region forming member including edge portions radially extending from a rotation center to a peripheral edge of a rotary table and for forming a narrow space between the edge portions and the rotary table, and a concave portion provided in a region sandwiched between adjacent edge portions and for forming a buffer space; and a separation gas supply part for supplying a separation gas into the buffer space.

Подробнее
01-02-2007 дата публикации

ENHANCED COPPER GROWTH WITH ULTRATHIN BARRIER LAYER FOR HIGH PERFORMANCE INTERCONNECTS

Номер: US2007026147A1
Принадлежит:

A method for depositing a refractory metal nitride barrier layer having a thickness of about 20 angstroms or less is provided. In one aspect, the refractory metal nitride layer is formed by introducing a pulse of a metal-containing compound followed by a pulse of a nitrogen-containing compound. The refractory metal nitride barrier layer provides adequate barrier properties and allows the grain growth of the first metal layer to continue across the barrier layer into the second metal layer thereby enhancing the electrical performance of the interconnect.

Подробнее
05-11-2019 дата публикации

Methods of forming material layer

Номер: US0010468256B2

A method of forming a material layer includes providing a substrate into a reaction chamber, providing a source material onto a substrate, the source material being a precursor of a metal or semimetal having a ligand, providing an ether-based modifier on the substrate, purging an inside of the reaction chamber, and reacting a reaction material with the source material to form the material layer.

Подробнее
21-03-2019 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS

Номер: US20190085450A1
Принадлежит: Toshiba Memory Corporation

According to one embodiment, in a semiconductor manufacturing apparatus, a first gas supply pipe is disposed between a gas supply source and a processing chamber. A first valve is disposed in the first gas supply pipe. The first valve includes a first valve seat forming a first opening, a first diaphragm, and a first pressing member capable of pressing the first diaphragm against the first valve seat. A second gas supply pipe is disposed between the gas supply source and the processing chamber. The second gas supply pipe is connected to the first gas supply pipe in parallel. A second valve is disposed in the second gas supply pipe. The second valve includes a second valve seat forming a second opening, a second diaphragm, and a second pressing member capable of pressing the second diaphragm against the second valve seat.

Подробнее
28-02-2019 дата публикации

MOMENT CANCELLING PAD RAISING MECHANISM IN WAFER POSITIONING PEDESTAL FOR SEMICONDUCTOR PROCESSING

Номер: US20190067071A1
Принадлежит:

An assembly used in a process chamber for depositing a film on a wafer including a pedestal assembly having a pedestal movably mounted to a main frame. A lift pad rests upon the pedestal and moves with the pedestal. A raising mechanism separates the pad from the pedestal, and includes a hard stop fixed to the main frame, a roller attached to the pedestal assembly, a slide moveably attached to the pedestal assembly, a lift pad bracket interconnected to the slide and a pad shaft extending from the lift pad, a lever rotatably attached to lift pad bracket, a ferroseal assembly surrounding the pad shaft, and a yoke assembly offsetting a moment to the ferroseal assembly when the lever rotates. When the pedestal assembly moves upwards, the lever rotates when engaging with the upper hard stop and roller, and separates the pad from the pedestal by a process rotation displacement.

Подробнее
10-11-2016 дата публикации

RESIST HARDENING AND DEVELOPMENT PROCESSES FOR SEMICONDUCTOR DEVICE MANUFACTURING

Номер: US20160329222A1
Принадлежит:

In some embodiments, a method of forming an etch mask on a substrate is provided that includes (1) forming a resist layer on a substrate; (2) exposing one or more regions of the resist layer to an energy source so as to alter at least one of a physical property and a chemical property of the exposed regions; (3) performing a hardening process on the resist layer to increase the etch resistance of first regions of the resist layer relative to second regions of the resist layer, the hardening process including exposing the resist layer to one or more reactive species within an atomic layer deposition (ALD) chamber; and (4) dry etching the resist layer to remove the one or more second regions and to form a pattern in the resist layer. Other embodiments are provided.

Подробнее
29-12-2020 дата публикации

Substrate processing apparatus, liquid precursor replenishment system, and method of manufacturing semiconductor device

Номер: US0010876207B2

There is provided a technique that includes: a process chamber accommodating substrate; a storage tank including bottom portion having recess and wall portion and storing liquid precursor; a vaporizing part vaporizing the stored liquid precursor to generate precursor gas; a supply part supplying the generated precursor gas to the process chamber; a sensor disposed in the recess and detecting liquid level of the stored liquid precursor; a replenishment part replenishing the liquid precursor in the storage tank; and a controller controlling the supply part to supply the precursor gas to the process chamber to perform a substrate processing process for processing the substrate, and controlling, each time when the substrate processing process is performed a predetermined number of times, the replenishment part, based on the detected liquid level, to replenish the liquid precursor in the storage tank so that the liquid level becomes a predetermined level.

Подробнее
02-11-2017 дата публикации

DEPOSITION DEVICE AND DEPOSITION METHOD

Номер: US20170314130A1
Принадлежит:

A film deposition device includes a reaction gas supply part which is in communication with a process space defined between a placement part and a ceiling part. An annular gap in a plan view exists between an outer peripheral portion of the placement part and an outer peripheral portion of the ceiling part in circumferential directions of the placement part and the ceiling part. A reaction gas supplied from the reaction gas supply part into the process space via the ceiling part flows outside of the process space via the annular gap. A plurality of gas flow channels, which is used for forming gas-flow walls, is formed in the outer peripheral portion of the ceiling part which provides the annular gap.

Подробнее
30-07-2015 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS

Номер: US20150214032A1
Принадлежит:

Provided is a technique including forming a film on a substrate, the film including a first element and a second element different from the first element, by performing a cycle a predetermined number of times. The cycle includes: forming a first layer including the first element by supplying a gas containing the first element to the substrate, wherein the first layer is a discontinuous layer, a continuous layer, or a layer in which at least one of the discontinuous layer or the continuous layer is overlapped; and forming a second layer including the first element and the second element by supplying a gas containing the second element to the substrate to modify the first layer under a condition where a modifying reaction of the first layer by the gas containing the second element is not saturated.

Подробнее
24-09-2020 дата публикации

Method and Apparatus for Pulse Gas Delivery Using an External Pressure Trigger

Номер: US20200301455A1
Принадлежит:

A fluid control system and associated method for pulse delivery of a fluid includes a shutoff valve and a mass flow controller (MFC) upstream of the shutoff valve. The MFC includes a flow channel, a control valve to control flow of fluid in the flow channel, a flow sensor to measure flow rate in the flow channel, and a controller having a valve input from the shutoff valve indicating opening of the shutoff valve. The controller is configured to respond to the valve input to control flow of fluid through the control valve to initiate and terminate a pulse of fluid from the flow channel to the shutoff valve to control a mass of fluid delivered during the pulse of fluid. The valve input can be a pressure signal, and the MFC can include a pressure sensor to sense the pressure signal.

Подробнее
12-01-2017 дата публикации

THIN FILM DEPOSITION APPARATUS

Номер: US20170009347A1
Принадлежит: ASM IP Holding BV

A reaction chamber includes a reactor wall, a susceptor contacting the reactor wall to define a reaction space and a gas flow control device and a showerhead member stacked between the reactor wall and the susceptor. The showerhead member includes a gas channel and a showerhead. Penetration holes are formed through a protruding lateral portion of the gas flow control device, and the reactor wall and a lateral portion of the showerhead member are spaced apart from each other to form a gas discharge path. Gas remaining in the gas discharge path is discharged through the penetration holes and a gas outlet formed in an upper portion of the reactor wall. The reaction chamber provides a reaction space and the gas discharge path from which unnecessary regions are removed to rapidly change gases from one to another, and thus atomic layer deposition may be performed with high efficiency and productivity.

Подробнее
20-06-2019 дата публикации

AN APPARATUS AND METHOD FOR PROCESSING PARTICULATE MATTER

Номер: US20190184363A1
Принадлежит: BENEQ OY

An apparatus and method for processing particulate matter by exposing the particulate matter to successive surface reactions of at least a first and a second gaseous precursor according to the principles of atomic layer deposition. The apparatus includes a vacuum chamber, a reaction chamber for particulate matter, wherein the reaction chamber is provided inside the vacuum chamber, a vibration mechanism for vibrating particulate matter inside the reaction chamber; and a precursor system arranged to supply the at least first and second gaseous precursors through the reaction chamber for subjecting the particulate matter to the at least first and second gaseous precursors. The method includes the steps of supplying the at least first and second gaseous precursors through the reaction chamber for subjecting the particulate matter to the at least first and second gaseous precursors, and vibrating particulate matter inside the reaction chamber.

Подробнее
21-01-2021 дата публикации

THERMALLY-CONDUCTIVE POLYMER AND COMPONENTS

Номер: US20210016542A1
Принадлежит:

A method of forming a component includes depositing a ceramic material within an open-cell void of a polymer body. The ceramic material deposited around the periphery of the open-cell void structure forms a thermally-conductive path through the polymer body. The ceramic material circumscribes an open volume extending the entire length of the thermally-conductive path that is filled with a sealant such that fluids are incommunicable from the first surface to the second surface via the thermally-conductive path. A method of forming a heat exchanger includes forming a plurality of plates, each plate formed as a thermally-conductive polymer body. The method of forming the heat exchanger further includes arranging the plurality of plates within a housing to form a plate and frame heat exchanger configured to place a first flowpath in a heat exchange relationship with a second flowpath.

Подробнее
22-06-2017 дата публикации

SYSTEMS AND METHODS ENABLING LOW DEFECT PROCESSING VIA CONTROLLED SEPARATION AND DELIVERY OF CHEMICALS DURING ATOMIC LAYER DEPOSITION

Номер: US20170175269A1
Принадлежит:

A gas delivery system includes a first valve including an inlet that communicates with a first gas source. A first inlet of a second valve communicates with an outlet of the first valve and a second inlet of the second valve communicates with a second gas source. An inlet of a third valve communicates with a third gas source. A connector includes a first gas channel and a cylinder defining a second gas channel. The cylinder and the first gas channel collectively define a flow channel between an outer surface of the cylinder and an inner surface of the first gas channel. The flow channel communicates with the outlet of the third valve and the first end of the second gas channel. A third gas channel communicates with the second gas channel, with the outlet of the second valve and with a gas distribution device of a processing chamber.

Подробнее
24-05-2018 дата публикации

FILM FORMATION PROCESSING METHOD AND FILM FORMATION PROCESING APPARATUS

Номер: US20180142350A1
Принадлежит:

There is provided a film formation processing method for forming, in a vacuum atmosphere, a silicon nitride film along an inner wall surface of a recess constituting a pattern formed on a surface of a substrate, which includes: forming the silicon nitride film on the substrate by repeating, plural times, a process of supplying a raw material gas containing silicon to the substrate and subsequently, supplying an ammonia gas to the substrate to generate a silicon nitride on the substrate; and subsequently, modifying the silicon nitride film by activating a hydrogen gas and an ammonia gas and supplying the activated hydrogen gas and the activated ammonia gas to the substrate.

Подробнее
18-08-2015 дата публикации

Apparatus and method for providing uniform flow of gas

Номер: US0009109754B2

Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The inlet end is connectable to an inlet gas source and the outlet end is connectable with a vacuum source. Also provided are gas distribution apparatus with spiral delivery channels, intertwined spiral delivery channels, splitting delivery channels, merging delivery channels and shaped delivery channels in which an inlet end and outlet end are configured for rapid exchange of gas within the delivery channels.

Подробнее
08-07-2010 дата публикации

GAP MAINTENANCE FOR OPENING TO PROCESS CHAMBER

Номер: US20100173432A1
Принадлежит: ASM America, Inc.

A semiconductor processing apparatus includes a reaction chamber, a movable susceptor, a movement element, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable susceptor is configured to hold a workpiece. The movable element is configured to move a workpiece held on the susceptor towards the opening of the baseplate. The control system is configured to space the susceptor from the baseplate by an unsealed gap during processing of a workpiece in the reaction chamber. Purge gases may flow through the gap into the reaction chamber. Methods of maintaining the gap during processing include calibrating the height of pads and capacitance measurements when the susceptor is spaced from the baseplate.

Подробнее
18-07-2023 дата публикации

Rotation driving mechanism and rotation driving method, and substrate processing apparatus and substrate processing method using same

Номер: US0011702747B2
Автор: Manabu Honma
Принадлежит: Tokyo Electron Limited

A rotation driving mechanism includes a turntable configured to rotate about a first axis, and a rotating plate disposed along a circumferential direction of the turntable and configured to rotate about a second axis independently of a rotation of the turntable. A driving plate is coaxially disposed with the first axis and is rotatable differently in rotational direction and rotational speed from the rotation of the turntable. A trajectory plate is fixed to the driving plate and disposed in the vicinity of the second axis of the rotating plate. The trajectory plate includes a rolling trajectory groove in a surface. The trajectory groove has a curved shape in a plan view. A horizontal rotating member is coupled to and fixed to the rotating plate and engaged with the rolling trajectory groove. The horizontal rotating member rotates the rotating plate by moving and rolling through the rolling trajectory groove.

Подробнее
23-02-2023 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20230057538A1
Принадлежит:

The present disclosure relates to a substrate processing method and apparatus which can supply gas to a plurality of process chamber through one gas supply unit, and supply different gases at the same time, thereby improving the uniformity of the thicknesses of thin films deposited in the respective chambers. The substrate processing method and apparatus can perform a process in only one chamber by supplying gas to only the chamber at the same time or perform different processes in the plurality of chambers by supplying different gases to the respective chambers. Therefore, films having uniform thicknesses can be deposited in the respective chambers, and the gas supply efficiency can be improved.

Подробнее
09-06-2022 дата публикации

SILICON PRECURSORS FOR SILICON NITRIDE DEPOSITION

Номер: US20220181148A1
Принадлежит:

The current disclosure relates to a vapor deposition assembly for depositing silicon nitride on a substrate by a plasma-enhanced cyclic deposition process. The disclosure also relates to a method for depositing silicon nitride on a substrate by a plasma-enhanced cyclic deposition process. The method comprises providing a substrate in a reaction chamber, providing a vapor-phase silicon precursor according to the formula SiH3X, wherein X is iodine or bromine, into the reaction chamber, removing excess silicon precursor and possible reaction byproducts from the reaction chamber and providing a reactive species generated from a nitrogen-containing plasma into the reaction chamber to form silicon nitride on the substrate. The disclosure further relates to structure and devices formed by the method.

Подробнее
30-06-2022 дата публикации

Substrate Processing Apparatus, Substrate Processing Method, Method of Manufacturing Semiconductor Device and Non-transitory Computer-readable Recording Medium

Номер: US20220205089A1
Принадлежит:

There is provided a technique capable of detoxifying a process gas even when the detoxification apparatus is stopped. According to one aspect thereof, there is provided a substrate processing apparatus including: a reaction tube; a process gas supplier; an exhauster; an exhaust gas process chamber in which the exhausted process gas is subject to a process; a first inert gas supplier; a second inert gas supplier; an exhaust pipe; and a controller controlling the first and the second inert gas supplier such that the first inert gas is supplied to the exhaust gas process chamber through the first inert gas supplier while the process gas is subject to the process in the exhaust gas process chamber and the second inert gas is supplied to the exhaust gas process chamber through the second inert gas supplier when the process in the exhaust gas process chamber is stopped.

Подробнее
29-03-2022 дата публикации

Apparatus and method for providing uniform flow of gas

Номер: US000RE48994E1
Принадлежит: Applied Materials, Inc.

Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The inlet end is connectable to an inlet gas source and the outlet end is connectable with a vacuum source. Also provided are gas distribution apparatus with spiral delivery channels, intertwined spiral delivery channels, splitting delivery channels, merging delivery channels and shaped delivery channels in which an inlet end and outlet end are configured for rapid exchange of gas within the delivery channels.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
24-05-2012 дата публикации

Method of manufacturing semiconductor device, semiconductor device and substrate processing apparatus

Номер: US20120126355A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film.

Подробнее
07-06-2012 дата публикации

High pressure chemical vapor deposition apparatuses, methods, and compositions produced therewith

Номер: US20120138952A1
Автор: Nikolaus Dietz

A composition, reactor apparatus, method, and control system for growing epitaxial layers of group III-nitride alloys. Super-atmospheric pressure is used as a process parameter to control the epitaxial layer growth where the identity of alloy layers differ within a heterostructure stack of two or more layers.

Подробнее
09-08-2012 дата публикации

In-Situ Hydroxylation System

Номер: US20120201959A1
Принадлежит: Applied Materials Inc

Described are systems and methods for the hydroxylation of a substrate surface using ammonia and water vapor.

Подробнее
25-10-2012 дата публикации

Reaction system for growing a thin film

Номер: US20120266821A1
Принадлежит: ASM America Inc

An atomic deposition (ALD) thin film deposition apparatus includes a deposition chamber configured to deposit a thin film on a wafer mounted within a space defined therein. The deposition chamber comprises a gas inlet that is in communication with the space. A gas system is configured to deliver gas to the gas inlet of the deposition chamber. At least a portion of the gas system is positioned above the deposition chamber. The gas system includes a mixer configured to mix a plurality of gas streams. A transfer member is in fluid communication with the mixer and the gas inlet. The transfer member comprising a pair of horizontally divergent walls configured to spread the gas in a horizontal direction before entering the gas inlet.

Подробнее
27-06-2013 дата публикации

APPARATUS AND METHOD

Номер: US20130164458A1
Автор: Sneck Sami, Soininen Pekka
Принадлежит: BENEQ OY

The invention is related to an apparatus and a method for processing a surface of a substrate by exposing the surface of the substrate to alternating surface reactions of at least a first starting material and a second starting material according to the principles of atomic layer deposition method. According to the invention a first starting material is fed on the surface of the substrate locally by means of a source by moving the source in relation to the substrate, and the surface of the substrate processed with the first starting material is exposed to a second starting material present in the atmosphere surrounding the source. 1. An apparatus for processing a surface of a substrate by exposing the surface of the substrate to alternating surface reactions of at least a first starting material and a second starting material according to the principles of atomic layer deposition method , wherein the apparatus comprises one or more sources for feeding the first starting material locally on the surface of the substrate and feeding means for feeding second starting material to atmosphere surrounding the one or more sources , and that the one or more sources are positioned in the atmosphere comprising the second starting material.2. The apparatus according to claim 1 , wherein the source comprises one or more starting material zone(s) claim 1 , through which the first starting material is fed locally on the surface of the substrate.3. The apparatus according to claim 1 , wherein the source is adapted to be movable on the surface of the substrate or in the proximity thereof in relation to the substrate.4. The apparatus according to claim 1 , wherein the source is adapted to be rotated in relation to the surface of the substrate.5. The apparatus according to claim 4 , wherein the source comprises a rotatable starting material feeding member claim 4 , which comprises one or more starting material zone(s) for feeding first starting material on the surface of the substrate. ...

Подробнее
18-07-2013 дата публикации

Processing apparatus and valve operation checking method

Номер: US20130183443A1
Принадлежит: Tokyo Electron Ltd

A processing apparatus includes a processing chamber configured to accommodate a target object to be processed, gas supply paths provided in a corresponding relationship with the kinds of process gases supplied into the processing chamber, and valves respectively arranged in the gas supply paths to open and close the gas supply paths. The processing apparatus further includes valve drive units configured to independently drive the valves, sensor units configured to independently monitor opening and closing operations of the valves, and a control unit configured to determine operation statuses of the valves based on valve opening and closing drive signals transmitted to the valve drive units and/or valve opening and closing detection signals transmitted from the sensor units.

Подробнее
08-08-2013 дата публикации

APPARATUS

Номер: US20130199446A1
Принадлежит: BENEQ OY

Disclosed is an apparatus for processing a surface of a substrate by subjecting the surface of a substrate to successive surface reactions of at least a first precursor and a second precursor. The apparatus includes at least one nozzle head having two or more two or more precursor zones for subjecting the surface of the substrate to at least the first and second precursors and a moving mechanism for moving the nozzle head in oscillating movement between a first end position and a second end position. The moving mechanism is arranged to store at least part of the kinetic energy of the nozzle head released in oscillating movement of the nozzle head. 1. An apparatus for processing a surface of a substrate by subjecting the surface of a substrate to successive surface reactions of at least a first precursor and a second precursor , the apparatus comprising:at least one nozzle head having two or more two or more precursor zones for subjecting the surface of the substrate to at least the first and second precursors; anda moving mechanism for moving the nozzle head in oscillating movement between a first end position and a second end position,wherein the moving mechanism is arranged to store at least part of the kinetic energy of the nozzle head released in oscillating movement of the nozzle head.2. An apparatus according to claim 1 , wherein at least part of the moving mechanism is arranged to store at least part of the kinetic energy of the nozzle head released in decelerating the nozzle head as it approaches the end positions.3. An apparatus according to claim 1 , wherein at least part of the kinetic energy of the nozzle head is stored to potential energy.4. An apparatus according to claim 3 , wherein at least part of the kinetic energy of the nozzle head is stored to gravitational potential energy at least in one of the first and second end positions.5. An apparatus according to claim 3 , wherein at least part of the kinetic energy of the nozzle head is stored to ...

Подробнее
03-10-2013 дата публикации

Scanning Injector Assembly Module for Processing Substrate

Номер: US20130260034A1
Принадлежит: SYNOS TECHNOLOGY, INC.

An injection module assembly (IMA) that moves along a predetermined path to inject gas onto a substrate and discharge excess gas is described. The IMA may be used for processing a substrate that is difficult to move for various reasons such as a large size and weight of the substrate. The IMA is connected to one or more sets of jointed arms with structures to provide one or more paths for injecting the gas or discharging the excess gas. The IMA is moved by a first driving mechanism (e.g., linear motor) and the jointed arms are separately operated by a second driving mechanism (e.g., pulleys and cables) to reduce force or torque caused by the weight of the jointed arms. The movement of the first driving mechanism and the second driving mechanism is synchronized to move the IMA and the jointed arms. 1. A method of treating a surface of a substrate or depositing a layer of material on a substrate , comprising:injecting a gas into an injection module assembly via a path formed in a set of arms including at least a first arm and a second arm having an end rotatably connected to an end of the first arm by a hinge, another end of the first arm rotatably connected to the injection module and another end of the second arm rotatably connected to a stationary port;exposing a portion of the substrate to the gas injected by the injection module assembly;moving the injection module assembly to expose different portions of the substrate to the gas injected by the injection module assembly by a first driving mechanism; androtating the set of arms by a second driving mechanism at a speed that causes the other end of the first arm to move at a same speed as the injection module assembly.2. The method of claim 1 , further comprising:discharging excess gas remaining after exposing the substrate to the gas from the injection module assembly via another path surrounding the path.3. The method of claim 1 , wherein:moving the injection module assembly comprises operating a linear motor in ...

Подробнее
10-10-2013 дата публикации

ATOMIC LAYER DEPOSITION REACTOR

Номер: US20130263783A1
Автор: Keto Leif R.
Принадлежит:

Various reactors for growing thin films on a substrate by subjecting the substrate to alternately repeated surface reactions of vapor-phase reactants are disclosed. The reactor according to the present invention includes a reaction chamber, a substrate holder, a showerhead plate, a first reactant source, a remote radical generator, a second reactant source, and an exhaust outlet. The showerhead plate is configured to define a reaction space between the showerhead plate and the substrate holder. The showerhead plate includes a plurality of passages leading into the reaction space. The substrate is disposed within the reaction space. A first non-radical reactant is supplied through the showerhead plate to the reaction space. The remote radical generator produces the radicals of a second reactant supplied from the second reactant source. The radicals are supplied directly to the reaction space without passing through the showerhead plate. 122.-. (canceled)23. A reactor configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants , comprising:a reaction chamber;a substrate holder that is positioned within the reaction chamber;a showerhead plate positioned above the substrate holder, the showerhead plate including a plurality of holes and defining a reaction space between the showerhead plate and the substrate holder;a first reactant source that supplies a first non-radical reactant through a first supply conduit and the holes of the showerhead plate to the reaction space;a radical generator connected to the reaction space, the radical generator configured to directly supply radicals through a second supply conduit to the reaction space;a second reactant source connected to the radical generator, the second reactant source supplying a second reactant to the radical generator; andan exhaust outlet communicating with the reaction space.24. A reactor configured for plasma assisted atomic layer deposition , comprising:a reaction ...

Подробнее
17-10-2013 дата публикации

APPARATUS, METHOD AND REACTION CHAMBER

Номер: US20130269608A1
Принадлежит: BENEQ OY

The present invention relates to an apparatus, method, a reaction chamber and a use of a reaction chamber for processing a surface of a substrate by subjecting the surface of a substrate to successive surface reactions of at least a first precursor and a second precursor. The apparatus includes a vacuum chamber; a detachable reaction chamber arranged to be installed inside the vacuum chamber, and inside which the substrate is positioned during processing and a precursor system for supplying the at least first and second precursors into the action chamber and for discharging the at least first and second precursors from the reaction chamber. According to the present invention the reaction chamber is provided as a gastight vessel. 123-. (canceled)24. An apparatus for processing a surface of a substrate by subjecting the surface of a substrate to successive surface reactions of at least a first precursor and a second precursor , the apparatus comprising:a detachable reaction chamber inside which the substrate is positioned during processing; anda precursor system for supplying the at least first and second precursors into the reaction chamber and for discharging the at least first and second precursors from the reaction chamber, wherein the reaction chamber is provided as a vessel arranged to be sealable in a gastight manner.25. An apparatus according to claim 24 , wherein the apparatus further comprises a vacuum chamber inside which the reaction chamber is installed during processing of the substrate.26. An apparatus according to claim 24 , wherein the reaction chamber comprises a loading door having a door sealing for closing the loading door in a gastight manner or a loading door closable in a gastight manner.27. An apparatus according to claim 24 , wherein:the reaction chamber comprises gas connections for supplying and discharging the at least first and second precursor to and from the reaction chamber, the gas connections being provided with a gas sealing for ...

Подробнее
26-12-2013 дата публикации

Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region

Номер: US20130344245A1
Принадлежит: Novellus Systems Inc

A substrate processing system includes a showerhead that comprises a base portion and a stem portion and that delivers precursor gas to a chamber. A collar connects the showerhead to an upper surface of the chamber. The collar includes a plurality of slots, is arranged around the stem portion of the showerhead, and directs purge gas through the plurality of slots into a region between the base portion of the showerhead and the upper surface of the chamber.

Подробнее
06-01-2022 дата публикации

SYSTEM AND METHOD FOR DEPOSITING OF A FIRST AND SECOND LAYER ON A SUBSTRATE

Номер: US20220002874A1
Принадлежит:

System and method for depositing a first layer on a flexible strip-shaped or sheet-shaped substrate and a second layer on the first layer. The system comprises a first deposition unit of a first type which is provided with a first supporting body, a conveying device for conveying the substrate in a conveying direction which extends parallel to a first central line of the first supporting body along the radial outer side of the supporting body. Downstream of the first deposition unit, the system furthermore comprises a second deposition unit which is provided with a second supporting body with a second central line which is in line with the first central line, and a wrapping device for keeping the substrate in a wrapped state, the substrate being wrapped around at least a part of the radial outer sides of the first supporting body and of the second supporting body. 125-. (canceled)26. A system for depositing a first layer on a flexible strip-shaped or sheet-shaped substrate and a second layer on the first layer , the system comprising:a first deposition unit of a first type for depositing the first layer, the first deposition unit including a first supporting body having a first central line and a radial outer side that is rotationally symmetrical with respect to the first central line;a conveying device for conveying the substrate in a conveying direction that extends parallel to the first central line of the first supporting body along the radial outer side of the supporting body;a second deposition unit of a second type, positioned downstream of the first deposition unit, for depositing the second layer on the first layer, the second deposition unit including a second supporting body having a second central line that is in line with the first central line and a radial outer side that is rotationally symmetrical with respect to the second central line; anda wrapping device for keeping the substrate in a wrapped state, the substrate being held wrapped around at ...

Подробнее
07-01-2016 дата публикации

METHOD AND APPARATUS FOR DEPOSITING A MONOLAYER ON A THREE DIMENSIONAL STRUCTURE

Номер: US20160002784A1
Автор: Omstead Thomas R.
Принадлежит:

In one embodiment, a processing apparatus may include a plasma chamber configured to generate a plasma; a process chamber adjacent the plasma chamber and configured to house a substrate that defines a substrate plane; an extraction system adjacent the plasma chamber and configured to direct an ion beam from the plasma to the substrate, the ion beam forming a non-zero angle with respect to a perpendicular to the substrate plane; and a molecular chamber adjacent the process chamber, isolated from the plasma chamber and configured to deliver a molecular beam to the substrate, wherein the ion beam and molecular beam are alternately delivered to the substrate to form a monolayer comprising species from the ion beam and molecular beam. 1. A processing apparatus , comprising:a plasma chamber configured to generate a plasma;a process chamber adjacent the plasma chamber and configured to house a substrate that defines a substrate plane;an extraction system adjacent the plasma chamber and configured to direct an ion beam from the plasma to the substrate, the ion beam comprising ions that form a non-zero angle with respect to a perpendicular to the substrate plane; anda molecular chamber adjacent the process chamber, isolated from the plasma chamber and configured to deliver a molecular beam to the substrate,wherein the ion beam and molecular beam are alternately delivered to the substrate to form a monolayer comprising species from the ion beam and molecular beam.2. The processing apparatus of claim 1 , wherein the substrate is moved back and forth to alternately expose the substrate to the ion beam and to a molecular beam composed of silane (SiH) claim 1 , arsine (AsH) claim 1 , phosphine (PH) claim 1 , or diborane BH.3. The processing apparatus of claim 1 , further comprising a gas source configured to deliver a reactive gas to the plasma chamber claim 1 , the reactive gas comprising at least one of: oxygen claim 1 , nitrogen claim 1 , nitrous oxide.4. The processing ...

Подробнее
07-01-2016 дата публикации

LAYER-FORMING DEVICE AND LAYER-FORMING METHOD

Номер: US20160002785A1

A layer-forming device that enables highly efficient layer formation and has a simplified configuration includes: a substrate feeding mechanism; a plasma-generating electrode; a space-partitioning wall; and a plurality of injectors. The plasma-generating electrode faces towards a feeding pathway of the substrate, and generates plasma using a reactive gas upon a supply of electric power. The space-partitioning wall is disposed between the feeding pathway and the plasma-generating electrode. A plurality of slit-shaped through-holes, through which radicals, ions generated from the plasma, or a portion of the plasma can pass, are formed at predetermined intervals in the space-partitioning wall. The plurality of injectors are sandwiched between the space-partitioning wall and the feeding pathway, such that each of the injectors is sandwiched between two adjacent through-holes from both sides of the two through-holes in the feeding direction, and the layer-forming gas is supplied toward the substrate through a layer-forming gas supply port. 1. A layer-forming device that forms a thin layer in atomic layer unit using a layer-forming gas and a reactive gas , the layer forming device comprising:a layer-forming container;a feeding mechanism configured to feed a substrate for layer-forming inside the layer-forming container;a plasma-generating electrode disposed to face a feeding pathway of the substrate during feeding, the plasma-generating electrode configured to receive a supply of electric power to generate plasma using a reactive gas inside the layer-forming container;a space-partitioning wall disposed between the feeding pathway of the substrate and the plasma-generating electrode, the space-partitioning wall forming a plasma-generating space between the plasma-generating electrode and the space-partitioning wall, the space-partitioning wall having a plurality of slit-shaped through holes for communicating the plasma-generating space and a space between the feeding ...

Подробнее
05-01-2017 дата публикации

Substrate Processing Method and Substrate Processing Apparatus

Номер: US20170002464A1
Автор: Miura Shigehiro, Sato Jun
Принадлежит:

There is provided a substrate processing method using a processing chamber that is provided with a first process gas supply region, a first exhaust port through which a first process gas supplied to the first process gas supply region is exhausted, a second process gas supply region, a second exhaust port through which a second process gas supplied to the second process gas supply region is exhausted, and a communication space through which the first exhaust port and the second exhaust port communicate with each other, wherein an exhaust pressure in the first exhaust port is set higher than an exhaust pressure in the second exhaust port by a predetermined pressure so as to perform a substrate process while preventing infiltration of the second process gas into the first exhaust port. 1. A substrate processing method using a processing chamber that is provided with a first process gas supply region , a first exhaust port through which a first process gas supplied to the first process gas supply region is exhausted , a second process gas supply region , a second exhaust port through which a second process gas supplied to the second process gas supply region is exhausted , and a communication space through which the first exhaust port and the second exhaust port communicate with each other ,wherein an exhaust pressure in the first exhaust port is set higher than an exhaust pressure in the second exhaust port by a predetermined pressure so as to perform a substrate process while preventing infiltration of the second process gas into the first exhaust port.2. The method of claim 1 , wherein the predetermined pressure falls within a predetermined pressure range.3. The method of claim 2 , wherein the predetermined pressure range is a pressure range in which infiltration of the first process gas into the second exhaust port is not generated.4. The method of claim 2 , wherein the communication space is a space defined under a rotary table configured to mount a substrate on ...

Подробнее
04-01-2018 дата публикации

GAS SUPPLY UNIT AND THIN FILM DEPOSITION APPARATUS INCLUDING THE SAME

Номер: US20180002808A1
Принадлежит:

A gas supply unit includes a base plate, a plurality of gas supply regions protruding from the base plate, the plurality of gas supply regions being arranged on the base plate in a circumferential direction, and a plurality of sidewall trenches defined by facing sidewalls of adjacent gas supply regions of the plurality of gas supply regions, wherein each of the plurality of sidewall trenches has a depth that decreases in a radial direction from a center of the base plate. 1. A gas supply unit , comprising:a base plate;a plurality of gas supply regions protruding from the base plate, the plurality of gas supply regions being arranged on the base plate in a circumferential direction; anda plurality of sidewall trenches defined by facing sidewalls of adjacent gas supply regions of the plurality of gas supply regions,wherein each of the plurality of sidewall trenches has a depth that decreases in a radial direction from a center of the base plate.2. The gas supply unit as claimed in claim 1 , further comprising a central trench at the center of the base plate claim 1 , the central trench being connected to the plurality of sidewall trenches claim 1 , and being surrounded by inner walls of the plurality of gas supply regions which are directed toward the center of the base plate.3. The gas supply unit as claimed in claim 2 , wherein a first through port claim 2 , which penetrates the base plate claim 2 , is in the central trench.4. The gas supply unit as claimed in claim 3 , further comprising a first gas discharge pump connected to the first through port.5. The gas supply unit as claimed in claim 1 , wherein each of the plurality of sidewall trenches has a first depth positioned adjacent to the center of the base plate claim 1 , and a second depth positioned adjacent to an edge of the base plate claim 1 , a ratio between the first depth and the second depth being about 4:1 to about 6:1.6. The gas supply unit as claimed in claim 1 , wherein the plurality of gas supply ...

Подробнее
02-01-2020 дата публикации

Combination CVD/ALD method, source and pulse profile modification

Номер: US20200002810A1
Принадлежит: ASM IP Holding BV

The present invention relates generally to methods and apparatus for the controlled growing of material on substrates. According to embodiments of the present invention, a precursor feed is controlled in order to provide an optimal pulse profile. This may be accomplished by splitting the feed into two paths. One of the paths is restricted in a continuous manner. The other path is restricted in a periodic manner. The output of the two paths converges at a point prior to entry of the reactor. Therefore, a single precursor source is able to fed precursor in to a reactor under two different conditions, one which can be seen as mimicking ALD conditions and one which can be seen as mimicking CVD conditions. This allows for an otherwise single mode reactor to be operated in a plurality of modes including one or more ALD/CVD combination modes. Additionally, the pulse profile of each pulse can be modified. The pulse profile can be modified to create a low or very low partial pressure pulse profile at the beginning of a pulse.

Подробнее
07-01-2021 дата публикации

METHOD FOR FABRICATING CERAMIC MATRIX COMPOSITE COMPONENTS

Номер: US20210002766A1
Принадлежит:

A method for fabricating a component according to an example of the present disclosure includes the steps of depositing a stoichiometric precursor layer onto a preform, and densifying the preform by depositing a matrix material onto the stoichiometric precursor layer. An alternate method and a component are also disclosed. 1. A method of fabricating a component , comprising the steps of:depositing a stoichiometric precursor layer onto a preform; anddensifying the preform by depositing a matrix material onto the stoichiometric precursor layer.2. The method of claim 1 , wherein the preform comprises silicon carbide fibers.3. The method of claim 1 , wherein the stoichiometric precursor layer is silicon carbide claim 1 , and wherein the ratio of silicon to carbon in the stoichiometric precursor layer is approximately one.4. The method of claim 3 , wherein the matrix material is silicon carbide and has a ratio of silicon atoms to carbon atoms claim 3 , and the ratio is approximately one.5. The method of claim 1 , wherein the step of depositing the stoichiometric precursor layer onto the preform is accomplished by an atomic layer deposition process.6. The method of claim 1 , wherein the step of densifying the preform is accomplished by a chemical vapor infiltration process.7. The method of claim 1 , wherein the matrix material comprises one or more constituents claim 1 , and further comprising the steps of:determining the ratio of the one or more constituents to one another; andcomparing the ratio to the stoichiometric ratio of the matrix material.8. The method of claim 1 , wherein the depositing step and the densifying step are performed in the same reactor.9. A ceramic matrix composite component formed by a process comprising the steps of:depositing a stoichiometric precursor layer onto a preform; anddensifying the preform by depositing a matrix material onto the stoichiometric precursor layer. This application is a continuation of U.S. application Ser. No. 15/687,625 ...

Подробнее
07-01-2021 дата публикации

LIQUID VAPORIZER

Номер: US20210002767A1
Автор: Winkler Jereld Lee
Принадлежит:

A semiconductor processing device is disclosed. The semiconductor device includes a reactor and a vaporizer configured to provide a reactant vapor to the reactor. The device can include a process control chamber between the vaporizer and the reactor. The device can include a control system configured to modulate a pressure in the process control chamber based at least in part on feedback of measured pressure in the process control chamber. 1. A semiconductor processing device comprising:a reactor;a vaporizer configured to provide a reactant vapor to the reactor;a process control chamber between the vaporizer and the reactor;a control system configured to modulate a pressure in the process control chamber based at least in part on feedback of measured pressure in the process control chamber.2. The device of claim 1 , wherein the control system is configured to maintain a pressure in the vaporizer at or below a dew point pressure of the reactant vapor.3. The device of claim 2 , further comprising a first pressure transducer in fluid communication with the vaporizer claim 2 , wherein the control system comprises processing electronics configured to maintain the pressure in the vaporizer based at least in part on feedback from one or more pressure measurements obtained by the first pressure transducer.4. The device of claim 3 , further comprising a first valve upstream of the vaporizer and in electrical communication with the first pressure transducer claim 3 , the first valve configured to modulate the pressure in the vaporizer.5. (canceled)6. The device of claim 1 , further comprising a second pressure transducer in fluid communication with the process control chamber claim 1 , wherein the control system comprises processing electronics configured to modulate the pressure in the process control chamber and to maintain the pressure in the process control chamber at or below a dew point pressure of the reactant vapor.7. The device of claim 6 , wherein the control system ...

Подробнее
03-01-2019 дата публикации

METHODS FOR DEPOSITING A TRANSITION METAL NITRIDE FILM ON A SUBSTRATE BY ATOMIC LAYER DEPOSITION AND RELATED DEPOSITION APPARATUS

Номер: US20190003052A1
Принадлежит:

An apparatus and method for depositing a transition metal nitride film on a substrate by atomic layer deposition in a reaction space defined by an at least one chamber wall and showerhead is disclosed. The apparatus may include, a substrate support disposed within the reaction space, the substrate support configured for supporting at least one substrate and a temperature control system for controlling a temperature of the at least one chamber wall at those portions of the at least one chamber wall that is exposed to a vapor phase reactant. The apparatus may also include a temperature control system for controlling a temperature of the showerhead, wherein the temperature control system for controlling a temperature of the showerhead is configured to control the temperature of the showerhead to a temperature of between approximately 80° C. and approximately 160° C. The method may include, providing at least one substrate on a substrate support within the reaction space and controlling a temperature of the at least one chamber wall at least at those portions of the at least one chamber wall that is exposed to a vapor phase reactant and controlling a temperature of a showerhead. The method may also include, alternatively and sequentially feeding at least two vapor phase reactants into the reaction space, wherein the temperature of the showerhead is controlled to a temperature between approximately 80° C. and approximately 160° C. 1. A method for depositing a transition metal nitride film on a substrate by atomic layer deposition in a reaction space defined by an at least one chamber wall and a showerhead , the method comprising:providing at least one substrate on a substrate support within the reaction space;controlling a temperature of the at least one chamber wall at least at those portions of the at least one chamber wall that is exposed to a vapor phase reactant;controlling a temperature of a showerhead; andalternatively and sequentially feeding at least two vapor ...

Подробнее
07-01-2016 дата публикации

HIGH EFFICIENCY APPARATUS AND METHOD FOR DEPOSITING A LAYER ON A THREE DIMENSIONAL STRUCTURE

Номер: US20160005594A1
Принадлежит:

In one embodiment, a processing apparatus may include a process chamber configured to house a substrate and a hybrid source assembly that includes a gas channel coupled to a molecular source; and a plasma chamber configured to generate a plasma and isolated from the gas channel. The processing apparatus may also include an extraction assembly disposed between the hybrid source assembly and process chamber, coupled to the gas channel and plasma chamber, and configured to direct an ion beam to a substrate, the ion beam comprising angled ions wherein the angled ions form a non-zero angle with respect to a perpendicular to a substrate plane; and configured to direct a molecular beam comprising molecular species received from the gas channel to the substrate. 1. A processing apparatus , comprising:a process chamber configured to house a substrate; a gas channel coupled to a molecular source; and', 'a plasma chamber configured to generate a plasma and isolated from the gas channel; and, 'a hybrid source assembly, comprising direct an ion beam to the substrate, the ion beam comprising angled ions wherein the angled ions form a non-zero angle with respect to a perpendicular to a substrate plane; and', 'direct a molecular beam comprising molecular species received from the gas channel to the substrate., 'an extraction assembly disposed between the hybrid source assembly and process chamber, coupled to the gas channel and plasma chamber, and configured to2. The processing apparatus of claim 1 , wherein the plasma chamber is disposed around the gas channel claim 1 , wherein the plasma chamber is configured to form an annular plasma.3. The processing apparatus of claim 1 , wherein the extraction assembly comprises an extraction aperture that generates the ion beam claim 1 , the extraction aperture configured to modify a shape of a plasma sheath adjacent the extraction aperture claim 1 , wherein the angled ions exit the plasma sheath at the non-zero angle.4. The processing ...

Подробнее
04-01-2018 дата публикации

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер: US20180005801A1
Принадлежит:

Provided are apparatuses and methods for performing deposition and etch processes in an integrated tool. An apparatus may include a plasma processing chamber that is a capacitively-coupled plasma reactor, and the plasma processing chamber can include a showerhead that includes a top electrode and a pedestal that includes a bottom electrode. The apparatus may be configured with an RF hardware configuration so that an RF generator may power the top electrode in a deposition mode and power the bottom electrode in an etch mode. In some implementations, the apparatus can include one or more switches so that at least an HFRF generator is electrically connected to the showerhead in a deposition mode, and the HFRF generator and an LFRF generator is electrically connected to the pedestal and the showerhead is grounded in the etch mode. 1. A method of filling one or more gaps in a wafer , the method comprising:providing a wafer on a pedestal in a plasma processing chamber, wherein the wafer has one or more gaps each having a depth to width aspect ratio of greater than about 5:1;depositing, in the plasma processing chamber, a first dielectric layer in the one or more gaps via ALD;anisotropically etching with slope control, in the plasma processing chamber, the first dielectric layer; anddepositing, in the plasma processing chamber, a second dielectric layer in the one or more gaps over the first dielectric layer via ALD.2. The method of claim 1 , wherein a wafer temperature is between about 80° C. and about 400° C. while depositing the first dielectric layer claim 1 , while anisotropically etching with slope control the first dielectric layer claim 1 , and while depositing the second dielectric layer.3. The method of claim 1 , wherein a wafer temperature is greater than about 200° C. while anisotropically etching with slope control the first dielectric layer.4. The method of claim 1 , wherein anisotropically etching with slope control the first dielectric layer is performed ...

Подробнее
04-01-2018 дата публикации

SELECTIVE ATOMIC LAYER DEPOSITION WITH POST-DOSE TREATMENT

Номер: US20180005814A1
Принадлежит:

Methods and apparatuses for depositing films in high aspect ratio features and trenches using a post-dose treatment operation during atomic layer deposition are provided. Post-dose treatment operations are performed after adsorbing precursors onto the substrate to remove adsorbed precursors at the tops of features prior to converting the adsorbed precursors to a silicon-containing film. Post-dose treatments include exposure to non-oxidizing gas, exposure to non-oxidizing plasma, and exposure to ultraviolet radiation. 1. A method of processing a patterned substrate in a process chamber , the method comprising:(a) providing the patterned substrate having one or more features;(b) exposing the patterned substrate to a silicon-containing precursor under conditions allowing the silicon-containing precursor to adsorb onto surfaces of the one or more features, thereby forming an adsorbed layer of the silicon-containing precursor over the patterned substrate;(c) before exposing the patterned substrate to a reactant to form a silicon-containing film and after exposing the patterned substrate to the silicon-containing precursor, performing a post-dose treatment operation to preferentially remove the adsorbed layer at tops of the one or more features; and(d) exposing the patterned substrate to the reactant and igniting a first plasma to form the silicon-containing film over the patterned substrate.2. The method of claim 1 , wherein performing the post-dose treatment operation comprises exposing the patterned substrate to a gas selected from the group consisting of nitrogen claim 1 , argon claim 1 , hydrogen claim 1 , ammonia claim 1 , helium claim 1 , and CH claim 1 , wherein x is an integer between and including 1-5 and y is an integer between and including 4-16.3. The method of claim 2 , wherein performing the post-dose treatment operation further comprises igniting a second plasma at a plasma power less than about 6 kW.4. The method of claim 3 , wherein performing the post- ...

Подробнее
07-01-2021 дата публикации

STAGE, SUBSTRATE PROCESSING APPARATUS AND STAGE ASSEMBLING METHOD

Номер: US20210005502A1
Принадлежит:

A stage on which a substrate is mounted, includes a stage body having an upper surface on which the substrate is mounted, a cover member configured to cover an outer edge portion of the upper surface of the stage body, and a positional deviation preventing member provided between the upper surface of the stage body and a lower surface of the cover member and configured to roll or slide. A body-side recess configured to accommodate the positional deviation preventing member is formed on the upper surface of the stage body. A cover-side recess configured to accommodate the positional deviation preventing member accommodated in the body-side recess is formed on the lower surface of the cover member. At least one of the body-side recess and the cover-side recess is formed in a bowl shape having an inclined surface extending along a radial direction of the stage body. 1. A stage on which a substrate is mounted , comprising:a stage body having an upper surface on which the substrate is mounted;a cover member configured to cover an outer edge portion of the upper surface of the stage body; anda positional deviation preventing member provided between the upper surface of the stage body and a lower surface of the cover member and configured to roll or slide,wherein a body-side recess configured to accommodate the positional deviation preventing member is formed on the upper surface of the stage body,a cover-side recess configured to accommodate the positional deviation preventing member accommodated in the body-side recess is formed on the lower surface of the cover member, andat least one of the body-side recess and the cover-side recess is formed in a bowl shape having an inclined surface extending along a radial direction of the stage body.2. The stage of claim 1 , wherein the positional deviation preventing member has a curved surface that makes contact with the inclined surface.3. The stage of claim 2 , wherein the positional deviation preventing member is configured to ...

Подробнее
12-01-2017 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20170008015A1
Принадлежит:

Provided is a substrate processing apparatus capable of improving thickness uniformity. The substrate processing apparatus includes a process chamber including a shower head, a feeding block including a tube to provide a source gas and a reaction gas to the shower head, and a mixing block configured to provide a channel connected between the shower head and the feeding block to mix the source gas and the reaction gas, and the mixing block includes an internal space having a cross-sectional area larger than the cross-sectional area of the tube provided in the feeding block, and a collision part provided on a path of a gas mixture of the source gas and the reaction gas to collide with the gas mixture. 1. A substrate processing apparatus comprising:a process chamber comprising a shower head;a feeding block comprising a tube to provide a source gas and a reaction gas to the shower head; anda mixing block configured to provide a channel connected between the shower head and the feeding block to mix the source gas and the reaction gas,wherein the mixing block comprises:an internal space having a cross-sectional area larger than the cross-sectional area of the tube provided in the feeding block; anda collision part provided on a path of a gas mixture of the source gas and the reaction gas to collide with the gas mixture.2. The substrate processing apparatus of claim 1 , wherein the tube provided in the feeding block comprises:a first tube capable of providing the source gas;a second tube capable of providing the reaction gas; anda third tube directly connected to the first and second tubes, extending to be connected to the internal space of the mixing block, and capable of providing the source gas and the reaction gas, andwherein the internal space of the mixing block is in fluid communication with the third tube and has a cross-sectional area larger than the cross-sectional area of the third tube to diffuse the gas mixture of the source gas and the reaction gas.3. The ...

Подробнее
12-01-2017 дата публикации

ATOMIC LAYER DEPOSITION APPARATUS AND ATOMIC LAYER DEPOSITION SYSTEM

Номер: US20170009343A1
Автор: CHO Saeng Hyun
Принадлежит: VNI SOLUTION CO.,LTD

An atomic layer deposition apparatus and an atomic layer deposition system, capable of reducing space for installing the apparatus and significantly improving production speed by forming a thin film on a surface of each of a plurality of rectangular substrates by rotating the substrates with respect to a gas spray portion, with the substrates being supported by one substrate support portion. The atomic layer deposition apparatus includes: a vacuum chamber; a gas supply portion, which is provided above or below the vacuum chamber, and which supplies gas so that a thin film is deposited on a surface of each of substrates; and a substrate support portion, which is provided in the vacuum chamber so as to horizontally rotate about the gas supply portion, and which supports the two or more rectangular substrates arranged in the circumferential direction with respect to the center of rotation of the substrate support portion. 1. An atomic layer deposition apparatus comprising:a vacuum chamber;a gas injection unit installed above or below the vacuum chamber to supply a gas so that a thin film is deposited on a surface of a substrate; anda substrate support unit installed in the vacuum chamber to relatively and horizontally rotate with respect to the gas injection unit and supporting two or more rectangular substrates arranged in a circumferential direction with respect to a center of rotation thereof,wherein the gas injection unit comprises at least one source gas injection unit arranged in a rotational direction of the substrate to spray source gas and at least one reaction gas injection unit for spraying reaction gas that is in a plasma state,an exhaust unit for absorbing and exhausting the gas is installed on at least one area between the injection units,a mask having at least one opening defined in a surface, which faces the gas injection unit, is closely attached to the substrate supported by the substrate support unit, andthe atomic layer deposition apparatus further ...

Подробнее
12-01-2017 дата публикации

Chemical Deposition Apparatus Having Conductance Control

Номер: US20170009348A1
Принадлежит:

A chemical deposition apparatus having conductance control, which includes a showerhead module having a faceplate and a backing plate, the showerhead module including a plurality of inlets which deliver reactor chemistries to a cavity and exhaust outlets which remove reactor chemistries, a pedestal module configured to support a substrate and which moves vertically to close the cavity between the pedestal module and an outer portion of the faceplate, and at least one conductance control assembly, which is in fluid communication with the cavity via the exhaust outlets. The at least one conductance control assembly selected from one or more of the following: a ball valve assembly, a fluidic valve, magnetically coupled rotary plates, and/or a linear based magnetic system. 1. A method of controlling conductance within a cavity of a chemical deposition apparatus , comprising:processing a substrate in the cavity of the chemical deposition apparatus, the cavity formed between a showerhead module and a substrate pedestal module configured to receive the substrate, wherein the showerhead module includes a plurality of inlets which delivers reactor chemistries to the cavity and exhaust outlets which remove reactor chemistries and purging gases from the cavity;injecting a purging gas into the cavity; and [ a housing having a conical lower portion;', 'a conduit extending from the conical lower portion of the housing to one or more of the exhaust outlets of the cavity, the conduit having an inlet and an outlet; and', 'a spherical body configured to fit within the conical lower portion, and wherein the spherical body is configured to block the outlet of the conduit and prevent a flow of the reactor chemistries through the conduit during a dosing step, and to allow the reactor chemistries and a purge gas to flow from the cavity into one or more evacuation vacuum lines upon exceeding a first pressure and flow rate within the cavity during a purging step by rising upward to provide ...

Подробнее
14-01-2016 дата публикации

Plasma-Enhanced Atomic-Layer Deposition System and Method

Номер: US20160010207A1
Автор: Wang Dongjun
Принадлежит:

In one example, we describe a type of plasma-enhanced atomic layer deposition equipment including: fluidly connected plasma generation chamber, diffusion chamber, reaction chamber, and pumping chamber, wherein the plasma generation chamber includes a plasma generation gas inlet and a plasma generation device that enables the generation of plasma from the plasma generation gas coming from the plasma generation gas inlet. A precursor inlet is disposed between the plasma generation chamber and the diffusion chamber. Precursors coming from the precursor inlet and generated plasma diffuse uniformly inside the diffusion chamber. A sample stage, for the placement of sample to be deposited on, is disposed inside the reaction chamber. The pumping chamber is sequentially connected to an exhaust trap and pumping system. The equipment has enabled high quality atomic layer thin film deposition. 1. A plasma-enhanced atomic layer deposition apparatus , said apparatus comprising:a plasma generation chamber;a diffusion chamber;a reaction chamber;a pumping chamber;wherein said plasma generation chamber, said diffusion chamber, said reaction chamber, and said pumping chamber are fluidly connected;wherein said plasma generation chamber comprises a plasma generation gas inlet and a plasma generation device, which enables gas, coming from said plasma generation gas inlet, to generate plasma;wherein a precursor inlet is located between said plasma generation chamber and said diffusion chamber;wherein precursors coming from said precursor inlet and said generated plasma diffuse uniformly inside said diffusion chamber;a sample stage;wherein a substrate is disposed on said sample stage, which is located inside said reaction chamber;an exhaust trap;a pumping system;wherein said pumping chamber is sequentially connected to said exhaust trap and said pumping system.2. The plasma-enhanced atomic layer deposition apparatus as recited in claim 1 , wherein said plasma generation device is an ...

Подробнее
14-01-2016 дата публикации

LAYER-FORMING DEVICE AND INJECTOR

Номер: US20160010209A1
Принадлежит:

A layer-forming device includes a feeding mechanism that feeds a substrate during layer formation, an injector unit having a plurality of injectors that supplies a layer-forming gas to the substrate, along a feeding passage of the substrate, and a reactant supply unit which generates a reactant. The injector unit supplies the reactant through gaps between the injectors to a layer of the layer-forming component. A substrate opposing surface of the injector includes a layer-forming gas supply slot through which the layer-forming gas is output, first gas exhaust slots that suck an excess gas such as the layer-forming gas, the first gas exhaust slots being provided on both sides of the layer-forming gas supply slot in a feeding direction of the substrate, and inert gas supply slots that supply an inert gas provided on far sides of the respective first gas exhaust slots away from the layer-forming gas supply slot. 1. A layer-forming device that forms a thin layer on a substrate in atomic layer unit , the layer-forming device comprising:a feeding mechanism configured to feed the substrate during layer formation of the substrate;an injector unit including a plurality of injectors provided along a feeding passage of the substrate with gaps, and configured to supply a layer-forming gas toward the substrate to form, on the substrate being fed, a layer of a layer-forming component of the layer-forming gas; anda reactant supply unit configured to supply a reactant that reacts with the layer-forming component toward the substrate through the gaps,a substrate opposing surface of each of the injectors, the surface facing the substrate, includes a layer-forming gas supply slot configured to output the layer-forming gas, first gas exhaust slots provided on both sides of the layer-forming gas supply slot in a feeding direction of the substrate, and configured to suck an excess gas above the substrate, and inert gas supply slots provided on far sides of the respective first gas ...

Подробнее
09-01-2020 дата публикации

Film-forming method, manufacturing method of electronic device, and mask holder

Номер: US20200010949A1
Принадлежит: Japan Steel Works Ltd

In order to suppress a film from being formed in a gap between a mask and a substrate, a technology of improving adhesion between the mask and the substrate is provided. A film-forming method includes the step of suspending a mask MK by a suspension portion HU in a state in which the suspension portion HU is supported by a supporting portion SU and the step of bringing the mask MK suspended by the suspension portion HU into contact with a glass substrate GS in the state in which the suspension portion HU is supported by the supporting portion SU.

Подробнее
12-01-2017 дата публикации

SYSTEMS AND METHODS FOR REMOVING PARTICLES FROM A SUBSTRATE PROCESSING CHAMBER USING RF PLASMA CYCLING AND PURGING

Номер: US20170011893A1
Автор: Kang Hu, LaVoie Adrien
Принадлежит:

Systems and methods for operating a substrate processing system include processing a substrate arranged on a substrate support in a processing chamber. At least one of precursor gas and/or reactive gas is supplied during the processing. The substrate is removed from the processing chamber. Carrier gas and purge gas are selectively supplied to the processing chamber. RF plasma is generated in the processing chamber during N cycles, where N is an integer greater than one. The RF plasma is on for a first period and off for a second period during each of the N cycles. The purge gas is supplied during at least part of each of the N cycles. 1. A substrate processing system comprising:a processing chamber including a substrate support to support a substrate during processing;a gas supply to selectively supply carrier gas, purge gas, and at least one gas selected from a group including precursor gas and reactive gas during the processing;a radio frequency (RF) plasma generator to selectively generate RF plasma in the processing chamber; and a) supply the carrier gas to the processing chamber after the substrate is removed from the processing chamber;', 'b) generate RF plasma in the processing chamber during N cycles, where N is an integer greater than one, wherein the N cycles include alternating first periods and second periods, and wherein the RF plasma is on for the first periods and off for the second periods during the N cycles;', 'c) not supply the purge gas during the first periods of the N cycles when the RF plasma is on; and', '(d) supply the purge gas during the second periods of the N cycles when the RF plasma is off., 'a controller that communicates with the gas supply and the RF plasma generator and that is configured to2. The substrate processing system of claim 1 , wherein the controller is configured to not supply the at least one gas selected from the group during (a) claim 1 , (b) claim 1 , (c) and (d).3. The substrate processing system of claim 1 , ...

Подробнее
12-01-2017 дата публикации

ATOMIC LAYER EPITAXY FOR SEMICONDUCTOR GATE STACK LAYER FOR ADVANCED CHANNEL DEVICES

Номер: US20170011917A1
Принадлежит:

Embodiments of the present disclosure provide methods and apparatus for forming an epitaxial layer on a substrate. The substrate is exposed to pulsed laser radiation to clean, anneal, and/or activate the surface of the substrate. The substrate is then exposed to a deposition precursor in a self-limiting deposition process. The substrate may again be exposed to pulsed laser radiation, and then exposed to a second deposition precursor in a second self-limiting deposition process. The process may be repeated as desired to form an epitaxial layer of very high quality one atomic layer at a time. 1. An apparatus , comprising:a processing chamber having a side wall and a bottom wall;an x-y actuator coupled to the bottom wall of the processing chamber;a substrate support disposed in the processing chamber, the substrate support having a processing surface and a support shaft disposed through the bottom wall and the x-y actuator;a source of pulsed laser radiation facing the processing surface;a window coupled to the processing chamber and separating the processing surface from the source of laser radiation; anda gas injector between the processing surface and the window.2. The apparatus of claim 1 , wherein the window is coupled to the side wall of the processing chamber claim 1 , and the gas injector is disposed through the side wall of the processing chamber.3. The apparatus of claim 1 , wherein the processing chamber further comprises a lid adjacent to the side wall of the processing chamber claim 1 , the lid comprising:a sealing surface operatively coupled to the side wall of the processing chamber; anda tunnel disposed in a central portion of the lid, the tunnel having an opening through the sealing surface and an end opposite the opening, wherein the window is coupled to the end of the tunnel.4. The apparatus of claim 3 , further comprising a gas seal between the side wall of the processing chamber and the sealing surface of the lid.5. The apparatus of claim 2 , ...

Подробнее
14-01-2016 дата публикации

Systems and methods for producing energetic neutrals

Номер: US20160013020A1
Принадлежит: Lam Research Corp

Systems and methods for producing energetic neutrals include a remote plasma generator configured to generate plasma in a plasma region. An ion extractor is configured to extract high energy ions from the plasma. A substrate support is arranged in a processing chamber and is configured to support a substrate. A neutral extractor and gas dispersion device is arranged between the plasma region and the substrate support. The neutral extractor and gas dispersion device is configured to extract energetic neutrals from the high energy ions, to supply the energetic neutrals to the substrate and to disperse precursor gas into the processing chamber.

Подробнее
11-01-2018 дата публикации

COLLAR, CONICAL SHOWERHEADS AND/OR TOP PLATES FOR REDUCING RECIRCULATION IN A SUBSTRATE PROCESSING SYSTEM

Номер: US20180012733A1
Принадлежит:

A substrate processing system includes a processing chamber and a showerhead including a faceplate, a stem portion and a cylindrical base portion. A collar connects the showerhead to a top surface of the processing chamber. The collar defines a gas channel to receive secondary purge gas and a plurality of gas slits to direct the secondary purge gas from the gas channel in a radially outward and downward direction. A conical surface is arranged adjacent to the cylindrical base and around the stem portion of the showerhead. An inverted conical surface is arranged adjacent to a top surface and sidewalls of the processing chamber. The conical surface and the inverted conical surface define an angled gas channel from the plurality of gas slits to a gap defined between a radially outer portion of the cylindrical base portion and the sidewalls of the processing chamber. 1. A substrate processing system comprising:a processing chamber;a showerhead including a faceplate, a stem portion and a cylindrical base portion;a collar connecting the showerhead to a top surface of the processing chamber,wherein the collar defines a gas channel to receive secondary purge gas and a plurality of gas slits to direct the secondary purge gas from the gas channel in a radially outward and downward direction;a conical surface arranged adjacent to the cylindrical base and around the stem portion of the showerhead; andan inverted conical surface arranged adjacent to a top surface and sidewalls of the processing chamber,wherein the conical surface and the inverted conical surface define an angled gas channel from the plurality of gas slits to a gap defined between a radially outer portion of the cylindrical base portion and the sidewalls of the processing chamber.2. The substrate processing system of claim 1 , wherein the gas channel defines a flow path that has a constant width and that is parallel to a direction of the secondary purge gas flowing from the plurality of gas slits.3. The substrate ...

Подробнее
09-01-2020 дата публикации

SELECTIVE GROWTH OF SIO2 ON DIELECTRIC SURFACES IN THE PRESENCE OF COPPER

Номер: US20200013615A1
Принадлежит:

Methods and apparatuses for selectively depositing silicon oxide on surfaces relative to a metal-containing surface such as copper are provided. Methods involve exposing a substrate having hydroxyl-terminated or dielectric surfaces and copper surfaces to a copper-blocking reagent such as an alkyl thiol to selectively adsorb to the copper surface, exposing the substrate to a silicon-containing precursor for depositing silicon oxide, exposing the substrate to a weak oxidant gas and igniting a plasma, or water vapor without plasma, to convert the adsorb silicon-containing precursor to form silicon oxide. Some methods also involve exposing the substrate to a reducing agent to reduce any oxidized copper from exposure to the weak oxidant gas. 1. A method of selectively depositing silicon oxide on a hydroxyl-terminated surface relative to copper on a substrate , the method comprising:providing the substrate comprising the hydroxyl-terminated surface and exposed copper metal surface;prior to depositing the silicon oxide, exposing the substrate to a copper-blocking reagent to selectively adsorb onto the exposed copper metal surface;exposing the substrate to a silicon-containing precursor to adsorb the silicon-containing precursor onto the hydroxyl-terminated surface;exposing the substrate to an oxidizing plasma generated in an environment comprising a weak oxidant to convert the adsorbed silicon-containing precursors to silicon oxide; andexposing the substrate to a reducing agent to reduce the exposed copper metal surface.2. The method of claim 1 , wherein the copper-blocking reagent comprises sulfur.3. The method of claim 1 , wherein the copper-blocking reagent is an alkyl thiol.4. The method of claim 3 , wherein the copper-blocking reagent is selected from the group consisting of ethane thiol and butane thiol.5. The method of claim 1 , wherein the copper-blocking reagent is an alkyl thiol having a chemical formula SH(CH)CHwhereby n is an integer between and including 2 and ...

Подробнее
03-02-2022 дата публикации

Gas supply unit and substrate processing apparatus including the gas supply unit

Номер: US20220033968A1
Принадлежит: ASM IP Holding BV

A substrate processing apparatus having an improved film processing uniformity is provided. The substrate processing apparatus includes a partition configured to provide a gas supply channel and a gas supply unit connected to the gas supply channel. A gas flow channel communicating with the gas supply channel is formed in the gas supply unit. A first through-hole is formed to penetrate through at least a part of the partition. A second through-hole is formed to penetrate through at least a part of the gas supply unit. The first through-hole communicates with the gas flow channel via the second through-hole. The second through-hole is arranged between a center and an edge of the gas flow channel, and is arranged spaced apart from the edge.

Подробнее
03-02-2022 дата публикации

Coating apparatus

Номер: US20220033969A1
Принадлежит: LG Energy Solution Ltd

A coating apparatus, and particularly, an atomic layer deposition apparatus. The atomic layer deposition apparatus consecutively coats the surfaces of powder particles with different kinds of materials.

Подробнее
19-01-2017 дата публикации

PLASMA ATOMIC LAYER DEPOSITION SYSTEM AND METHOD

Номер: US20170016114A1
Принадлежит:

A gas deposition chamber includes a volume expanding top portion and a substantially constant volume cylindrical middle portion and optionally a volume reducing lower portion. An aerodynamically shaped substrate support chuck is disposed inside the gas deposition chamber with a substrate support surface positioned in the cylindrical middle portion. The top portion reduces gas flow velocity, the aerodynamic shape of the substrate support chuck reduces drag and promotes laminar flow over the substrate support surface, and the lower portion increases gas flow velocity after the substrate support surface. The gas deposition chamber is configurable to 200 mm diameter semiconductor wafers using ALD and or PALD coating cycles. A coating method includes expanding process gases inside the deposition chamber prior to the process gas reaching a substrate surface. The method further includes compressing the process gases inside the deposition chamber after the process gas has flowed passed the substrate being coated. 1. A method for coating a substrate with a solid material layer comprising the steps of:supporting the substrate on substrate support surface disposed in a substantially constant volume middle portion of a hollow gas deposition volume;introducing a first process gas into a volume expanding top portion of the hollow gas deposition volume and allowing the first process gas to expand in volume prior to impinging surfaces of the substrate;drawing the process gas out of the hollow deposition chamber through a exit port wherein the exit port is positioned opposed to the volume expanding top portion of the hollow gas deposition volume;removing substantially all of the first process gas from the hollow gas deposition volume while delivering an flow of inert gas into the hollow gas deposition volume;introducing a second process gas into the volume expanding top portion of the hollow gas deposition volume and allowing the second process gas to expand in volume prior to ...

Подробнее
19-01-2017 дата публикации

SYSTEMS AND METHODS ENABLING LOW DEFECT PROCESSING VIA CONTROLLED SEPARATION AND DELIVERY OF CHEMICALS DURING ATOMIC LAYER DEPOSITION

Номер: US20170016115A1
Принадлежит:

A gas delivery system includes a first valve including an inlet that communicates with a first gas source. A first inlet of a second valve communicates with an outlet of the first valve and a second inlet of the second valve communicates with a second gas source. An inlet of a third valve communicates with a third gas source. A connector includes a first gas channel and a cylinder defining a second gas channel. The cylinder and the first gas channel collectively define a flow channel between an outer surface of the cylinder and an inner surface of the first gas channel. The flow channel communicates with the outlet of the third valve and the first end of the second gas channel. A third gas channel communicates with the second gas channel, with the outlet of the second valve and with a gas distribution device of a processing chamber. 1. A gas delivery system for a substrate processing system , comprising:a first valve including an inlet and an outlet, wherein the inlet is in fluid communication with a first gas source;a second valve including a first inlet, a second inlet and an outlet, wherein the first inlet is in fluid communication with the outlet of the first valve and the second inlet is in fluid communication with a second gas source;a third valve including an inlet and an outlet, wherein the inlet is in fluid communication with a third gas source; and a first gas channel;', 'a cylinder defining a second gas channel having a first end and a second end,', 'wherein the cylinder is at least partially disposed within the first gas channel such that the cylinder and the first gas channel collectively define a flow channel between an outer surface of the cylinder and an inner surface of the first gas channel,', 'wherein the flow channel is in fluid communication with the outlet of the third valve and the first end of the second gas channel; and', 'a third gas channel in fluid communication with the second end of the second gas channel, with the outlet of the second ...

Подробнее
19-01-2017 дата публикации

GRAPHENE PRODUCTION METHOD, GRAPHENE PRODUCTION APPARATUS AND GRAPHENE PRODUCTION SYSTEM

Номер: US20170016116A1
Принадлежит:

There is provided a graphene production method including: forming a catalyst metal film on a surface of a substrate; heating the catalyst metal film; and cooling the heated catalyst metal film, wherein the forming a catalyst metal film includes introducing carbons into the catalyst metal film. 1. A graphene production method comprising:forming a catalyst metal film on a surface of a substrate;heating the catalyst metal film; andcooling the heated catalyst metal film,wherein the forming a catalyst metal film includes introducing carbons into the catalyst metal film.2. The graphene production method of claim 1 , wherein the catalyst metal film is composed of a metal carbide or an organic metal compound.3. The graphene production method of claim 1 , wherein the catalyst metal film is formed by a chemical vapor deposition (CVD) claim 1 , a physical vapor deposition (PVD) or an atomic layer deposition (ALD).4. The graphene production method of claim 1 , wherein the heating the catalyst layer includes supplying a carbon-containing gas toward the catalyst metal film.5. The graphene production method of claim 1 , further comprising: before forming a catalyst metal film claim 1 , forming a high crystalline base film claim 1 ,wherein the forming a catalyst metal film forms the catalyst metal film to be brought into contact with the high crystalline base film.6. The graphene production method of claim 1 , further comprising:forming a carbon concentration adjustment film having a carbon concentration different from that of the catalyst metal film,wherein the forming a catalyst metal film forms the catalyst metal film to be brought into contact with the carbon concentration adjustment film.7. The graphene production method of claim 6 , wherein the forming a carbon concentration adjustment film is performed prior to the forming a catalyst metal film such that the carbon concentration adjustment film is formed between the substrate and the catalyst metal film.8. The graphene ...

Подробнее
17-01-2019 дата публикации

FLUID CONTROL APPARATUS, FLUID CONTROL SYSTEM, FLUID CONTROL METHOD, AND PROGRAM RECORDING MEDIUM

Номер: US20190017172A1
Принадлежит:

In order to provide a fluid control apparatus that without enhancing temporal control performance, every time, can stabilize a fluid flow rate achieved by, for example, pulse control, and eliminate fluid wasted at the time of supplying the fluid by including one flow path, a control mechanism includes a first feedback controller adapted to control a first valve on the basis of first pressure measured by a first pressure sensor. In addition, when a second valve is closed, the first pressure feedback controller controls the first valve so that the first pressure measured by the first pressure sensor reaches target burst pressure, and when and after the first pressure reaches the target burst pressure and the second valve is opened, the control mechanism is configured to control the first valve so that the flow rate of the fluid flowing through the flow path reaches a target constant flow rate. 1. A fluid control apparatus comprising: a fluid device module provided on an upper stream side than a second valve provided in a flow path; and a control mechanism adapted to control at least a part of the fluid device module , wherein a fluid resistor provided on the upper stream side than the second valve;', 'a first pressure sensor provided on an upper stream side than the fluid resistor; and', 'a first valve provided on an upper stream side than the first pressure sensor,, 'the fluid device module comprises a first feedback controller adapted to control the first valve on a basis of first pressure measured by the first pressure sensor,', 'when the second valve is closed, the first pressure feedback controller controls the first valve so that the first pressure measured by the first pressure sensor reaches target burst pressure, and', 'when and after the first pressure reaches the target burst pressure and the second valve is opened, the control mechanism is configured to control the first valve so that a flow rate of fluid flowing through the flow path reaches a target ...

Подробнее
21-01-2016 дата публикации

PLASMA REACTOR WITH CONDUCTIVE MEMBER IN REACTION CHAMBER FOR SHIELDING SUBSTRATE FROM UNDESIRABLE IRRADIATION

Номер: US20160020116A1
Принадлежит:

Placing a conductive member between a plasma chamber in a remote plasma reactor and a substrate to shield the substrate from irradiation of undesirable electromagnetic radiation, ions or electrons. The conductive member blocks the electromagnetic radiation, neutralizes ions and absorbs the electrons. Radicals generated in the plasma chambers flows to the substrate despite the placement of the conductive member. In this way, the substrate is exposed to the radicals whereas damages to the substrate due to electromagnetic radiations, ions or electrons are reduced or removed.

Подробнее
21-01-2016 дата публикации

Apparatus And Methods For Wafer Chucking On A Susceptor For ALD

Номер: US20160020132A1
Принадлежит: Applied Materials, Inc.

Described are apparatus and methods for processing a semiconductor wafer so that the wafer remains in place during processing. The wafer is subjected to a pressure differential between the top surface and bottom surface so that sufficient force prevents the wafer from moving during processing, the pressure differential generated by applying a decreased pressure to the back side of the wafer.

Подробнее
21-01-2021 дата публикации

RESOLVING SPONTANEOUS ARCING DURING THICK FILM DEPOSITION OF HIGH TEMPERATURE AMORPHOUS CARBON DEPOSITION

Номер: US20210017645A1
Принадлежит:

Embodiments of the present invention generally relate to an apparatus for reducing arcing during thick film deposition in a plasma process chamber. In one embodiment, an edge ring including an inner edge diameter that is about 0.28 inches to about 0.38 inches larger than an outer diameter of a substrate is utilized when depositing a thick (greater than two microns) layer on the substrate. The layer may be a dielectric layer, such as a carbon hard mask layer, for example an amorphous carbon layer. With the 0.14 inches to 0.19 inches gap between the outer edge of substrate and the inner edge of the edge ring during the deposition of the thick layer, substrate support surface arcing is reduced while the layer thickness uniformity is maintained. 1. A ring , comprising: a top surface;', 'a bottom surface parallel to the top surface;', 'an inclined surface connecting the top surface to the bottom surface, the inclined surface and the bottom surface forming an angle ranging from about 20 degrees to about 80 degrees;', 'an outer edge connecting the top surface to the bottom surface; and', 'an inner edge defined by a junction of the inclined surface and the bottom surface, the inner edge having a diameter ranging from about 12.08 inches to about 12.18 inches., 'a body, comprising2. The ring of claim 1 , wherein the ring is fabricated from a ceramic material.3. The ring of claim 1 , wherein the angle ranges from about 40 degrees to about 70 degrees.4. The ring of claim 1 , wherein the angle ranges from about 55 degrees to about 65 degrees.5. A process chamber for forming a layer on a substrate claim 1 , comprising:a chamber body;a lid disposed over the chamber body;a substrate support disposed in the chamber body; and an outer edge; and', 'an inner edge, a diameter of the inner edge being about 0.28 inches to about 0.38 inches larger than a diameter of the substrate., 'a body, comprising, 'an edge ring disposed on the substrate support, the edge ring comprising6. The process ...

Подробнее
16-01-2020 дата публикации

GAS FLOW GUIDE DESIGN FOR UNIFORM FLOW DISTRIBUTION AND EFFICIENT PURGE

Номер: US20200017971A1
Принадлежит:

Embodiments described herein provide a chamber having a gas flow inlet guide to uniformly deliver process gas and a gas flow outlet guide to effectively purge process gasses and reduce purge time. The chamber includes a chamber body having a process gas inlet and a process gas outlet, a lid assembly, a process gas inlet and a process gas outlet configured to be in fluid communication with a processing region in the chamber, a gas flow inlet guide disposed in the process gas inlet, and a gas flow outlet guide disposed in the process gas outlet. The gas flow inlet guide includes a flow modulator and at least two first inlet guide channels having first inlet guide channel areas that are different. The gas flow outlet guide includes at least two first outlet guide channels having first outlet guide channel areas that are different. 1. A gas flow inlet guide , comprising:a flow guide body having a top, a bottom, body sidewalls, a flow guide inlet, and a flow guide outlet; and a first sidewall and a second sidewall, the first sidewall and the second sidewall having different lengths from the flow modulator to a first channel outlet disposed in the flow guide outlet, the first sidewall and the second sidewall have a sidewall width and a sidewall height;', 'a first channel area defined by the flow modulator, the first sidewall, the second sidewall, and the first channel outlet;', 'a first channel volume defined by the flow modulator, the first sidewall, the second sidewall, the first channel outlet, the bottom of the flow guide body, and the top of the flow guide body;', 'a first inlet width at the flow modulator from the first sidewall to the second sidewall;', 'a first outlet width at the first channel outlet from the first sidewall to the second sidewall; and', 'a first cross-sectional area defined by the first outlet width and the sidewall height, wherein a plenum is present between the flow modulator and the flow guide inlet., 'a flow modulator disposed within the flow ...

Подробнее
22-01-2015 дата публикации

METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, AND APPARATUS FOR PRODUCING SEMICONDUCTOR

Номер: US20150021775A1
Принадлежит:

A method for manufacturing a semiconductor device for forming a metal element-containing layer on an insulating layer in which a concave portion is formed, includes: forming an oxide layer including mainly an oxide of the metal element on the insulating layer including the concave portion; and forming a silicate layer including mainly a silicate of the metal element by making the oxide layer into silicate by annealing under a reducing atmosphere. 1. A method for manufacturing a semiconductor device for forming a metal element-containing layer on an insulating layer in which a concave portion is formed , comprising:forming an oxide layer including an oxide of the metal element on the insulating layer including the concave portion; andforming a silicate layer including a silicate of the metal element by making the oxide layer silicate by annealing under a reducing atmosphere.2. The method of claim 1 , wherein the insulating layer contains silicon oxide (SiOx) claim 1 , andwherein the forming a silicate layer forms the silicate layer by causing the oxide layer to react with the silicon oxide (SiOx) contained in the insulating layer by the annealing.3. The method of claim 1 , wherein the annealing is performed within a range of 150 degrees C. to 600 degrees C.4. The method of claim 1 , wherein the annealing is performed within a range of 300 degrees C. to 450 degrees C.5. The method of claim 1 , further comprising: modifying a surface of the insulating layer before the forming an oxide layer.6. The method of claim 5 , wherein the modifying a surface of the insulating layer includes decreasing carbon (C) from the surface of the insulating layer.7. The method of claim 5 , wherein the modifying a surface of the insulating layer includes hydrophilizing the surface of the insulating layer.8. The method of claim 5 , wherein the modifying a surface of the insulating layer including densifying the surface of the insulating layer.9. The method of claim 5 , wherein the insulating ...

Подробнее
17-01-2019 дата публикации

ATOMIC LAYER DEPOSITION APPARATUS

Номер: US20190019657A1
Принадлежит:

A film quality of a film formed on a substrate is improved. A plasma atomic layer deposition apparatus has a lower electrode holding the substrate, and an upper electrode having an opposite surface opposed to the lower electrode and generating plasma discharge between the upper electrode and the lower electrode. Further, the plasma atomic layer deposition apparatus has a conductive deposition preventing member fixed to the opposite surface of the upper electrode by a plurality of screws, and other conductive deposition preventing member fixed to the conductive deposition preventing member by a plurality of others screws. At this time, in a plan view, the plurality of screws and the plurality of other screws are arranged so as not to overlap each other. 1. An atomic layer deposition apparatus comprising:a first electrode holding a substrate;a second electrode having an opposite surface opposed to the first electrode and generating plasma discharge between the second electrode and the first electrode;a first conductive deposition preventing member fixed to the opposite surface of the second electrode by a plurality of first connecting members; anda second conductive deposition preventing member fixed to the first conductive deposition preventing member by a plurality of second connecting members,wherein, in a plan view, the plurality of first connecting members and the plurality of second connecting members are arranged so as not to overlap each other.2. The atomic layer deposition apparatus according to claim 1 ,wherein the number of the plurality of second connecting members is smaller than the number of the plurality of first connecting members.3. The atomic layer deposition apparatus according to claim 1 ,wherein, in a plan view, the plurality of second connecting members are arranged at positions that are distant from the substrate.4. The atomic layer deposition apparatus according to claim 1 ,wherein the atomic layer deposition apparatus has an insulating ...

Подробнее
17-01-2019 дата публикации

APPARATUS FOR PURGING SEMICONDUCTOR PROCESS CHAMBER SLIT VALVE OPENING

Номер: US20190019696A1
Принадлежит:

A semiconductor processing chamber is provided and may include a wafer transfer passage that extends through a chamber wall and has an inner passage surface defining an opening, an insert including an insert inner surface defining an insert opening, and a gas inlet. A first recessed surface of the wafer transfer passage extending at least partially around and outwardly offset from the inner passage surface, a first insert outer surface extending at least partially around and outwardly offset from the insert inner surface, and a first wall surface extending between the inner passage surface and the first recessed surface, at least partially define a gas distribution channel fluidically connected to the gas inlet, the first recessed surface is separated from the first insert outer surface by a first distance and an insert front surface faces and is separated from the first wall surface by a first gap distance. 1. A semiconductor processing chamber comprising:a chamber wall that at least partially bounds the semiconductor processing chamber;a gas inlet; an inner passage surface that defines an opening perpendicular to the first axis,', 'a first recessed surface that extends at least partially around and is outwardly offset from the inner passage surface when viewed along the first axis, and', 'a first wall surface that extends between the inner passage surface and the first recessed surface; and, 'a wafer transfer passage that extends through the chamber wall along a first axis and that includes an insert inner surface that defines an insert opening perpendicular to the first axis,', 'a first insert outer surface that extends at least partially around and is outwardly offset from the insert inner surface when viewed along the first axis, and', 'an insert front surface that extends between the insert inner surface and the first insert outer surface,, 'an insert that includes the first recessed surface, the first insert outer surface, and the first wall surface at least ...

Подробнее
21-01-2021 дата публикации

EQUIPMENT AND METHODS FOR PLASMA PROCESSING

Номер: US20210020405A1
Принадлежит:

In one embodiment, a plasma processing apparatus includes a plasma processing chamber that includes a first portion and a second portion. The first portion includes sidewalls and a top cover having a through hole. The second portion is coupled to the first portion via the through hole. A substrate holder is disposed in the first portion of the plasma processing chamber. A first coil is disposed over the first portion and a second coil is disposed over the first portion and around the second portion. 1. A plasma processing apparatus comprising:a plasma processing chamber comprising a first portion and a second portion, the first portion comprising sidewalls and a top cover comprising a through hole, the second portion being coupled to the first portion via the through hole;a substrate holder disposed in the first portion of the plasma processing chamber;a first coil disposed over the first portion; anda second coil being disposed over the first portion and around the second portion.2. The apparatus of claim 1 , wherein the first coil is a planar coil claim 1 , wherein the second coil is a vertical coil.3. The apparatus of claim 1 , wherein the first coil is a partial conical helical coil claim 1 , wherein the second coil is a vertical coil.4. The apparatus of claim 1 , further comprising:a grid disposed between the first portion and the second portion, wherein the first portion is fluidly coupled to the second portion through the grid.5. The apparatus of claim 1 , wherein the first coil and the second coil are a monolithic wire.6. The apparatus of claim 1 , wherein the first coil and the second coil are bonded together as an integrated structure.7. The apparatus of claim 6 , further comprising:a plurality of first electrical contact taps for coupling the integrated structure to a reference potential node; anda plurality of second electrical contact taps for coupling the integrated structure to a power source.8. The apparatus of claim 1 , wherein the first coil is ...

Подробнее
28-01-2016 дата публикации

SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20160024649A1
Принадлежит:

Provided is a semiconductor device manufacturing method of forming a film of less than one atomic layer on a substrate. The method includes (a) supplying a source gas into a processing chamber accommodating the substrate to adsorb the source gas on the substrate; (b) supplying a reactive gas different from the source gas into the processing chamber to cause a reaction of the reactive gas with the source gas adsorbed on the substrate before the source gas is saturatively adsorbed on the substrate; (c) removing an inner atmosphere of the processing chamber; and (d) supplying a modifying gas into the processing chamber to modify the source gas adsorbed on the substrate. 1. A semiconductor device manufacturing method of forming a film of less than one atomic layer on a substrate , the method comprising:(a) supplying a source gas into a processing chamber accommodating the substrate to adsorb the source gas on the substrate;(b) supplying a reaction inhibiting material into the processing chamber before the source gas is saturatively adsorbed on the substrate so as to hinder an absorption of the source gas adsorbed on the substrate;(c) removing an inner atmosphere of the processing chamber; and(d) supplying a modifying gas into the processing chamber to modify the source gas adsorbed on the substrate.2. The method according to claim 1 , wherein the reaction inhibiting material comprises a chlorine (Cl)-containing gas claim 1 , and the source gas comprises a metal source gas and the modifying gas comprises at least one selected from the group consisting of an oxygen (O)-containing gas and a nitrogen (N)-containing gas.3. The method according to claim 1 , wherein the step (d) comprises activating the modifying gas using at least one selected from the group consisting of heat claim 1 , light and plasma.4. The method according to claim 1 , wherein the method is performed by setting a temperature of substrate to range from 200° C. to 550° C.5. The method according to claim 4 , ...

Подробнее
28-01-2016 дата публикации

Plasma Source For Rotating Platen ALD Chambers

Номер: US20160024653A1
Принадлежит: Applied Materials, Inc.

Substrate processing chambers and methods for processing multiple substrates generally including an inductively coupled pie-shaped plasma source positioned so that a substrate rotating on a platen will pass through a plasma region adjacent the plasma source. 1. A processing chamber comprising:at least one inductively coupled pie-shaped plasma source positioned along an arcuate path in the processing chamber to generate an inductively coupled plasma in a plasma region adjacent the plasma source, the pie-shaped plasma source having a narrow width at an inner peripheral edge and a larger width at an outer peripheral edge, the pie-shaped plasma source comprising a plurality of conductive rods within the inductively coupled plasma source, the inductively coupled plasma having a substantially uniform plasma density between the narrow inner peripheral edge and the wider outer peripheral edge; anda substrate support apparatus within the processing chamber, the substrate support apparatus rotatable around a central axis of the processing chamber to move at least one substrate along the arcuate path adjacent the at least one pie-shaped plasma source.2. The processing chamber of claim 1 , wherein the conductive rods are radially spaced apart and extend along the width of the inductively coupled pie-shaped plasma source.3. The processing chamber of claim 2 , wherein the spacing between the conductive rods is a function of the width of the pie-shaped plasma source that the conductive rod extends through.4. The processing chamber of claim 3 , wherein a density of conductive rods is greater toward the inner peripheral edge of the pie-shaped plasma source than at the outer peripheral edge.5. The processing chamber of claim 1 , wherein the plurality of conductive rods comprise a single rod that repeatedly passes through the pie-shaped plasma source.6. The processing chamber of claim 1 , wherein each of the conductive rods is a separate rod.7. The processing chamber of claim 1 , ...

Подробнее
28-01-2016 дата публикации

Atmospheric Lid With Rigid Plate For Carousel Processing Chambers

Номер: US20160024655A1
Принадлежит:

Processing chambers including lid assemblies which form a volume above an injector assembly to decrease the deflection of the injector assembly as a result of the pressure differential between the processing side of the injector assembly and the atmospheric side of the injector assembly. 1. A processing chamber comprising:a chamber body including a bottom wall and a sidewall;a susceptor assembly in the chamber body to support and rotate a plurality of substrates around a central axis, the susceptor assembly having a top surface;an injector assembly positioned above the susceptor assembly and having a back surface and a front surface facing the top surface of the susceptor assembly and defining a process volume, an outer peripheral edge of the injector assembly supporting the injector assembly on the sidewall of the chamber body; anda chamber lid comprising a top wall and sidewall, the sidewall connectable to the chamber body sidewall, the top surface of the injector assembly and the chamber lid top wall and sidewall defining a lid volume.2. A processing chamber comprising:a chamber body including a bottom wall and a sidewall including a ledge;a susceptor assembly in the chamber body to support and rotate a plurality of substrates around a central axis, the susceptor assembly having a top surface including a plurality of recesses to support a plurality of substrates;an injector assembly positioned above the susceptor assembly and having a back surface and a front surface facing the top surface of the susceptor assembly and defining a process volume, an outer peripheral edge of the injector assembly supporting the injector assembly on the ledge of the sidewall of the chamber body, the injector assembly including a plurality of radially disposed gas ports having an opening at the front surface, each of the gas ports extending from an inner peripheral region toward the outer peripheral edge and being wider at the outer edge than the inner region; anda chamber lid ...

Подробнее
26-01-2017 дата публикации

WAFER PROCESSING APPARATUS HAVING GAS INJECTOR

Номер: US20170022610A1
Принадлежит:

A wafer processing apparatus may include a reaction tube extending in a vertical direction and defining a process chamber for receiving a boat that holds a plurality of wafers. A gas injector may be configured to supply a reaction gas into the process chamber and may include a gas distributor extending in the vertical direction in the reaction tube. The gas injector may have a plurality of ejection holes for spraying the reaction gas. An inner diameter of the gas distributor may be at least 10 mm, and a sectional area ratio of the total sectional area of the ejection holes to a sectional area of the gas distributor is about 0.3 or less. 1. A wafer processing apparatus , comprising:a reaction tube extending in a vertical direction and defining a process chamber for receiving a boat that holds a plurality of wafers; anda gas injector configured to supply a reaction gas into the process chamber, the gas injector comprising a gas distributor extending in the vertical direction in the reaction tube, the gas distributor having a plurality of ejection holes for spraying the reaction gas,wherein an inner diameter of the gas distributor is at least 10 mm, and a sectional area ratio of the total sectional area of the ejection holes to a sectional area of the gas distributor is about 0.3 or less.2. The wafer processing apparatus of claim 1 , wherein the inner diameter of the gas distributor is within a range of from about 10.5 mm to about 15.5 mm.3. The wafer processing apparatus of claim 1 , wherein the ratio of the height to an inner diameter of the reaction tube is about 2:1 or less.4. The wafer processing apparatus of claim 1 , wherein the diameter of the ejection hole is about 1 mm.5. The wafer processing apparatus of claim 1 , wherein the total number of the ejection holes is 20 to 40.6. The wafer processing apparatus of claim 1 , wherein the gas injector is configured to supply a silicon precursor gas through the ejection holes to perform an atomic deposition layer ...

Подробнее
10-02-2022 дата публикации

SYSTEM AND METHOD FOR ATOMIC LAYER DEPOSITION OF RARE-EARTH OXIDES ON OPTICAL GRADE MATERIALS FOR LASER GAIN MEDIA

Номер: US20220042172A1
Принадлежит:

A method is disclosed for doping a quantity of powder particles. A container having a central chamber is initially charged with a quantity of powder particles. A quantity of precursor is sublimed to form a heated precursor. A quantity of carrier gas is mixed with the precursor to form a mixture of heated precursor/carrier gas. The central chamber is charged with the heated precursor/carrier gas and then moved to cause interaction of the powder particles with the heated precursor/carrier gas to form a first monolayer coating on the powder particles. The heated precursor/carrier gas is then removed from the central chamber and the central chamber is charged with a O2/O3 gas under a plasma. The central chamber is then further moved to produce interaction of the O2/O3 gas with the first monolayer coating on the powder particles to modify the first monolayer coating to create a different, single monolayer coating forming an oxide coating on the powder particles. 1. A method of doping a quantity of powder particles , comprising:filling a container having a central chamber with a quantity of powder particles;heating a quantity of precursor to sublime the precursor;mixing a quantity of carrier gas with the heated precursor to form a mixture of heated precursor/carrier gas;charging the central chamber with the heated precursor/carrier gas and causing movement of the chamber to cause interaction of the powder particles with the heated precursor/carrier gas to form a first monolayer coating on each of the powder particles;removing the heated precursor/carrier gas from the central chamber and charging the central chamber with a O2/O3 gas under a plasma; andcausing further movement of the central chamber to produce interaction of the O2/O3 gas with the first monolayer coating on each powder particle to modify the first monolayer coating to create a different, single monolayer coating forming an oxide coating on each of the powder particles.2. The method of claim 1 , wherein the ...

Подробнее
24-01-2019 дата публикации

GAS PROCESSING APPARATUS

Номер: US20190024234A1
Принадлежит:

A gas processing apparatus includes: a mounting part; a gas supply part located above the mounting part and having a plurality of first gas supply holes; a gas supply path forming part configured to form a supply path of a processing gas, the gas supply path forming part including a flat opposing surface which faces the gas supply part from above and defines a first diffusion space for diffusing the processing gas in a lateral direction; a recess surrounding a central portion of the opposing surface; and a plurality of gas dispersion portions located in the recess surrounding the central portion of the opposing surface without protruding from the opposing surface, each of the plurality of gas dispersion portions having a plurality of gas discharge holes extending along a circumferential direction so as to laterally disperse the processing gas supplied from the supply path in the first diffusion space. 1. A gas processing apparatus for performing a process by supplying a processing gas to a substrate in a processing chamber kept in a vacuum atmosphere , comprising:a mounting part located in the processing chamber and configured to mount the substrate on the mounting part;a gas supply part located above the mounting part to constitute a ceiling portion of the processing chamber, the gas supply part having a plurality of first gas supply holes for supplying the processing gas in a shower shape;a gas supply path forming part configured to form a supply path of the processing gas, the gas supply path forming part including a flat opposing surface which faces the gas supply part from above and defines a first diffusion space for diffusing the processing gas in a lateral direction;a recess surrounding a central portion of the opposing surface; anda plurality of gas dispersion portions located in the recess surrounding the central portion of the opposing surface without protruding from the opposing surface, each of the plurality of gas dispersion portions having a plurality ...

Подробнее
28-01-2021 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20210023677A1
Автор: MIURA Yoshitaka
Принадлежит:

A substrate processing apparatus includes: a processing container; an injector provided inside the processing container and having a shape extending in a longitudinal direction along which a processing gas is supplied; a holder fixed to the injector; a first magnet fixed to the holder and disposed inside the processing container; a second magnet separated from the first magnet by a partition plate and disposed outside the processing container; and a driving part configured to rotate the second magnet, wherein the first magnet and the second magnet are magnetically coupled to each other, and wherein by rotating the second magnet by the driving part, the first magnet magnetically coupled to the second magnet is rotated, and the injector rotates about the longitudinal direction as an axis. 1. A substrate processing apparatus comprising:a processing container;an injector provided inside the processing container and having a shape extending in a longitudinal direction along which a processing gas is supplied;a holder fixed to the injector;a first magnet fixed to the holder and disposed inside the processing container;a second magnet separated from the first magnet by a partition plate and disposed outside the processing container; anda driving part configured to rotate the second magnet,wherein the first magnet and the second magnet are magnetically coupled to each other, andwherein by rotating the second magnet by the driving part, the first magnet magnetically coupled to the second magnet is rotated, and the injector rotates about the longitudinal direction as an axis.2. The substrate processing apparatus of claim 1 , further comprising a driving controller configured to control the driving part claim 1 ,wherein the driving controller performs a control to alternately perform rotating the second magnet in a first direction and rotating the second magnet in a second direction opposite the first direction.3. The substrate processing apparatus of claim 2 , wherein the ...

Подробнее
26-01-2017 дата публикации

MULTI-CHAMBER FURNACE FOR BATCH PROCESSING

Номер: US20170025291A1
Автор: Lin Su-Horng
Принадлежит:

A multi-chamber furnace for processing at least 100 substrates is provided. Reactor housings define a plurality of laterally spaced reactor chambers that are individually configured to accommodate up to about 50 substrates. Substrate holders correspond to the reactor chambers, and are configured to support and vertically stack substrates arranged in the corresponding reactor chambers. Heaters correspond to the reactor chambers and are configured to heat the corresponding reactor chambers. A method for batch processing substrates using the multi-chamber furnace is also provided. 1. A multi-chamber furnace comprising:reactor housings defining a plurality of laterally spaced reactor chambers that are individually configured to accommodate a number of substrates that is about equal to an integer multiple of a number of substrates held by a pod configured to transport substrates;substrate holders corresponding to the reactor chambers, and configured to support and stack substrates arranged in the corresponding reactor chambers; andheaters corresponding to the reactor chambers and configured to heat the corresponding reactor chambers.2. The multi-chamber furnace according to claim 1 , wherein the reactor chambers are collectively configured to concurrently carry out a semiconductor manufacturing process on a batch of about 100 or more substrates.3. The multi-chamber furnace according to claim 1 , wherein the reactor chambers are configured to carry out different semiconductor manufacturing processes on substrates.4. The multi-chamber furnace according to claim 1 , wherein the reactor chambers individually accommodate between about 25 substrates and about 50 substrates.5. The multi-chamber furnace according to claim 1 , further comprising:a process housing defining a process area within which the reactor housings are arranged; anda loading housing defining a loading area under the process area.6. The multi-chamber furnace according to claim 5 , further comprising:a linear ...

Подробнее
28-01-2016 дата публикации

SINGLE PLATFORM, MULTIPLE CYCLE SPACER DEPOSITION AND ETCH

Номер: US20160027655A1
Принадлежит:

A first portion of a multiple cycle spacer is formed on a sidewall of a patterned feature over a substrate. A spacer layer is deposited on the first portion using a first plasma process. The spacer layer is etched to form a second portion of the multiple cycle spacer on the first portion using a second plasma process. A cycle comprising depositing and etching of the spacer layer is continuously repeated until the multiple cycle spacer is formed. 1. A method to manufacture an electronic device comprising:forming a first portion of a multiple cycle spacer on a sidewall of a patterned feature over a substrate;depositing a spacer layer on the first portion using a first plasma process; andetching the spacer layer to form a second portion of the multiple cycle spacer on the first portion using a second plasma process, wherein a cycle comprising the depositing and etching of the spacer layer is continuously repeated until the multiple cycle spacer is formed.2. The method of claim 1 , wherein the patterned feature comprises a hard mask claim 1 , a gate stack claim 1 , or both claim 1 , and the spacer layer is a nitride layer.3. The method of claim 1 , wherein the depositing and etching are performed using a single plasma chamber.4. The method of claim 1 , wherein the depositing and etching are performed using a single vacuum system.5. The method of claim 1 , wherein the method further comprisesremoving the patterned feature from the multiple cycle spacer on a device layer on the substrate;etching the device layer using the multiple cycle spacer as a mask; andremoving the multiple cycle spacer.6. The method of claim 1 , wherein the thickness of the spacer layer is from 5 nanometers (nm) to 10 nm.7. The method of claim 1 , wherein the depositing is performed using a sub-atmospheric chemical vapor deposition (SACVD) technique claim 1 , a low pressure chemical vapor deposition (LPCVD) technique claim 1 , a plasma enhanced chemical vapor deposition (PECVD) technique claim 1 , a ...

Подробнее
28-01-2016 дата публикации

Carousel Gas Distribution Assembly With Optical Measurements

Номер: US20160027674A1
Принадлежит:

Apparatus and methods for processing a semiconductor wafer in which an optical sensor positioned in the gas distribution assembly measures temperature and/or a film parameter during deposition. 1. A processing chamber comprising:a susceptor assembly including a top surface to support and rotate a plurality of substrates around a central axis, the top surface having an inner peripheral edge and an outer peripheral edge; anda gas distribution assembly above the susceptor assembly, the gas distribution assembly comprising a plurality of elongate gas ports to direct flows of gases toward the susceptor assembly and at least one optical sensor directed toward the susceptor assembly.2. The processing chamber of claim 1 , wherein the at least one optical sensor is positioned within one of the gas ports.3. The processing chamber of claim 1 , wherein the plurality of elongate gas ports include a first reactive gas port claim 1 , a second reactive gas port claim 1 , a purge gas port and at least one vacuum port.4. The processing chamber of claim 3 , wherein the at least one optical sensor is positioned within a purge gas port.5. The processing chamber of claim 1 , wherein the gas distribution assembly further comprises at least one hole located in a region of the gas distribution assembly not exposed to a reactive gas and the at least one optical sensor is positioned within the hole.6. The processing chamber of claim 1 , wherein the at least one optical sensor is selected from the group consisting of pyrometers claim 1 , interferometers and combinations thereof.7. The processing chamber of claim 1 , wherein the at least one optical sensor comprises a pyrometer and is positioned to measure the temperature of the susceptor assembly during processing.8. The processing chamber of claim 7 , wherein there are at least two optical sensors to measure temperature claim 7 , at least one optical sensor positioned to measure temperature near the inner peripheral edge of the susceptor ...

Подробнее
28-01-2016 дата публикации

Position And Temperature Monitoring Of ALD Platen Susceptor

Номер: US20160027675A1
Принадлежит:

Apparatus and methods of measuring and controlling the gap between a susceptor assembly and a gas distribution assembly are described. Apparatus and methods for positional control and temperature control for wafer transfer purposes are also described. 1. A deposition apparatus comprising:a gas distribution assembly having a front surface and an edge;a susceptor assembly spaced from the gas distribution assembly to rotate a plurality of substrates around a central axis, the susceptor assembly having a top surface with a plurality of recesses to hold a plurality of substrates, a bottom surface and an edge, wherein the top surface of the susceptor assembly and the front surface of the gas distribution assembly defining a gap;a camera having a field of view including the edge of the susceptor assembly; anda controller connected to the camera to determine the gap between the top surface of the susceptor assembly and the front surface of the gas distribution assembly.2. The apparatus of claim 1 , wherein there are at least three cameras spaced about an interior region of the deposition apparatus claim 1 , each camera having a field of view including the edge of the susceptor assembly claim 1 , each camera connected to the controller.3. The apparatus of claim 2 , wherein the controller determines the gap between the top surface of the susceptor assembly and one or more of the front surface of the gas distribution assembly or a reference plane at each camera position while the susceptor assembly remains stationary.4. The apparatus of claim 1 , wherein the field of view of the camera further includes the edge of the gas distribution assembly and the gap.5. The apparatus of claim 4 , wherein there are at least three cameras spaced about an interior region of the deposition apparatus claim 4 , each camera having a field of view including the edge of the susceptor assembly claim 4 , the edge of the gas distribution plate and the gap claim 4 , each camera connected to the ...

Подробнее
23-01-2020 дата публикации

Particle Coating Methods and Apparatus

Номер: US20200024736A1
Принадлежит:

A reactor for coating particles includes a vacuum chamber configured to hold particles to be coated, a vacuum port to exhaust gas from the vacuum chamber via the outlet of the vacuum chamber, a chemical delivery system configured to flow a process gas into the particles via a gas inlet on the vacuum chamber, one or more vibrational actuators located on a first mounting surface of the vacuum chamber, and a controller configured to cause the one or more vibrational actuators to generate a vibrational motion in the vacuum chamber sufficient to induce a vibrational motion in the particles held within the vacuum chamber. 1. A method for coating particles , comprising:dispensing particles into a processing volume of a vacuum chamber, wherein the processing volume is defined by one or more sidewalls of the vacuum chamber, a first filter, and a second filter;evacuating the processing volume through a vacuum port on the vacuum chamber;agitating a plurality of particles disposed in the processing volume of the vacuum chamber by vibrating the vacuum chamber at a frequency between 30 Hz and 300 Hz;flowing a first precursor into the processing volume through a gas inlet on the vacuum chamber and through the second filter and reacting the particle surfaces of the plurality of particles with the first precursor as the particles are agitated to form a first layer; andflowing a second precursor into the processing volume via the gas inlet and reacting the first layer with the second precursor as the particles are agitated to form a thin film.2. The method of claim 1 , wherein dispensing particles into the processing volume of the vacuum chamber further comprises placing a porous processing vessel enclosing the particles into the processing volume.3. The method of claim 2 , wherein the porous processing vessel comprises the first filter and the second filter.4. The method of claim 1 , wherein the plurality of particles have a dimension less than about 300 micron.5. The method of ...

Подробнее
29-01-2015 дата публикации

FILM FORMING PROCESS AND FILM FORMING APPARATUS

Номер: US20150031218A1
Автор: KARAKAWA Takayuki
Принадлежит: TOKYO ELECTRON LIMITED

In a film forming apparatus (), plasma-assisted ALD sequences are carried out to form a nitride film on a substrate (W) through the nitration of the silicon (Si) resulting from dichlorosilane (DCS), and then the first to fourth gas-feeding processes and plasma-feeding processes are successively carried out as plasma-assisted post-treatment. The gas to be fed in the first to fourth gas-feeding processes in the plasma-assisted post-treatment is a modifier gas consisting of either a gas selected from among N, NH, Ar and Hor a mixed gas obtained by suitably mixing some of these gases. After the completion of the plasma-assisted ALD sequences, a plasma formed from the modifier gas is fed onto the nitride film on the substrate (W) to improve the film quality of the nitride film. 1. A film forming process of atomic layer deposition (ALD) that forms a film by causing a first gas to be adsorbed on a substrate , and to be reacted with active species of a second gas using a film forming apparatus , the film forming process comprising:a step in which the substrate is placed;an adsorption step in which a precursor gas is chemically adsorbed on a surface of the substrate to form an adsorption layer;a first reaction step in which a first active species is generated by generating plasma of a reaction gas, and the adsorption layer is reacted with the first active species to form a film; anda second reaction step in which a second active species is generated by generating plasma of a modifier gas, and the film is modified by the second active species.2. The film forming process of claim 1 , wherein the modifier gas in the second reaction step is at least one of a nitrogen-containing gas and a rare gas.3. The film forming process of claim 1 , wherein the film forming apparatus includes a processing container claim 1 , and the processing container includes:a first region configured to supply the precursor gas,a second region configured to supply the reaction gas, anda mounting table ...

Подробнее
28-01-2021 дата публикации

INTEGRATED ELECTROHYDRODYNAMIC JET PRINTING AND SPATIAL ATOMIC LAYER DEPOSITION SYSTEM FOR AREA SELECTIVE-ATOMIC LAYER DEPOSITION

Номер: US20210028006A1
Принадлежит:

An integrated electrohydrodynamic jet printing and spatial atomic layer deposition system for conducting nanofabrication includes an electrohydrodynamic jet printing station that includes an E-jet printing nozzle, a spatial atomic layer deposition station that includes a zoned ALD precursor gas distributor that discharges linear zone-separated first and second ALD precursor gases, a heatable substrate plate supported on a motion actuator controllable to move the substrate plate in three dimensions, and a conveyor on which the motion actuator is supported. The conveyor is operative to move the motion actuator between the electrohydrodynamic jet printing station and the spatial atomic layer deposition station so that the substrate plate is conveyable between a printing window of the E-jet printing nozzle and a deposition window of the zoned ALD precursor gas distributor, respectively. A method of conducting area-selective atomic layer deposition is also disclosed. 1. An integrated electrohydrodynamic jet printing and spatial atomic layer deposition system for conducting nanofabrication , the system comprising:an electrohydrodynamic jet printing station that includes an E-jet printing nozzle;a spatial atomic layer deposition station that includes a zoned ALD precursor gas distributor that discharges linear zone-separated first and second ALD precursor gases;a heatable substrate plate supported on a motion actuator controllable to move the substrate plate in three dimensions; anda conveyor on which the motion actuator is supported, the conveyor being operative to move the motion actuator between the electrohydrodynamic jet printing station and the spatial atomic layer deposition station so that the substrate plate is conveyable between a printing window of the E-jet printing nozzle and a deposition window of the zoned ALD precursor gas distributor, respectively.2. The integrated electrohydrodynamic jet printing and spatial atomic layer deposition system set forth in ...

Подробнее
02-02-2017 дата публикации

METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL

Номер: US20170029946A1
Принадлежит: ENTEGRIS, INC.

Vaporizable material is supported within a vessel to promote contact of an introduced gas with the vaporizable material, and produce a product gas including vaporized material. A heating element supplies heat to a wall of the vessel to heat vaporizable material disposed therein. The vessel may comprise an ampoule having a removable top. Multiple containers defining multiple material support surfaces may be stacked disposed within a vessel in thermal communication with the vessel. A tube may be disposed within the vessel and coupled to a gas inlet. Filters, flow meters, and level sensors may be further provided. Product gas resulting from contact of introduced gas with vaporized material may be delivered to atomic layer deposition (ALD) or similar process equipment. At least a portion of source material including a solid may be dissolved in a solvent, followed by removal of solvent to yield source material (e.g., a metal complex) disposed within the vaporizer. 1. A vapor delivery vessel for vaporizing and delivering vaporized source material , the vessel comprising:a peripheral vessel wall bounding an interior volume;a gas inlet and a gas outlet arranged in at least intermittent fluid communication with the interior volume, the gas inlet being adapted to supply a first gas to the interior volume; anda porous steel material provided in a flow path extending between the gas inlet and the gas outlet.2. (canceled)3. The vapor delivery vessel of claim 1 , comprising at least one support surface disposed within the interior volume and adapted to support solid vaporizable source material in the flow path.4. The vapor delivery vessel of claim 3 , wherein the support surface is adapted to support solid vaporizable material comprises any of powder claim 3 , agglomerated particles claim 3 , and crystalline form.58.-. (canceled)9. The vapor delivery vessel of claim 3 , further comprising at least one internal gas carrying member disposed within the interior volume and ...

Подробнее
02-02-2017 дата публикации

APPARATUSES FOR THIN FILM DEPOSITION

Номер: US20170029947A1
Принадлежит:

In accordance with some embodiments herein, apparatuses for deposition of thin films are provided. In some embodiments, a plurality of stations is provided, in which each station provides a different reactant or combination of reactants. The stations can be in gas isolation from each other so as to minimize or prevent undesired chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) reactions between the different reactants or combinations of reactants. 1. An atomic layer deposition (ALD) reactor comprising:a first station and a second station,wherein the first station is configured to contain a first substrate and to contact the first substrate with a first reactant in gas isolation from the second station, such that no more than one monolayer of the first reactant is adsorbed on the first substrate,wherein the second station is configured to contain the first substrate and to contact the first substrate with a second reactant in gas isolation from the first station and substantially in the absence of the first reactant, wherein the second reactant is different from the first reactant and reacts with the no more than one monolayer of the first reactant on the first substrate to form a desired material; anda transfer system configured to place the first substrate in the second station after contacting the first substrate with the first reactant, and configured to place the first substrate in the first station after contact the first substrate with the second reactant, wherein the transfer system comprises a transfer member for transferring the first substrate from the first station to the second station and vice versa;an intermediate space, outside of the first station and the second station, configured to accommodate the transfer system; and moving the substrate via the transfer member to the first station, moving the transfer member to the intermediate space, directing the first station to contact the first substrate with the first reactant, moving ...

Подробнее
02-02-2017 дата публикации

METHODS AND APPARATUSES FOR TEMPERATURE-INDEXED THIN FILM DEPOSITION

Номер: US20170029948A1
Принадлежит:

In accordance with some embodiments herein, methods and apparatuses for deposition of thin films are provided. In some embodiments, a plurality of stations is provided, in which each station provides a different reactant or combination of reactants. The stations can be in gas isolation from each other, and the substrate can be contacted with different reactants at different temperatures so as to minimize or prevent undesired gas phase reactions, chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) reactions between the different reactants or combinations of reactants. 1. A method for thin film deposition , the method comprising:(a) placing a first substrate in a first station that is capable of being in gas isolation from a second station;(b) contacting the first substrate in the first station with a first reactant at a first temperature and substantially in the absence of a second reactant and while the first station is in gas isolation from a second station, wherein said contacting with the first reactant forms a layer of the first reactant on the first substrate;(c) after contacting the first substrate in the first station with the first reactant, placing the first substrate in a second station;(d) contacting the first substrate in the second station with a second reactant at a second temperature and substantially in the absence of the first reactant and while the second station is in gas isolation from the first station, wherein the second reactant is different from the first reactant and reacts with the layer of the first reactant on the first substrate,wherein the second temperature is different from the first temperature; andrepeating (a)-(d) until a film of desired thickness is deposited on the first substrate.2. The method of claim 1 , wherein the first station is maintained at the first temperature while the second station is maintained at the second temperature during (d).3. The method of claim 1 , wherein no reactant other than the first ...

Подробнее
04-02-2016 дата публикации

SYSTEMS AND METHODS FOR VAPOR DELIVERY

Номер: US20160032453A1
Принадлежит:

A vapor delivery system includes an ampoule to store liquid precursor and a heater to partially vaporize the liquid precursor. A first valve communicates with a push gas source and the ampoule. A second valve supplies vaporized precursor to a heated injection manifold. A valve manifold includes a first node in fluid communication with an outlet of the heated injection manifold, a third valve having an inlet in fluid communication with the first node and an outlet in fluid communication with vacuum, a fourth valve having an inlet in fluid communication with the first node and an outlet in fluid communication with a second node, a fifth valve having an outlet in fluid communication with the second node, and a sixth valve having an outlet in fluid communication with the second node. A gas distribution device is in fluid communication with the second node. 1. A vapor delivery system for a substrate processing system , comprising:an ampoule to store liquid precursor;a heater to selectively heat the ampoule to a predetermined temperature to at least partially vaporize the liquid precursor;a heated injection manifold including an inlet and an outlet;a first valve having an inlet in fluid communication with a push gas source and an outlet in fluid communication with the ampoule;a second valve having an inlet to receive vaporized precursor from the ampoule and an outlet in fluid communication with the inlet of the heated injection manifold; a first node in fluid communication with an outlet of the heated injection manifold;', 'a third valve having an inlet in fluid communication with the first node and an outlet in fluid communication with vacuum;', 'a fourth valve having an inlet in fluid communication with the first node and an outlet in fluid communication with a second node;', 'a fifth valve having an outlet in fluid communication with the second node;', 'a sixth valve having an outlet in fluid communication with the second node; and, 'a valve manifold comprisinga gas ...

Подробнее
17-02-2022 дата публикации

GAS DISTRIBUTION ASSEMBLY FOR IMPROVED PUMP-PURGE AND PRECURSOR DELIVERY

Номер: US20220049354A1
Принадлежит: Applied Materials, Inc.

Gas injector inserts having a wedge-shaped housing, at least one first slot and at least one second slot are described. The housing has a first opening in the back face that is in fluid communication with the first slot in the front face and a second opening in the back face that is in fluid communication with the second slot in the front face. Each of the first slot and the second slot has an elongate axis that extends from the inner peripheral end to the outer peripheral end of the housing. The gas injector insert is configured to provide a flow of gas through the first slots at supersonic velocity. Gas distribution assemblies and processing chambers including the gas injector inserts are described. 1. A gas injector comprising:a top plate having a top face and bottom face defining a thickness of the top plate, an inner peripheral end and an outer peripheral end defining a length and elongate axis, and a first side and a second side defining a width, the width increasing from the inner peripheral end to the outer peripheral end, at least one first opening in the top face is in fluid communication with a plurality of passages extending through the top plate, and at least one second opening in the top face in fluid communication with at least one channel formed in the bottom face of the top plate;an intermediate plate having a top face and a bottom face defining a thickness of the intermediate plate, the top face positioned in contact with the bottom face of the top plate, a plurality of first passages extend through the thickness of the intermediate plate and are aligned with the plurality of passages in the top plate, and a plurality of second passages extend through the thickness of the intermediate plate and are aligned with the at least one channel formed in the bottom face of the top plate; anda bottom plate having a top face and a bottom face defining a thickness of the bottom plate, the top face of the bottom plate in contact with the bottom face of the ...

Подробнее
31-01-2019 дата публикации

Modular Tray Ampoule

Номер: US20190032206A1
Принадлежит:

The invention is directed to a configurable vaporizer or ampoule assembly that uses a configurable vessel body, assembled from one or more support tray modules with their own individual heating assemblies or heater members, bounded by a base member and a lid member to form the whole ampoule. This eliminates the need for the prior art ampoule body that normally holds the support trays and was used to heat each of the support trays from the exterior surface using heating jackets or the like. 1. A tray module assembly for use in a vapor delivery vessel assembly for vaporizing and delivering vaporized source material , the tray module assembly comprising:a support tray bounded by an upwardly extending sidewall, the tray sidewall having an interior sidewall surface and an exterior sidewall surface; anda heating assembly disposed in between the interior sidewall surface and the exterior sidewall surface of the support tray sidewall, the heat assembly including a connecting member protruding from the exterior sidewall surface of the support tray.2. The tray module assembly of claim 1 , wherein the heating assembly includes a heater member intermittently disposed about the entire periphery of the tray module.3. The tray module assembly of claim 1 , wherein the heating assembly includes a heater member continuously disposed about the entire periphery of the tray module.4. The tray module assembly of claim 1 , wherein the heating assembly is comprised of a resistive heating element and system.5. The tray module assembly of claim 1 , wherein the heating assembly includes a conduit for circulating heated water or steam through the connecting member.6. The tray module assembly of claim 1 , wherein the support tray sidewall includes a groove or channel on an upper end of the support tray sidewall adapted to receive an O-ring member therein claim 1 , the O-ring and channel combination adapted to mate and form an airtight seal with a concentrically disposed adjacent support tray.7. ...

Подробнее
31-01-2019 дата публикации

CHEMICAL TREATMENT, DEPOSITION AND/OR INFILTRATION APPARATUS AND METHOD FOR USING THE SAME

Номер: US20190032209A1
Автор: Huggare Robert
Принадлежит:

The disclosure relates to a chemical deposition, treatment and/or infiltration apparatus for providing a chemical reaction on and/or in a surface of a substrate. The apparatus may have a top and a bottom reaction chamber part forming together a closable reaction chamber and an actuator constructed and arranged for moving the top and bottom reaction chamber parts with respect to each other from a closed position to an open position so as to allow access to an interior of the reaction chamber. A top substrate holder is connected to the top reaction chamber part to hold a substrate at least when the reaction chamber is in the open position and a bottom substrate holder is connected to the bottom reaction chamber part to hold the substrate when the reaction chamber is in the closed position. 1. A chemical deposition , treatment and/or infiltration apparatus for providing a chemical reaction on or in a surface of a substrate , wherein the apparatus comprises:a top and a bottom reaction chamber part forming together a closable reaction chamber;an actuator constructed and arranged for moving the top and bottom reaction chamber parts with respect to each other in at least a first direction from an open position to a closed position to form a closed reaction chamber;a top substrate holder connected to the top reaction chamber part to hold a substrate at least when the reaction chamber is in the open position; anda bottom substrate holder connected to the bottom reaction chamber part to hold the substrate when the reaction chamber is in the closed position.2. The apparatus according to claim 1 , wherein the apparatus comprises:a substrate handler constructed and arranged for moving the substrate in a second direction substantially perpendicular to the first direction to a position above the top substrate holder when the reaction chamber is in the open position.3. The apparatus according to claim 2 , wherein the substrate handler is constructed and arranged for moving the ...

Подробнее
31-01-2019 дата публикации

Quick disconnect resistance temperature detector assembly for rotating pedestal

Номер: US20190032210A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure are directed to a quick disconnect resistance temperature detector (RTD) heater assembly, that includes a first assembly comprising a pedestal, a pedestal shaft, an adapter, one or more heater power supply terminals, and at least one RTD, and a second assembly comprising a rotating module having a central opening, and a cable assembly partially disposed in the central opening and securely fastened to the rotating module, wherein the first assembly is removably coupled to the second assembly, wherein the cable assembly includes one or more power supply sockets that receive the heater power supply terminals when the first and second assemblies are coupled together, and wherein the cable assembly includes one or more spring loaded RTD pins that contact the at least one RTD disposed in the first assembly when the first and second assemblies are coupled together.

Подробнее
31-01-2019 дата публикации

MONOLITHIC CERAMIC GAS DISTRIBUTION PLATE

Номер: US20190032211A1
Принадлежит: LAM RESEARCH CORPORATION

A monolithic ceramic gas distribution plate for use in a process chamber wherein semiconductor substrates can be processed includes a monolithic ceramic body having an upper surface, a lower surface, and an outer cylindrical surface extending between the upper surface and the lower surface. The lower surface includes first gas outlets at uniformly spaced apart first locations and the first gas outlets are in fluid communication with first gas inlets in the upper surface by a first set of vertically extending through holes connecting the first gas inlets with the first gas outlets. The lower surface also includes second gas outlets at uniformly spaced second locations adjacent the first locations and the second gas outlets are in fluid communication with an inner plenum in the monolithic ceramic body by a second set of vertically extending through holes connecting the second gas outlets with the inner plenum. The inner plenum is in in fluid communication with a second gas inlet located in a central portion of the upper surface and the inner plenum is defined by an inner upper wall, an inner lower wall, an inner outer wall, and a set of pillars extending between the inner upper wall and the inner lower wall. Each through hole of the first set of vertically extending through holes passes through a respective one of the pillars to isolate the first and second gases. 1. A monolithic ceramic gas distribution plate for use in a chemical deposition apparatus wherein semiconductor substrates can be processed , the gas distribution plate comprising:a monolithic ceramic body having an upper surface, a lower surface, and an outer cylindrical surface extending between the upper surface and the lower surface;first gas outlets in the lower surface at uniformly spaced apart first locations, the first gas outlets in fluid communication with first gas inlets in the upper surface by a first set of vertically extending through holes connecting the first gas inlets with the first gas ...

Подробнее
31-01-2019 дата публикации

An apparatus for atomic layer deposition

Номер: US20190032212A1
Принадлежит: BENEQ OY

The invention relates to an apparatus for subjecting a surface of a substrate to surface reactions of at least a first precursor and a second precursor according to the principles of atomic layer deposition. The apparatus comprises a reaction chamber (1) forming a reaction space (2) for receiving precursor gases reacting on the surface of the substrate. The apparatus further comprises a substrate support (3) for holding the substrate; a dielectric plate (4); and an electrode (7) coupled to a voltage source (8) to induce voltage to the electrode (7) for generating electric discharge to the reaction space (2). The dielectric plate (4) is arranged between the substrate support (3) and the electrode (7) and such that the reaction space (2) is arranged between the substrate support (3) and the dielectric plate (4).

Подробнее
31-01-2019 дата публикации

SUBSTRATE PROCESSING APPARATUS CAPABLE OF ADJUSTING FLOW RATE OF INERT GAS SUPPLIED TO SUBSTRATE

Номер: US20190032213A1
Автор: YAHATA Takashi
Принадлежит: KOKUSAI ELECTRIC CORPORATION

A technique that improves a quality of substrate processing. A substrate processing apparatus includes: a plurality of processing chambers and transfer chambers; a vacuum transfer chamber; a plurality of gate valves; a plurality of first gas supply units to supply an inert gas to a substrate; a transfer robot; and a control unit to control the plurality of first gas supply units and the transfer robot to: supply the inert gas to the substrate at a first flow rate when a distance between a gas supply port and the substrate passing through the plurality of gate valves is a first distance; and supply the inert gas to the substrate at a second flow rate greater than the first flow rate when the distance between the gas supply port and the substrate is a second distance greater than the first distance when the substrate passes through the plurality of gate valves. 1. A substrate processing apparatus comprising:a plurality of processing chambers where a substrate is processed;a vacuum transfer chamber wherein the substrate is transferred;a plurality of transfer chambers disposed between the vacuum transfer chamber and the plurality of processing chambers, the plurality of transfer chambers respectively corresponding to the plurality of processing chambers;a plurality of gate valves disposed between the vacuum transfer chamber and plurality of transfer chambers;a plurality of first gas supply units disposed at the vacuum transfer chamber and configured to supply an inert gas to the substrate when the substrate passes through the plurality of gate valves;a transfer robot disposed in the vacuum transfer chamber and configured to transfer the substrate into the plurality of transfer chambers; anda first gas guide installed between two adjacent ones of the plurality of first gas supply units, wherein the first gas guide protrudes toward the transfer robot farther than the plurality of first gas supply units.2. The substrate processing apparatus of claim 1 , further comprising ...

Подробнее
04-02-2016 дата публикации

METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS

Номер: US20160035542A1
Принадлежит:

A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus includes forming a layer of an organic polymeric film on plasma or process gas exposed surfaces thereof. The method includes: (a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber; (b) purging the vacuum chamber after a flow of the first reactant has ceased; (c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and (d) purging the vacuum chamber to purge excess second reactant and reaction byproducts from the vacuum chamber. 1. A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus in which semiconductor substrates are processed by forming an organic polymeric film on plasma or process gas exposed surfaces of the vacuum chamber , the method comprising:(a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber and allowing the first reactant to adsorb onto plasma or process gas exposed surfaces of the vacuum chamber;(b) purging the vacuum chamber with a purge gas after a flow of the first reactant has ceased to purge excess first reactant from the vacuum chamber;(c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound wherein the first and second reactants react to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and(d) purging the vacuum chamber with the purge gas after a flow of the second reactant has ceased to purge excess second reactant and reaction byproducts from the vacuum chamber.2. The method of claim 1 , wherein the first reactant of diacyl chloride is selected from the group ...

Подробнее
04-02-2016 дата публикации

METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM

Номер: US20160035566A1
Принадлежит:

Disclosed are methods of depositing films of material on semiconductor substrates employing the use of a secondary purge. The methods may include flowing a film precursor into a processing chamber and adsorbing the film precursor onto a substrate in the processing chamber such that the precursor forms an adsorption-limited layer on the substrate. The methods may further include removing at least some unadsorbed film precursor from the volume surrounding the adsorbed precursor by purging the processing chamber with a primary purge gas, and thereafter reacting adsorbed film precursor while a secondary purge gas is flowed into the processing chamber, resulting in the formation of a film layer on the substrate. The secondary purge gas may include a chemical species having an ionization energy and/or a disassociation energy equal to or greater than that of O. Also disclosed are apparatuses which implement the foregoing processes. 2. The method of claim 1 , wherein the secondary purge gas is O.3. The method of claim 1 , wherein the primary purge gas is an inert gas.4. The method of claim 3 , wherein the primary purge gas is Ar and/or N.5. The method of claim 1 , wherein the primary purge gas is not flowed to the processing chamber during (a)-(b) or (d).6. The method of claim 5 , wherein substantially all primary purge gas is removed from the processing chamber prior to (d).7. The method of claim 1 , wherein the secondary purge gas is continuously flowed to the processing chamber during (a)-(d).8. The method of claim 1 , wherein a flow of carrier gas is used for flowing the film precursor into the processing chamber in (a).9. The method of claim 8 , wherein the carrier gas is an inert gas.10. The method of claim 9 , wherein the carrier gas is Nand/or Ar.11. The method of claim 1 , further comprising:(e) removing desorbed film precursor and/or reaction by-product from the volume surrounding the film layer when present after reacting the adsorbed precursor by purging the ...

Подробнее
01-02-2018 дата публикации

INTEGRATING ATOMIC SCALE PROCESSES: ALD (ATOMIC LAYER DEPOSITION) AND ALE (ATOMIC LAYER ETCH)

Номер: US20180033635A1
Принадлежит:

Methods are provided for integrating atomic layer etch and atomic layer deposition by performing both processes in the same chamber or reactor. Methods involve sequentially alternating between atomic layer etch and atomic layer deposition processes to prevent feature degradation during etch, improve selectivity, and encapsulate sensitive layers of a semiconductor substrate. 1. A method of processing a substrate , the method comprising:etching a first material on the substrate by atomic layer etch in a chamber; anddepositing a second material onto the substrate by atomic layer deposition,wherein the etching and the depositing are performed without breaking vacuum, andwherein the first material comprises a semiconductor material.2. The method of claim 1 , wherein the first material is selected from the group consisting of silicon and germanium.3. The method of claim 1 , wherein the second material is selected from the group consisting of oxides claim 1 , nitrides claim 1 , silicon oxynitride claim 1 , metals claim 1 , and metal-containing films.4. The method of claim 1 , wherein the etching is performed in cycles claim 1 , a cycle comprisingexposing the substrate to an etching gas to modify the first material on the surface of the substrate; andexposing the substrate to a removal gas to remove at least some of the modified the first material on the surface.5. The method of claim 4 , wherein the etching gas is a halide.6. The method of claim 4 , wherein the removal gas is selected from the group consisting of nitrogen claim 4 , argon claim 4 , helium claim 4 , neon claim 4 , and oxygen.7. The method of claim 4 , wherein the removal gas is an inert gas.8. The method of claim 4 , wherein a plasma is ignited during the exposing of the substrate to the etching gas to modify the surface of the substrate.9. The method of claim 7 , wherein the plasma is ignited in the chamber.10. The method of claim 7 , wherein the plasma is ignited remotely.11. The method of claim 4 , the ...

Подробнее
30-01-2020 дата публикации

METHOD FOR DEPOSITING A SILICON NITRIDE FILM AND FILM DEPOSITION APPARATUS

Номер: US20200032390A1
Автор: KATO Hitoshi
Принадлежит:

A method for depositing a silicon nitride film is provided. A silicon nitride film is deposited in a depression formed in a surface of a substrate from a bottom surface and a lateral surface by ALD toward a center of the depression in a lateral direction so as to narrow a space at the center of the depression. First nitrogen radicals are adsorbed into the depression immediately before a stage of filling the space at the center with the silicon nitride film deposited toward the center of the depression. A silicon-containing gas is adsorbed on the first nitrogen radical in the depression by physical adsorption. Second nitrogen radicals are supplied into the depression so as to release the silicon-containing gas from the first nitrogen radical and to cause the released silicon-containing gas to react with the second nitrogen radical, thereby depositing a silicon nitride film to fill the central space. 1. A method for depositing a silicon nitride film , comprising steps of:depositing a silicon nitride film in a depression formed in a surface of a substrate from a bottom surface and a lateral surface by ALD toward a center of the depression in a lateral direction so as to narrow a space at the center of the depression;adsorbing a first nitrogen radical into the depression immediately before a stage of filling the space at the center with the silicon nitride film deposited toward the center of the depression;adsorbing a silicon-containing gas on the first nitrogen radical in the depression by physical adsorption; andsupplying a second nitrogen radical into the depression so as to release the silicon-containing gas from the first nitrogen radical and to cause the released silicon-containing gas to react with the second nitrogen radical, thereby depositing a silicon nitride film to fill the space at the center.2. The method for depositing a silicon nitride film according to claim 1 , wherein the step of depositing the silicon nitride film to fill the space is performed by ...

Подробнее
30-01-2020 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20200032395A1
Принадлежит: Tokyo Electron Ltd

A plasma processing method executed by a plasma processing apparatus in the present disclosure includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film on the side walls of an opening in the processing target, the first film having different thicknesses along a spacing between pairs of side walls facing each other. In the second step, the plasma processing apparatus forms a second film by performing a film forming cycle once or more times after the first step, the second film having different thicknesses along the spacing between the pairs of side walls facing each other.

Подробнее
30-01-2020 дата публикации

Temporal Atomic Layer Deposition Process Chamber

Номер: US20200032396A1
Принадлежит:

A dual channel showerhead comprising a first plurality of channels formed in the back surface of the showerhead and extending from a first end to a second end, a second plurality of channels formed through the thickness of the showerhead and extending from a first end to a second end, a first end plenum in fluid connection with the second plurality of channels at the first end and a second end plenum in fluid connection with the second plurality of channels at the second end. Processing chambers including the dual channel showerhead and a blocker ring separating the edge ring from the pumping ring are also discussed. 1. A dual channel showerhead comprising:a back surface and a front surface defining a thickness of the showerhead;a first plurality of channels formed in the back surface of the showerhead, the first plurality of channels extending from a first end to a second end;a second plurality of channels formed through the thickness of the showerhead, the second plurality of channels extending from a first end to a second end;a first end plenum formed in the back surface and forming a fluid connection with the second plurality of channels at the first end; anda second end plenum formed in the back surface and forming a fluid connection with the second plurality of channels at the second end.2. The dual channel showerhead of claim 1 , wherein the first plurality of channels have a square cross-section.3. The dual channel showerhead of claim 1 , wherein the first plurality of channels has a width that increases from the first end to the second end.4. The dual channel showerhead of claim 1 , wherein spacing between each of the plurality of channels is about the same.5. The dual channel showerhead of claim 1 , wherein spacing between each of the first plurality of channels is different at an outer channel than at an inner channel.6. The dual channel showerhead of claim 1 , wherein each of the first plurality of channels comprises a plurality of holes extending to the ...

Подробнее
04-02-2021 дата публикации

DEPOSITION APPARATUS AND METHOD OF FORMING METAL OXIDE LAYER USING THE SAME

Номер: US20210032750A1

Provided is a deposition apparatus including a process chamber, a wafer platen and a shower head. The wafer platen is disposed in the process chamber. The shower head is located over the wafer platen and includes a shower plate and a hydrophobic film. The shower head has a plurality of dispensing holes for a reaction gas to pass through. The hydrophobic film is coated on a surface of the shower plate and surfaces of the plurality of dispensing holes. A method of forming a metal oxide layer using the deposition apparatus is further provided. 1. A deposition apparatus , comprising:a process chamber;a wafer platen disposed in the process chamber; and a shower plate having a plurality of dispensing holes for a reaction gas to pass through; and', 'a hydrophobic film coated on a surface of the shower plate and surfaces of the plurality of dispensing holes., 'a shower head located over the wafer platen and comprising2. The deposition apparatus of claim 1 , wherein the hydrophobic film has a surface energy less than 70 mN/m.3. The deposition apparatus of claim 1 , wherein the shower head is heated at 80° C. to 400° C.4. The deposition apparatus of claim 1 , wherein the hydrophobic film comprises polytetrafluoroethylene (PTFE) claim 1 , silicone claim 1 , poly(vinylidene fluoride) claim 1 , polyethylene (PE) claim 1 , polypropylene (PP) claim 1 , polystyrene claim 1 , poly(vinyl chloride) (PVC) claim 1 , nylon claim 1 , poly(ethylene terephthalate) (PET) claim 1 , hydrophobic SiO claim 1 , hydrophobic nickel or LaO.5. The deposition apparatus of claim 1 , wherein the hydrophobic film comprises hydrophobic particles.6. The deposition apparatus of claim 1 , wherein the hydrophobic film is provided with a rough surface or having a micro-nanostructure.7. The deposition apparatus of claim 1 , further comprising:a first gas line in fluid communication with a first gas source and the process chamber; anda second gas line in fluid communication with a second gas source and the ...

Подробнее
04-02-2021 дата публикации

Methods and apparatus for calibrating concentration sensors for precursor delivery

Номер: US20210032751A1
Принадлежит: Applied Materials Inc

Methods and apparatus for controlling precursor flow are provided. In embodiments, the methods and apparatus apparatus for controlling precursor flow to a deposition chamber, includes: an ampoule to output a precursor a sensor assembly communicatively coupled to the ampoule; and a control system, wherein the control system is configured to calibrate the sensor assembly during flow of a precursor or a chemical standard through the sensor assembly.

Подробнее
08-02-2018 дата публикации

Laminates and gas barrier films

Номер: US20180036998A1
Принадлежит: Toppan Printing Co Ltd

A laminate includes a substrate; an atomic layer deposition film that is disposed on at least one surface of the substrate, and is made of an inorganic material; and a protective film that is bonded to and covers the atomic layer deposition film, and has an adhesive layer that is in contact with the atomic layer deposition film.

Подробнее
11-02-2016 дата публикации

APPARATUS FOR IN SITU DEPOSITION OF MULTILAYER STRUCTURES VIA ATOMIC LAYER DEPOSITION AND ULTRA-HIGH VACUUM PHYSICAL OR CHEMICAL VAPOR DEPOSITION

Номер: US20160040288A1
Принадлежит: University of Kansas

An apparatus for in situ fabrication of multilayer heterostructures is provided comprising a first vacuum chamber adapted for atomic layer deposition and comprising a first stage docking assembly configured to dock a detachable stage configured to support a substrate; a second vacuum chamber adapted for ultra-high vacuum physical or chemical vapor deposition and comprising a second stage docking assembly configured to dock the detachable stage; a load lock vacuum chamber between the first and second vacuum chambers and comprising a third stage docking assembly configured to dock the detachable stage, the load lock vacuum chamber coupled to the first vacuum chamber via a first shared valve and coupled to the second vacuum chamber via a second shared valve; and a substrate transport vacuum chamber comprising a substrate transfer device, the substrate transfer device configured to detachably couple to the detachable stage and to transfer the substrate supported by the detachable stage in situ between the first vacuum chamber, the second vacuum chamber and the load lock vacuum chamber. 1. An apparatus for in situ fabrication of multilayer heterostructures comprising:(a) a first vacuum chamber adapted for atomic layer deposition and comprising a first stage docking assembly configured to dock a detachable stage configured to support a substrate;(b) a second vacuum chamber adapted for ultra-high vacuum physical vapor deposition or ultra-high vacuum chemical vapor deposition and comprising a second stage docking assembly configured to dock the detachable stage;(c) a load lock vacuum chamber between the first and second vacuum chambers and comprising a third stage docking assembly configured to dock the detachable stage, the load lock vacuum chamber coupled to the first vacuum chamber via a first shared valve and coupled to the second vacuum chamber via a second shared valve; and(d) a substrate transport vacuum chamber comprising a substrate transfer device, the substrate ...

Подробнее
09-02-2017 дата публикации

SOLID PRECURSOR-BASED DELIVERY OF FLUID UTILIZING CONTROLLED SOLIDS MORPHOLOGY

Номер: US20170037511A1
Принадлежит:

Apparatus and method for volatilizing a source reagent susceptible to particle generation or presence of particles in the corresponding source reagent vapor, in which such particle generation or presence is suppressed by structural or processing features of the vapor generation system. Such apparatus and method are applicable to liquid and solid source reagents, particularly solid source reagents such as metal halides, e.g., hafnium chloride. The source reagent in one specific implementation is constituted by a porous monolithic bulk form of the source reagent material. The apparatus and method of the invention are usefully employed to provide source reagent vapor for applications such as atomic layer deposition (ALD) and ion implantation. 1. A vaporizer system including a vaporizer vessel in combination with a source reagent contained within the vaporizer vessel , said vaporizer vessel being adapted for heating of said vaporizer vessel and the source reagent therein , to generate vapor deriving from said source reagent , said vessel defining an enclosed interior volume , and having at least one port , whereby vapor deriving from said source reagent is dischargeable from said interior volume of the vessel , said vaporizer comprising at least one protrusion element in the interior volume adapted to contact the source reagent therein , wherein the source reagent comprises a porous solid source reagent body.2. The vaporizer system of claim 1 , wherein said at least one protrusion element is comprised by at least one tray.3. The vaporizer system of claim 2 , comprising multiple trays in the interior volume.4. The vaporizer system of claim 3 , wherein the multiple trays are vertically spaced apart from one another.5. (canceled)6. (canceled)7. The vaporizer system of claim 1 , further comprising a cover securable to said vessel to enclose said interior volume claim 1 , said cover including inlet and outlet ports claim 1 , whereby carrier gas is introduceable to the ...

Подробнее
08-02-2018 дата публикации

Film Forming Apparatus, Method of Forming Film, and Storage Medium

Номер: US20180037990A1
Автор: KATO Hitoshi
Принадлежит:

An apparatus for forming a thin film on a substrate in a processing container under vacuum atmosphere by alternately supplying a first gas and a second gas, which are process gases, onto the substrate, including: n first processing regions spaced from each other along circumferential direction of the processing container and used to process the substrate by supplying the first gas; n second processing regions formed between the n first processing regions along the circumferential direction and used to process the substrate by supplying the second gas; an isolation part isolating the n first processing regions and the n second processing regions; mounting parts disposed to be revolved along the circumferential direction and used to mount substrates; and a control part intermittently revolving mounting parts so that the substrates are alternately located in the n first processing regions and the n second processing regions while a revolution is stopped. 1. An apparatus for forming a thin film on a substrate in a processing container under a vacuum atmosphere by performing a cycle a predetermined number of times , the cycle including alternately supplying a first gas and a second gas , which are process gases , onto the substrate , comprising:n first processing regions (n being an integer of 2 or more) which are spaced from each other along a circumferential direction of the processing container, and are used to process the substrate by supplying the first gas;n second processing regions which are formed between the n first processing regions along the circumferential direction, and are used to process the substrate by supplying the second gas;an isolation part which isolates the n first processing regions and the n second processing regions from each other,a plurality of mounting parts which are disposed to be revolved along the circumferential direction and are used to mount substrates, respectively; anda control part configured to intermittently revolve the ...

Подробнее
08-02-2018 дата публикации

GAS SUPPLY APPARATUS AND GAS SUPPLY METHOD

Номер: US20180037991A1
Принадлежит:

A gas supply apparatus for forming a film by supplying a source gas, a substitution gas, and a reaction gas to a substrate in a processing chamber includes a source gas flow passage; a reaction gas flow passage; a first and second carrier gas flow passages connected to the source gas flow passage and the reaction gas flow passage; a substitution gas flow passage configured to supply the substitution gas into the processing chamber through a supply control device; a gas storage part installed in the substitution gas flow passage, and configured to store the substitution gas; a valve installed in the substitution gas flow passage, and installed in a downstream side of the gas storage part; and a control unit configured to control opening/closing of the valve such that the substitution gas is stored in the gas storage part to increase an internal pressure of the gas storage part. 1. A gas supply apparatus for forming a film by sequentially supplying a source gas , a substitution gas , and a reaction gas to a substrate in a processing chamber under a vacuum atmosphere for a plurality of cycles , wherein the substitution gas substitutes an atmosphere , and the reaction gas reacts with the source gas and generates a reaction product on the substrate , the apparatus comprising:a source gas flow passage configured to supply the source gas into the processing chamber;a reaction gas flow passage installed independently of the source gas flow passage, and configured to supply the reaction gas into the processing chamber;a first carrier gas flow passage and a second carrier gas flow passage connected to the source gas flow passage and the reaction gas flow passage, respectively, and configured to supply a carrier gas;a substitution gas flow passage configured to supply the substitution gas into the processing chamber through a supply control device, the supply control device being different from carrier gas supply control devices installed in the first carrier gas flow passage ...

Подробнее
08-02-2018 дата публикации

METHOD AND APPARATUS FOR DEPOSITING ATOMIC LAYERS ON A SUBSTRATE

Номер: US20180037994A1
Принадлежит:

Method of depositing an atomic layer on a substrate. The method comprises supplying a precursor gas from a precursor-gas supply of a deposition head that may be part of a rotatable drum. The precursor gas is provided from the precursor-gas supply towards the substrate. The method further comprises moving the precursor-gas supply by rotating the deposition head along the substrate which in its turn is moved along the rotating drum. 1. Method of depositing an atomic layer on a substrate , which method comprises supplying a precursor gas from a precursor-gas supply comprised by a deposition head towards the substrate; having the precursor gas react near , e.g. on , the substrate so as to form an atomic layer , the deposition head having an output face that at least partly faces the substrate during depositing the atomic layer , the output face being provided with the precursor-gas supply and having a substantially rounded shape defining a movement path of the substrate , wherein the method further comprises rotating the deposition head while supplying the precursor gas from the precursor gas supply; thus depositing a stack of atomic layers on the substrate while rotating the deposition head in one direction , wherein a gas bearing defines , relative to the substrate , a gap distance in combination with a gas bearing pressure that is in use provided in the gas bearing pressure arrangement provides a stiffness of the gas bearing between 10̂3 and 10̂10 N/m3.2. Method according to claim 1 , comprising moving the substrate along an claim 1 , at least partly rounded claim 1 , circumference of a rotatable drum that comprises the deposition head.3. Method according to claim 1 , wherein the precursor-gas supply extends claim 1 , along the curved output face claim 1 , in a direction along or inclined with the axis of rotation of the deposition head; or wherein the precursor-gas supply is shaped in elongated form along claim 1 , or inclined to claim 1 , an axial direction of the ...

Подробнее
04-02-2021 дата публикации

CERAMIC PEDESTAL HAVING ATOMIC PROTECTIVE LAYER

Номер: US20210035849A1
Автор: Nosrati Mohammad
Принадлежит: WATLOW ELECTRIC MANUFACTURING COMPANY

A method of manufacturing a support pedestal for use in semiconductor processing includes applying a protective layer on a conductive member of the support pedestal with an atomic layer deposition (ALD) process. The support pedestal has a support plate bonded to a tubular shaft. The support plate has a substrate, an electric element embedded in the substrate, and a conductive member connected to the electric element, and the tubular shaft defines an internal chamber. The ALD process introducing first precursors into the chamber of the tubular shaft to form a first monolayer on the conductive member, and introducing second precursors into the chamber of the tubular shaft to form a second monolayer on the first monolayer. 1. A method of manufacturing a support pedestal for use in semiconductor processing , the support pedestal comprising a support plate bonded to a tubular shaft , the support plate comprising a substrate , an electric element embedded in the substrate , and a conductive member connected to the electric element , and the tubular shaft defining an internal chamber , the method comprising:applying a protective layer on the conductive member by an atomic layer deposition (ALD) process.2. The method according to claim 1 , wherein the ALD process comprises:introducing first precursors into the chamber of the tubular shaft to form a first monolayer on the conductive member; andintroducing second precursors into the chamber of the tubular shaft to form a second monolayer on the first monolayer.3. The method according to claim 2 , wherein the ALD process further comprises introducing a purge gas into the chamber of the tubular shaft before the second precursors are introduced into the chamber.4. The method according to further comprising heating the chamber of the tubular shaft during the ALD process.5. The method according to further comprising connecting a plasma chamber to the tubular shaft for heating the chamber of the tubular shaft.6. The method ...

Подробнее
11-02-2016 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM

Номер: US20160042940A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

A method of manufacturing a semiconductor device includes: (a) forming a first film containing a metal element on a substrate by performing a cycle a predetermined number of times, the cycle including: (a-1) supplying a first precursor gas being a fluorine-free inorganic gas containing the metal element to the substrate; and (a-2) supplying a first reactant gas having reducibility to the substrate; (b) forming a second film containing the metal element on the first film by performing a cycle a predetermined number of times, the cycle including: (b-1) supplying a second precursor gas containing the metal element and fluorine to the substrate; and (b-2) supplying a second reactant gas having reducibility to the substrate; and (c) forming a film containing the metal element and obtained by the first film and the second film being laminated on the substrate by performing the (a) and (b). 1. A method of manufacturing a semiconductor device , comprising: [ (a-1) supplying a first precursor gas being a fluorine-free inorganic gas containing the metal element to the substrate; and', '(a-2) supplying a first reactant gas to the substrate; and, '(a) forming the first film on the substrate by performing a first cycle a first predetermined number of times, the first cycle including, (b-1) supplying a second precursor gas containing the metal element and fluorine to the substrate; and', '(b-2) supplying a second reactant gas to the substrate,, '(b) forming the second film on the first film by performing a second cycle a second predetermined number of times, the second cycle including, 'wherein at least one of the first reactant gas or the second reactant gas includes a gas containing the metal element and an amino group or an inorganic hydrogen-containing gas., 'forming a film composed of a first film containing a metal element and a second film containing the metal element on a substrate, the first film and the second film being laminated, by performing2. The method according ...

Подробнее
24-02-2022 дата публикации

FABRICATING A RECURSIVE FLOW GAS DISTRIBUTION STACK USING MULTIPLE LAYERS

Номер: US20220056584A1
Принадлежит:

A method includes receiving one or more parameters associated with a plurality of metal plates. The method further includes determining, based on the one or more parameters, a plurality of predicted deformation values associated with the plurality of metal plates. Each of the plurality of predicted deformation values correspond to a corresponding metal plate of the plurality of metal plates. The method further includes causing, based on the plurality of predicted deformation values, the plurality of metal plates to be diffusion bonded to produce a bonded metal plate structure. 1. A method comprising:receiving one or more parameters associated with a plurality of metal plates;determining, based on the one or more parameters, a plurality of predicted deformation values associated with the plurality of metal plates, each of the plurality of predicted deformation values corresponding to a corresponding metal plate of the plurality of metal plates; andcausing, based on the plurality of predicted deformation values, the plurality of metal plates to be diffusion bonded to produce a bonded metal plate structure.2. The method of claim 1 , wherein the bonded metal plate structure is a showerhead for chemical vapor deposition (CVD) or atomic layer deposition (ALD) in a processing chamber of a substrate processing system claim 1 , and wherein the showerhead has a gas purge time of about 0.2 seconds or less.3. The method of claim 1 , wherein the causing of the plurality of metal plates to be diffusion bonded comprises:determining, based on the plurality of predicted deformation values, a first subset of the plurality of metal plates and a second subset of the plurality of metal plates to be diffusion bonded separately;causing the first subset to be diffusion bonded to form a first bonded structure;causing the second subset to be diffusion bonded to form a second bonded structure; andcausing the first bonded structure and the second bonded structure to be diffusion bonded to form ...

Подробнее
07-02-2019 дата публикации

HARDWARE AND PROCESS FOR FILM UNIFORMITY IMPROVEMENT

Номер: US20190040528A1
Принадлежит:

The present inventors have conceived of a multi-stage process gas delivery system for use in a substrate processing apparatus. In certain implementations, a first process gas may first be delivered to a substrate in a substrate processing chamber. A second process gas may be delivered, at a later time, to the substrate to aid in the even dosing of the substrate. Delivery of the first process gas and the second process gas may cease at the same time or may cease at separate times. 1. A method of controlling a precursor dose to a substrate in a processing chamber during an atomic layer deposition (ALD) process , the method comprising:(a) flowing a first process gas to the substrate for a first period of a dose phase of an ALD deposition cycle, wherein the first process gas includes a first carrier gas and the precursor;(b) flowing a second process gas to the substrate for a second period of the dose phase of the ALD deposition cycle, wherein the second period begins after the first period begins, the first and second periods at least partially overlap such that the first process gas and the second process gas are both flowing to the substrate at the same time during such overlap, the second process gas is only a second carrier gas, and the second process gas mixes with the first process gas before delivery to the substrate for at least a portion of a period where the second period overlaps with the first period;(c) stopping, after at least the portion of overlap that the first process gas and the second process gas are both flowing to the substrate at the same time, the flow of the first process gas and the second process gas to the substrate;(d) flowing, after (c), a purge gas into the processing chamber;(e) activating, after (d), precursor adsorbed onto the substrate;(f) flowing, after (e), the purge gas into the processing chamber; and(g) repeating (a)-(f) for the substrate during a separate ALD deposition cycle.2. The method of claim 1 , wherein the volumetric ...

Подробнее
06-02-2020 дата публикации

METHODS AND APPARATUS FOR ALD PROCESSES

Номер: US20200040455A1
Принадлежит:

The present disclosure relates to methods and apparatus for an atomic layer deposition (ALD) chamber. In one embodiment, a lid assembly is provided that includes a multi-channel showerhead having a plurality of first gas channels and a plurality of second gas channels that are fluidly isolated from each of the first gas channels, and a flow guide coupled to opposing sides of the multi-channel showerhead, each of the flow guides being fluidly coupled to the plurality of second gas channels. 1. A lid assembly for use in an atomic layer deposition (ALD) chamber , the lid assembly comprising:a multi-channel showerhead having a plurality of first gas channels and a plurality of second gas channels that are fluidly isolated from each of the first gas channels; anda flow guide coupled to each opposing side of the multi-channel showerhead, each of the flow guides being fluidly coupled to the plurality of second gas channels, wherein the flow guides are operable to flow gases in a first direction and a second direction across the multi-channel showerhead, the second direction being opposite to the first direction.2. The lid assembly of claim 1 , wherein the multi-channel showerhead includes a first plate and a second plate.3. The lid assembly of claim 2 , wherein each of the plurality of first gas channels are formed through the first plate.4. The lid assembly of claim 3 , wherein a plurality each of the plurality of first gas channels are formed through the first plate and are in fluid communication with a one or more first orifices formed through the second plate.5. The lid assembly of claim 2 , wherein each of the plurality of second gas channels are formed in the second plate.6. The lid assembly of claim 2 , wherein at least a portion of the plurality of second gas channels is bounded by the first plate.7. The lid assembly of claim 1 , wherein each of the flow guides includes a manifold.8. The lid assembly of claim 7 , wherein each manifold is fluidly coupled to each of ...

Подробнее
18-02-2016 дата публикации

PROCESSING APPARATUS

Номер: US20160047039A1
Принадлежит:

A processing apparatus includes a plurality of first gas supply channels configured to supply a plurality of gases to the process chamber, a second gas supply channel configured to supply a gas to the process chamber, the gas being used in processing the target substrate, a plurality of first valves configured to open and close the plurality of first gas supply channels, a second valve configured to open and close the second gas supply channel, and a controller. One of the plurality of first valves is a follow-up target valve. The controller controls opening/closing operation of the plurality of first valves such that opening durations of the plurality of first valves do not overlap with each other, and controls opening/closing operation of the second valve such that opening duration of the second valve has a predetermined time relationship with opening duration of the follow-up target valve. 1. A processing apparatus comprising:a process chamber accommodating a target substrate,a plurality of first gas supply channels configured to supply a plurality of gases to the process chamber excluding a purge gas, the plurality of gases being used in processing the target substrate,a second gas supply channel configured to supply a gas to the process chamber excluding the purge gas, the gas being used in processing the target substrate,a plurality of first valves configured to open and close the plurality of first gas supply channels,a second valve configured to open and close the second gas supply channel, anda controller configured to control an opening/closing operation of the plurality of first valves and the second valve,wherein the plurality of first gas supply channels comprises at least one first gas ejection opening,the second gas supply channel comprises at least one second gas ejection opening placed at locations different from those of the at least one first gas ejection opening,the plurality of first valves comprises a follow-up target valve, the second valve ...

Подробнее
18-02-2016 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер: US20160047046A1
Принадлежит: KABUSHIKI KAISHA TOSHIBA

A semiconductor manufacturing apparatus according to an embodiment includes a chamber that is capable of accommodating therein a plurality of semiconductor substrates. A gas supply part supplies process gas to the chamber. A top exhaust port is connected to a top portion of the chamber and exhausts gas within the chamber. A bottom exhaust port is connected to a bottom portion of the chamber and exhausts gas within the chamber. A controller controls a timing of supplying process gas from the gas supply part and a timing of switching between exhaust from the top exhaust port and exhaust from the bottom exhaust port. 1. A semiconductor manufacturing apparatus comprising:a chamber capable of accommodating therein a plurality of semiconductor substrates;a gas supply part supplying process gas to the chamber;a top exhaust port connected to a top portion of the chamber and exhausting gas within the chamber;a bottom exhaust port connected to a bottom portion of the chamber and exhausting gas within the chamber; anda controller controlling a timing of supplying process gas from the gas supply part and a timing of switching between exhaust from the top exhaust port and exhaust from the bottom exhaust port.2. The apparatus of claim 1 , further comprising:a first exhaust pipe connected to the top exhaust port;a first exhaust pump connected to the first exhaust pipe;a second exhaust pipe connected to the bottom exhaust port; anda second exhaust pump connected to the second exhaust pipe.3. The apparatus of claim 2 , further comprising:a first switching valve provided in the first exhaust pipe and connects or disconnects between the top exhaust port and the first exhaust pump; anda second switching valve provided in the second exhaust pipe and connects or disconnects between the bottom exhaust port and the second exhaust pump.4. The apparatus of claim 3 , whereinwhen the first switching valve connects between the top exhaust port and the first exhaust pump, the second switching ...

Подробнее
16-02-2017 дата публикации

DEPOSITION APPARATUS AND DEPOSITION SYSTEM HAVING THE SAME

Номер: US20170044665A1
Принадлежит:

A deposition apparatus includes: a substrate support having a main surface on which a substrate is placed; a body disposed on the main surface and including a hollow portion having an exposed upper portion; a plasma electrode unit provided at a inner circumferential surface of the body to separate the hollow portion into an upper space and a lower space; and a gas supply unit supplying process gas to the plasma electrode unit, wherein a gas exhaust channel extending from the lower space to an exhaust outlet provided at a top of the body is formed in the body. 1. A deposition apparatus comprising:a substrate support having a main surface on which a substrate is placed;a body disposed on the main surface of the substrate support and comprising a hollow portion having an exposed upper portion;a plasma electrode unit provided at a inner circumferential surface of the body and defining an upper space and a lower space of the hollow portion; anda gas supply unit supplying process gas to the plasma electrode unit,wherein a gas exhaust channel extending from the lower space to an exhaust outlet provided at a top of the body is formed in the body.2. The deposition apparatus of claim 1 , wherein at least one groove connecting an outer region of the body and the gas exhaust channel is formed along a bottom surface of the body.3. The deposition apparatus of claim 2 , wherein the substrate support comprises a protruding portion that protrudes in a direction perpendicular to the main surface of the substrate support and extends along an edge of the main surface while being spaced apart from the edge of the main surface of the substrate support.4. The deposition apparatus of claim 3 , wherein the substrate support comprises a recess portion connected to the protruding portion at a side towards a center of the substrate support and extending along the protruding portion.5. The deposition apparatus of claim 1 , wherein the body comprises a support stepped portion protruding inward ...

Подробнее
07-02-2019 дата публикации

Method and Apparatus for Forming Silicon Film

Номер: US20190043719A1
Принадлежит:

A method of forming a silicon film in a recess formed in a target substrate includes: preparing a target substrate having a recess in which a plurality of different bases is exposed; forming an atomic layer seed on at least an inner surface of the recess by sequentially supplying a raw material gas adapted to the plurality of different bases and a reaction gas reacting with the raw material gas to the target substrate one or more times while heating the target substrate to a first temperature; and forming a silicon film on a surface of the atomic layer seed so as to fill the recess by supplying a first silicon raw material gas to the target substrate while heating the target substrate to a second temperature. 1. A method of forming a silicon film in a recess formed in a target substrate , comprising:preparing a target substrate having a recess in which a plurality of different bases is exposed;forming an atomic layer seed on at least an inner surface of the recess by sequentially supplying a raw material gas adapted to the plurality of different bases and a reaction gas reacting with the raw material gas to the target substrate one or more times while heating the target substrate to a first temperature; andforming a silicon film on a surface of the atomic layer seed so as to fill the recess by supplying a first silicon raw material gas to the target substrate while heating the target substrate to a second temperature.2. The method of claim 1 , further comprising claim 1 , prior to the step of forming the atomic layer seed claim 1 , forming a seed layer on the inner surface of the recess by supplying a second silicon raw material gas to the target substrate while heating the target substrate to a third temperature claim 1 , wherein the atomic layer seed is formed on the seed layer.3. The method of claim 2 , wherein the second silicon raw material gas is an aminosilane-based gas.4. The method of claim 1 , wherein the first silicon raw material gas includes a ...

Подробнее