Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 114. Отображено 98.
06-05-2021 дата публикации

REDUCED DEFECT DEPOSITION PROCESSES

Номер: US20210130949A1
Принадлежит: Applied Materials, Inc.

Exemplary methods of semiconductor processing may include forming a silicon oxide material on exposed surfaces of a processing region of a semiconductor processing chamber. The methods may include forming a silicon nitride material overlying the silicon oxide material. The methods may include performing a deposition process on a semiconductor substrate disposed within the processing region of the semiconductor processing chamber. The methods may include performing a chamber cleaning process.

Подробнее
09-05-2017 дата публикации

Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor

Номер: US0009646818B2

Aspects of the disclosure pertain to methods of forming planar amorphous carbon layers on patterned substrates. Layers formed according to embodiments outlined herein have may improve manufacturing yield by making the top surface of an amorphous carbon layer more planar despite underlying topography or stoichiometric variations. The amorphous carbon layers may comprise carbon and hydrogen, may consist of carbon and hydrogen or may comprise or consist of carbon, hydrogen and nitrogen in embodiments. Methods described herein may comprise introducing a hydrogen-containing precursor at a relatively high ratio relative to a hydrocarbon into a substrate processing region and concurrently applying a local plasma power capacitively to the substrate processing region to form the planar layer. Alternatively an atomic flow ratio of hydrogen:carbon may begin low and increase discretely or smoothly during formation of the amorphous carbon layer.

Подробнее
11-07-2019 дата публикации

TECHNIQUES FOR IMPROVED REMOVAL OF SACRIFICIAL MASK

Номер: US20190214255A1

A method may include forming a sacrificial mask on a device structure, the sacrificial mask comprising a carbon-based material. The method may further include etching memory structures in exposed regions of the sacrificial mask, implanting an etch-enhancing species into the sacrificial mask, and performing a wet etch to selectively remove the sacrificial mask at etch temperature, less than 350° C.

Подробнее
21-11-2023 дата публикации

Reduced defect deposition processes

Номер: US0011821082B2
Принадлежит: Applied Materials, Inc.

Exemplary methods of semiconductor processing may include forming a silicon oxide material on exposed surfaces of a processing region of a semiconductor processing chamber. The methods may include forming a silicon nitride material overlying the silicon oxide material. The methods may include performing a deposition process on a semiconductor substrate disposed within the processing region of the semiconductor processing chamber. The methods may include performing a chamber cleaning process.

Подробнее
13-05-2021 дата публикации

GAS DELIVERY SYSTEMS AND METHODS

Номер: US20210143029A1
Принадлежит: Applied Materials, Inc.

A system may include a main line for delivering a first gas, and a sensor for measuring a concentration of a precursor in the first gas delivered through the main line. The system may further include first and second sublines for providing fluid access to first and second processing chambers, respectively. The first subline may include a first flow controller for controlling the first gas flowed through the first subline. The second subline may include a second flow controller for controlling the first gas flowed through the second subline. A delivery controller may be configured to control the first and second flow controllers based on the measured concentration of the precursor to deliver a first mixture of the first gas and a second gas and a second mixture of the first and second gases into the first and second semiconductor processing chambers, respectively. 1. A method , comprising:flowing a first gas comprising a precursor and a dilution gas through a first delivery main line, wherein the first delivery main line is fluidly coupled with a first delivery subline and a second delivery subline downstream of the first delivery main line, the first delivery subline configured to provide fluid access to a first semiconductor processing chamber from the first delivery main line, the second delivery subline configured to provide fluid access to a second semiconductor processing chamber from the first delivery main line;measuring a concentration of the precursor contained in the first gas delivered through the first delivery main line;adjusting a first flow rate of the first gas delivered through the first delivery subline based at least in part on the measured concentration of the precursor;adjusting a second flow rate of the first gas delivered through the second delivery subline based at least in part on the measured concentration of the precursor;delivering, to the first semiconductor processing chamber in accordance with a first recipe set point, a first mixture ...

Подробнее
16-07-2019 дата публикации

Techniques for improved removal of sacrificial mask

Номер: US0010354875B1

A method may include forming a sacrificial mask on a device structure, the sacrificial mask comprising a carbon-based material. The method may further include etching memory structures in exposed regions of the sacrificial mask, implanting an etch-enhancing species into the sacrificial mask, and performing a wet etch to selectively remove the sacrificial mask at etch temperature, less than 350° C.

Подробнее
24-10-2023 дата публикации

Gas delivery systems and methods

Номер: US0011798820B2
Принадлежит: Applied Materials, Inc.

A system may include a main line for delivering a first gas, and a sensor for measuring a concentration of a precursor in the first gas delivered through the main line. The system may further include first and second sublines for providing fluid access to first and second processing chambers, respectively. The first subline may include a first flow controller for controlling the first gas flowed through the first subline. The second subline may include a second flow controller for controlling the first gas flowed through the second subline. A delivery controller may be configured to control the first and second flow controllers based on the measured concentration of the precursor to deliver a first mixture of the first gas and a second gas and a second mixture of the first and second gases into the first and second semiconductor processing chambers, respectively.

Подробнее
13-05-2021 дата публикации

REDUCED HYDROGEN DEPOSITION PROCESSES

Номер: US20210143010A1
Принадлежит: Applied Materials, Inc.

Exemplary methods of semiconductor processing may include treating a surface of a substrate with a hydrogen-containing precursor. The substrate may be disposed within a processing region of a semiconductor processing chamber. The methods may include contacting the substrate with a tungsten-containing precursor. The methods may include forming an initiation layer comprising tungsten on the substrate. The methods may include treating the initiation layer with a hydrogen-containing precursor. The methods may include forming a plasma of the tungsten-containing precursor and a carbon-containing precursor. Hydrogen in the plasma may be limited to hydrogen included in the carbon-containing precursor. The methods may include forming a tungsten-containing hardmask layer on the initiation layer. 1. A semiconductor processing method comprising:treating a surface of a substrate with a hydrogen-containing precursor, wherein the substrate is disposed within a processing region of a semiconductor processing chamber;contacting the substrate with a tungsten-containing precursor;forming an initiation layer comprising tungsten on the substrate;treating the initiation layer with a hydrogen-containing precursor;forming a plasma of the tungsten-containing precursor and a carbon-containing precursor, wherein hydrogen in the plasma is limited to hydrogen included in the carbon-containing precursor; andforming a tungsten-containing hardmask layer on the initiation layer.2. The semiconductor processing method of claim 1 , treating the surface of the substrate comprises:forming a plasma of the hydrogen-containing precursor, andcontacting the surface of the substrate with effluents of the plasma to produce hydrogen terminations.3. The semiconductor processing method of claim 1 , wherein the tungsten-containing precursor is delivered for the contacting while the treating the surface of the substrate is being performed.4. The semiconductor processing method of claim 3 , wherein a flow rate of ...

Подробнее
29-09-2016 дата публикации

DEFECT PLANARIZATION

Номер: US20160284538A1
Принадлежит: Applied Materials, Inc.

Aspects of the disclosure pertain to methods of forming planar amorphous carbon layers on patterned substrates. Layers formed according to embodiments outlined herein have may improve manufacturing yield by making the top surface of an amorphous carbon layer more planar despite underlying topography or stoichiometric variations. The amorphous carbon layers may comprise carbon and hydrogen, may consist of carbon and hydrogen or may comprise or consist of carbon, hydrogen and nitrogen in embodiments. Methods described herein may comprise introducing a hydrogen-containing precursor at a relatively high ratio relative to a hydrocarbon into a substrate processing region and concurrently applying a local plasma power capacitively to the substrate processing region to form the planar layer. Alternatively an atomic flow ratio of hydrogen:carbon may begin low and increase discretely or smoothly during formation of the amorphous carbon layer. 1. A method of forming a carbon layer on a patterned substrate , the method comprising:placing the patterned substrate in a substrate processing region of a substrate processing chamber;flowing a hydrogen-containing precursor into the substrate processing region;flowing a hydrocarbon precursor into the substrate processing region;applying plasma power to the substrate processing region;forming a plasma from a combination of the hydrogen-containing precursor and the hydrocarbon precursor in the substrate processing region, wherein the hydrogen-containing precursor comprises hydrogen;maintaining a first atomic flow rate ratio (H:C) between zero and two;forming a first portion of a carbon layer having a first top interface;increasing an atomic flow rate ratio from the first atomic flow rate ratio to a second atomic flow rate ratio (H:C) greater than two;maintaining the second atomic flow rate ratio; andforming a second portion of the carbon layer having a second top interface.2. The method of forming a planar layer on a patterned substrate ...

Подробнее
05-04-2012 дата публикации

ULTRA HIGH SELECTIVITY DOPED AMORPHOUS CARBON STRIPPABLE HARDMASK DEVELOPMENT AND INTEGRATION

Номер: US20120080779A1
Принадлежит: Applied Materials, Inc.

Embodiments of the present invention generally relate to the fabrication of integrated circuits and particularly to the deposition of a boron containing amorphous carbon layer on a semiconductor substrate. In one embodiment, a method of processing a substrate in a processing chamber is provided. The method comprises providing a substrate in a processing volume, flowing a hydrocarbon containing gas mixture into the processing volume, generating a plasma of the hydrocarbon containing gas mixture by applying power from an RF source, flowing a boron containing gas mixture into the processing volume, and depositing a boron containing amorphous carbon film on the substrate in the presence of the plasma, wherein the boron containing amorphous carbon film contains from about 30 to about 60 atomic percentage of boron. 1. A method of processing a substrate in a processing chamber , comprising:exposing a substrate to a flow of a hydrocarbon-containing gas in the presence of RF power to deposit a boron-free amorphous carbon film on the substrate;turning off the RF power while continuing the flow of the hydrocarbon-containing gas; andexposing the substrate to a flow of a boron-containing gas and the flow of the hydrocarbon-containing gas in the presence of RF power to deposit a boron-containing amorphous carbon film on the boron-free amorphous carbon film, wherein the boron-containing amorphous carbon film contains from about 30 to 60 atomic percentage of boron.2. The method of claim 1 , wherein the boron-free amorphous carbon film has a thickness between about 50 Å and about 1000 Å.3. The method of claim 1 , wherein the boron-containing amorphous carbon film has a thickness between about 300 Å and about 5000 Å.4. The method of claim 1 , wherein the boron-containing amorphous carbon film contains from about 20 to about 50 atomic percentage of carbon.5. The method of claim 1 , wherein the boron-containing amorphous carbon film contains from about 10 to about 25 atomic percentage ...

Подробнее
15-11-2012 дата публикации

METHODS OF REMOVING A MATERIAL LAYER FROM A SUBSTRATE USING WATER VAPOR TREATMENT

Номер: US20120285481A1
Принадлежит: Applied Materials, Inc.

Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having different material layers disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate into a processing chamber, the substrate having a dielectric layer disposed thereon forming openings on the substrate, exposing the dielectric layer disposed on the substrate to water vapor supplied into the chamber to form a plasma in the water vapor, maintaining a process pressure in the chamber at between about 1 Torr and about 120 Torr, and cleaning the contact structure formed on the substrate.

Подробнее
31-10-2013 дата публикации

Compensating concentration uncertainity

Номер: US20130284090A1
Принадлежит: Individual

Methods and apparatus for depositing uniform boron-containing films are disclosed. A first precursor is delivered to a chamber through a first pathway having a first flow controller and a composition sensor. A second precursor is delivered by a second pathway, including a second flow controller, to a mixing point fluidly coupling the first and second pathways. A controller is coupled to the vibration sensor and the first and second flow controllers. The first precursor may be a mixture of diborane and a diluent gas, and the second precursor is typically a diluent gas. The flow rate of the first precursor may be set by determining a concentration of diborane in the first precursor from the composition sensor reading, and setting the flow rate to maintain a desired flow rate of diborane. The flow rate of the second precursor may be set to maintain a desired flow to the chamber.

Подробнее
16-01-2014 дата публикации

ULTRA HIGH SELECTIVITY DOPED AMORPHOUS CARBON STRIPPABLE HARDMASK DEVELOPMENT AND INTEGRATION

Номер: US20140017897A1
Принадлежит: Applied Materials, Inc.

Embodiments of the present invention generally relate to the fabrication of integrated circuits and particularly to the deposition of a boron containing amorphous carbon layer on a semiconductor substrate. In one embodiment, a boron-containing amorphous carbon film is disclosed. The boron-containing amorphous carbon film comprises from about 10 to 60 atomic percentage of boron, from about 20 to about 50 atomic percentage of carbon, and from about 10 to about 30 atomic percentage of hydrogen. 1. A boron-containing amorphous carbon film comprising from about 10 to 60 atomic percentage of boron , from about 20 to about 50 atomic percentage of carbon , and from about 10 to about 30 atomic percentage of hydrogen.2. The boron-containing film of claim 1 , comprising from about 40 to 45 atomic percentage of boron claim 1 , from about 30 to about 35 atomic percentage of carbon claim 1 , and from about 20 to about 30 atomic percentage of hydrogen.3. The boron-containing film of claim 1 , comprising from about 30 to about 35 atomic percentage of boron claim 1 , from about 40 to 45 atomic percentage of carbon claim 1 , and from about 20 to about 30 atomic percentage of hydrogen.4. A method of processing a substrate in a processing chamber claim 1 , comprising:exposing a substrate to a flow of a gas mixture comprising a hydrocarbon-containing gas and a boron-containing gas in the presence of RF power to deposit a boron-containing amorphous carbon film over the substrate, wherein the boron-containing amorphous carbon film contains from about 30 to about 60 atomic percentage of boron and has a thickness between about 300 Å and about 5000 Å;etching the boron-containing amorphous carbon film to form a patterned boron-containing amorphous carbon film; andforming feature definitions in the substrate corresponding to the patterned boron-containing amorphous carbon film.5. The method of claim 4 , wherein the boron-containing amorphous carbon film contains from about 35 to about 55 ...

Подробнее
21-01-2021 дата публикации

RESOLVING SPONTANEOUS ARCING DURING THICK FILM DEPOSITION OF HIGH TEMPERATURE AMORPHOUS CARBON DEPOSITION

Номер: US20210017645A1
Принадлежит:

Embodiments of the present invention generally relate to an apparatus for reducing arcing during thick film deposition in a plasma process chamber. In one embodiment, an edge ring including an inner edge diameter that is about 0.28 inches to about 0.38 inches larger than an outer diameter of a substrate is utilized when depositing a thick (greater than two microns) layer on the substrate. The layer may be a dielectric layer, such as a carbon hard mask layer, for example an amorphous carbon layer. With the 0.14 inches to 0.19 inches gap between the outer edge of substrate and the inner edge of the edge ring during the deposition of the thick layer, substrate support surface arcing is reduced while the layer thickness uniformity is maintained. 1. A ring , comprising: a top surface;', 'a bottom surface parallel to the top surface;', 'an inclined surface connecting the top surface to the bottom surface, the inclined surface and the bottom surface forming an angle ranging from about 20 degrees to about 80 degrees;', 'an outer edge connecting the top surface to the bottom surface; and', 'an inner edge defined by a junction of the inclined surface and the bottom surface, the inner edge having a diameter ranging from about 12.08 inches to about 12.18 inches., 'a body, comprising2. The ring of claim 1 , wherein the ring is fabricated from a ceramic material.3. The ring of claim 1 , wherein the angle ranges from about 40 degrees to about 70 degrees.4. The ring of claim 1 , wherein the angle ranges from about 55 degrees to about 65 degrees.5. A process chamber for forming a layer on a substrate claim 1 , comprising:a chamber body;a lid disposed over the chamber body;a substrate support disposed in the chamber body; and an outer edge; and', 'an inner edge, a diameter of the inner edge being about 0.28 inches to about 0.38 inches larger than a diameter of the substrate., 'a body, comprising, 'an edge ring disposed on the substrate support, the edge ring comprising6. The process ...

Подробнее
28-01-2021 дата публикации

ELECTROSTATIC CHUCK FOR DAMAGE-FREE SUBSTRATE PROCESSING

Номер: US20210025056A1
Принадлежит:

Embodiments of the disclosure relate to an improved electrostatic chuck for use in a processing chamber to fabricate semiconductor devices. In one embodiment, a processing chamber includes a chamber body having a processing volume defined therein and an electrostatic chuck disposed within the processing volume. The electrostatic chuck includes a support surface with a plurality of mesas located thereon, one or more electrodes disposed within the electrostatic chuck, and a seasoning layer deposited on the support surface over the plurality of mesas. The support surface is made from an aluminum containing material. The one or more electrodes are configured to form electrostatic charges to electrostatically secure a substrate to the support surface. The seasoning layer is configured to provide cushioning support to the substrate when the substrate is electrostatically secured to the support surface. 1. A processing chamber apparatus , comprising:a chamber body defining a processing volume therein; and a support surface made from an aluminum containing material, the support surface having a plurality of mesas disposed thereon;', 'one or more electrodes disposed within the electrostatic chuck; and', 'a seasoning layer deposited on the support surface and extending over the plurality of mesas, wherein the seasoning layer is doped with carbon., 'an electrostatic chuck disposed within the processing volume, the electrostatic chuck comprising2. The apparatus of claim 1 , wherein the seasoning layer comprises:one or more of a silicon nitride material, a silicon carbon nitride material, a silicon oxycarbide material, a silicon oxide material, and a nitrogen-doped carbon material.3. The apparatus of claim 2 , wherein a dielectric constant of the seasoning layer is between 3 and 12.4. The apparatus of claim 1 , wherein the seasoning layer has a thickness between 100 nm and 20 microns.5. The apparatus of claim 1 , wherein each of the plurality of mesas has a surface roughness of ...

Подробнее
18-02-2016 дата публикации

Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system

Номер: US20160049323A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure provide an electrostatic chuck for maintaining a flatness of a substrate being processed in a plasma reactor at high temperatures. In one embodiment, the electrostatic chuck comprises a chuck body coupled to a support stem, the chuck body having a substrate supporting surface, and the chuck body has a volume resistivity value of about 1×10 7 ohm-cm to about 1×10 15 ohm-cm in a temperature of about 250° C. to about 700° C., and an electrode embedded in the body, the electrode is coupled to a power supply. In one example, the chuck body is composed of an aluminum nitride material which has been observed to be able to optimize chucking performance around 600° C. or above during a deposition or etch process, or any other process that employ both high operating temperature and substrate clamping features.

Подробнее
10-03-2022 дата публикации

AMORPHOUS CARBON FOR GAP FILL

Номер: US20220076945A1
Принадлежит: Applied Materials, Inc.

Methods for depositing an amorphous carbon layer on a substrate and for filling a substrate feature with an amorphous carbon gap fill are described. The method comprises performing a deposition cycle comprising: introducing a hydrocarbon source into a processing chamber; introducing a plasma initiating gas into the processing chamber; generating a plasma in the processing chamber at a temperature of greater than 600° C.; forming an amorphous carbon layer on a substrate with a deposition rate of greater than 200 nm/hr; and purging the processing chamber. 1. A method of forming a film , the method comprising:{'claim-text': ['introducing a hydrocarbon source into a processing chamber;', 'introducing a plasma initiating gas into the processing chamber;', 'generating a plasma in the processing chamber at a temperature of greater than 600° C.;'], '#text': 'performing a deposition cycle comprising:'}forming an amorphous carbon layer on a substrate with a deposition rate of greater than 200 nm/hr; andpurging the processing chamber.2. The method claim 1 , wherein the hydrocarbon source has a carbon to hydrogen atom ratio of greater than 1:23. The method of claim 1 , wherein the plasma initiating gas is selected from one or more of hydrogen claim 1 , helium claim 1 , argon claim 1 , and nitrogen.4. The method of claim 1 , further comprising repeating the deposition cycle from 2 to 50 times.5. The method of claim 1 , wherein the amorphous carbon layer is a gap fill layer.6. The method of claim 1 , wherein the amorphous carbon layer is a conformal layer.7. The method of claim 2 , wherein the hydrocarbon source comprises one or more of acetylene claim 2 , vinylacetylene claim 2 , benzene claim 2 , styrene claim 2 , toluene claim 2 , xylene claim 2 , pyridine claim 2 , acetophenone claim 2 , phenol claim 2 , furan claim 2 , CH claim 2 , CH claim 2 , monofluorobenzene claim 2 , difluorobenzene claim 2 , tetrafluorobenzene claim 2 , and hexafluorobenzene.8. The method of claim 1 , ...

Подробнее
03-03-2016 дата публикации

METHODS OF DRY STRIPPING BORON-CARBON FILMS

Номер: US20160064209A1
Принадлежит:

Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NFto remove from the surface of the boron-carbon film any carbon-based polymers generated during a substrate etching process. 1. A method for stripping a film from a substrate , comprising:positioning a substrate having a boron-carbon film thereon in a chamber; andremoving the boron-carbon film from the substrate by exposing the film to oxygen ions or radicals and hydrogen ions or radicals.2. The method of claim 1 , wherein an atomic ratio of boron to carbon in the boron-carbon film is within a range of about 1:1 to about 3:1.3. The method of claim 1 , further comprising exposing the boron-carbon film to fluoride ions or radicals and oxygen ions or radicals to remove carbon-based polymers from a surface thereof prior to exposing the boron-carbon film to the oxygen ions or radicals and the hydrogen ions or radicals.4. The method of claim 1 , wherein the oxygen ions or radicals are formed by generating a plasma from an oxygen-containing gas comprising O claim 1 , NO claim 1 , CO claim 1 , NO claim 1 , or NO claim 1 , and the hydrogen ions or radicals are formed by generating a plasma from a hydrogen-containing gas comprising Hor NH.5. The method of claim 4 , wherein the hydrogen-containing gas has a flow rate between about 500 SCCM and about 10 claim 4 ,000 SCCM ...

Подробнее
03-03-2016 дата публикации

HIGH TEMPERATURE ELECTROSTATIC CHUCKING WITH DIELECTRIC CONSTANT ENGINEERED IN-SITU CHARGE TRAP MATERIALS

Номер: US20160064264A1
Принадлежит:

Techniques are disclosed for methods and apparatuses for increasing the breakdown voltage while substantially reducing the voltage leakage of an electrostatic chuck at temperatures exceeding about 300 degrees Celsius in a processing chamber. 1. A substrate support assembly comprising:a substantially disk-shaped ceramic body having an upper surface, a cylindrical sidewall, and a lower surface, the upper surface configured to support a substrate thereon in a vacuum processing chamber, the cylindrical sidewall defining an outer diameter of the ceramic body, the lower surface disposed opposite the upper surface;an electrode disposed in the ceramic body; anda silicon and carbon containing layer adhered to the upper surface, the silicon and carbon containing layer having a carbon content of less than about 5% by weight.2. The substrate support assembly of claim 1 , wherein the electrode is configured for electrostatically securing the substrate to the upper surface of the ceramic body.3. The substrate support assembly of further comprising:a heater electrode disposed in the ceramic body.4. The substrate support assembly of claim 1 , wherein the silicon and carbon containing layer further comprises at thickness of about 100 Å to about 100 claim 1 ,000 Å.5. The substrate support assembly of claim 1 , wherein the silicon and carbon containing layer comprises one or more of propylene claim 1 , acetylene claim 1 , ethylene claim 1 , methane claim 1 , hexane claim 1 , hexane claim 1 , isoprene claim 1 , butadiene claim 1 , silane claim 1 , or tetraethyl orthosilicate.6. The substrate support assembly of further comprising:one or more separately deposited layers of the silicon and carbon containing material disposed on the silicon and carbon containing layer.7. The substrate support assembly of claim 1 , wherein the silicon and carbon containing layerhas a break down voltage of at least 100 MW/cm.8. The substrate support assembly of claim 7 , wherein the coated ceramic body has ...

Подробнее
02-03-2017 дата публикации

METHODS TO IMPROVE IN-FILM PARTICLE PERFORMANCE OF AMORPHOUS BORON-CARBON HARDMASK PROCESS IN PECVD SYSTEM

Номер: US20170062218A1
Принадлежит:

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-containing amorphous carbon films on a substrate with reduced particle contamination. In one implementation, the method comprises flowing a hydrocarbon-containing gas mixture into a processing volume having a substrate positioned therein, flowing a boron-containing gas mixture into the processing volume, stabilizing the pressure in the processing volume for a predefined RF-on delay time period, generating an RF plasma in the processing volume after the predefined RF-on delay time period expires to deposit a boron-containing amorphous film on the substrate, exposing the processing volume of the process chamber to a dry cleaning process and depositing an amorphous boron season layer over at least one surface in the processing volume of the process chamber. 1. A method , comprising:flowing a hydrocarbon-containing gas mixture into a processing volume having a substrate positioned therein;flowing a boron-containing gas mixture into the processing volume;stabilizing the pressure in the processing volume for a predefined RF-on delay time period;generating an RF plasma in the processing volume after the predefined RF-on delay time period expires to deposit a boron-containing amorphous film on the substrate;exposing the processing volume to a dry cleaning process; anddepositing an amorphous boron season layer over at least one surface in the processing volume.2. The method of claim 1 , wherein the predefined RF-on delay time period is a fixed time delay defined as the time period between flowing the boron-containing gas mixture into the processing volume and generating the RF plasma.3. The method of claim 2 , wherein the length of the predefined RF-on delay time period is selected so that the boron-containing gas mixture does not begin to thermally decompose or substantially ...

Подробнее
09-03-2017 дата публикации

METHOD AND APPARATUS OF ACHIEVING HIGH INPUT IMPEDANCE WITHOUT USING FERRITE MATERIALS FOR RF FILTER APPLICATIONS IN PLASMA CHAMBERS

Номер: US20170069464A1
Принадлежит:

Implementations of the present disclosure generally relate to methods and apparatus for generating and controlling plasma, for example RF filters, used with plasma chambers. In one implementation, a plasma processing apparatus is provided. The plasma processing apparatus comprises a chamber body, a powered gas distribution manifold enclosing a processing volume and a radio frequency (RF) filter. A pedestal having a substrate-supporting surface is disposed in the processing volume. A heating assembly comprising one or more heating elements is disposed within the pedestal for controlling a temperature profile of the substrate-supporting surface. A tuning assembly comprising a tuning electrode is disposed within the pedestal between the one or more heating elements and the substrate-supporting surface. The RF filter comprises an air core inductor, wherein at least one of the heating elements, the tuning electrode, and the gas distribution manifold is electrically coupled to the RF filter. 1. A plasma processing apparatus , comprising:a chamber body and a powered gas distribution manifold enclosing a processing volume;a substrate support pedestal disposed in the processing volume and having a substrate-supporting surface;a heating assembly comprising one or more heating elements disposed within the substrate support pedestal for controlling a temperature profile of the substrate-supporting surface;a tuning assembly comprising a tuning electrode that is disposed within the substrate support pedestal between the one or more heating elements and the substrate-supporting surface; anda radio frequency (RF) filter comprising an air core inductor, wherein at least one of the heating elements, the tuning electrode, and the gas distribution manifold is electrically coupled to the RF filter.2. The apparatus of claim 1 , wherein the air core inductor comprises:a first helical coil section having a predetermined number of coil turns; anda second helical coil section having a ...

Подробнее
15-03-2018 дата публикации

THICK TUNGSTEN HARDMASK FILMS DEPOSITION ON HIGH COMPRESSIVE/TENSILE BOW WAFERS

Номер: US20180076032A1
Принадлежит:

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of thick hardmask films on a substrate. In one implementation, a method of forming a hardmask layer on a substrate is provided. The method comprises applying a chucking voltage to a substrate positioned on an electrostatic chuck in a processing chamber, forming a seed layer comprising boron on a film stack disposed on a substrate by supplying a seed layer gas mixture in the processing chamber while maintaining the chucking voltage, forming a transition layer comprising boron and tungsten on the seed layer by supplying a transition layer gas mixture in the processing chamber and forming a bulk hardmask layer on the transition layer by supplying a main deposition gas mixture in the processing chamber. 1. A method of forming a hardmask layer on a substrate comprising:applying a chucking voltage to a substrate positioned on an electrostatic chuck in a processing chamber;forming a seed layer comprising boron on a film stack disposed on the substrate by supplying a seed layer gas mixture in the processing chamber while maintaining the chucking voltage;forming a transition layer comprising boron and tungsten on the seed layer by supplying a transition layer gas mixture in the processing chamber; andforming a bulk hardmask layer on the transition layer by supplying a main deposition gas mixture in the processing chamber.2. The method of claim 1 , wherein the chucking voltage is between about 10 volts and about 3 claim 1 ,000 volts.3. The method of claim 2 , wherein the chucking voltage is between about 200 volts and about 1 claim 2 ,000 volts.4. The method of claim 3 , wherein the seed layer comprising boron is formed in the presence of a plasma.5. The method of claim 4 , wherein the plasma is formed in-situ.6. The method of claim 5 , wherein the plasma is formed using mixed frequency ...

Подробнее
18-03-2021 дата публикации

SYSTEMS AND METHODS OF FORMATION OF A METAL HARDMASK IN DEVICE FABRICATION

Номер: US20210082696A1
Принадлежит:

A method of and system for substrate fabrication is disclosed herein. The method includes performing a first plasma-enhanced surface treatment in a chamber prior to disposal of a substrate, then, subsequently, depositing a season material in the process chamber. After depositing the plurality of season materials in the process chamber, a substrate is disposed in the chamber. The substrate is positioned in the process chamber in contact with the season material. A substrate treatment is performed. The substrate treatment can include one or more of: performing a second plasma-enhanced surface treatment, forming a barrier layer on the substrate, or performing a low frequency RF treatment prior to forming a metal-based hardmask film on the substrate. The metal-based hardmask film includes one or more metals. 1. A method of forming a hardmask , comprising:performing a first plasma-enhanced surface treatment in a process chamber;subsequent to performing the first plasma-enhanced surface treatment, depositing a season material on a plurality of exposed surfaces of the process chamber;subsequent to depositing the season material on the plurality of exposed surfaces of the process chamber, positioning a substrate in the process chamber and in contact with the season material; performing a second plasma-enhanced surface treatment;', 'forming a barrier layer on the substrate; and', 'performing a low frequency RF treatment; and, 'performing a treatment on the substrate, the treatment comprising at least one offorming, subsequent to performing the at least one treatment, a metal hardmask film on the substrate.2. The method of claim 1 , wherein the season material comprises at least two of silicon oxide claim 1 , silicon nitride claim 1 , amorphous silicon or combinations thereof claim 1 , wherein the season material comprises a hardness that is less than half of a hardness of the substrate.3. The method of claim 1 , wherein the first plasma-enhanced surface treatment includes ...

Подробнее
31-03-2022 дата публикации

Method of in situ ceramic coating deposition

Номер: US20220098728A1
Принадлежит: Applied Materials Inc

The present disclosure relates to a method for in situ seasoning of process chamber components, such as electrodes. The method includes depositing a silicon oxide film over the process chamber component and converting the silicon oxide film to a silicon-carbon-containing film. The silicon-carbon-containing film forms a protective film over the process chamber components and is resistant to plasma processing and/or dry etch cleaning. The coatings has high density, good emissivity control, and reduces risk of device property drift.

Подробнее
30-03-2017 дата публикации

LOADLOCK INTEGRATED BEVEL ETCHER SYSTEM

Номер: US20170092511A1
Принадлежит:

Implementations disclosed herein describe a bevel etch apparatus within a loadlock bevel etch chamber and methods of using the same. The bevel etch apparatus has a mask assembly within the loadlock bevel etch chamber. During an etch process, the mask assembly delivers a gas flow to control bevel etch without the use of a shadow frame. As such, the edge exclusion at the bevel edge can be reduced, thus increasing product yield. 1. A loadlock chamber , comprising:a substrate support having a substrate support surface positioned in a processing region;a remote plasma source positioned to supply an etching agent to a peripheral region of the substrate support surface; anda masking assembly coupled to a gas source, wherein the masking assembly is positioned to deliver a gas flow over the substrate support surface, the gas flowing from an approximately central region of the substrate support surface toward the peripheral region of the substrate support surface.2. The loadlock chamber of claim 1 , wherein the masking assembly comprises a masking plate with a central port formed therein.3. The loadlock chamber of claim 2 , wherein the masking plate further comprises an angled ledge.4. The loadlock chamber of claim 3 , wherein the angled ledge forms an angle of between 15 and 45 degrees as measured from a surface of the masking plate.5. The loadlock chamber of claim 2 , wherein the masking plate further comprises a flow surface.6. The loadlock chamber of claim 1 , wherein the masking assembly comprises a masking plate with a plurality of ports formed therein.7. The loadlock chamber of claim 1 , wherein species from the activated gas are delivered to the processing region through a baffle.8. The loadlock chamber of claim 7 , further comprising standoffs extending from the baffle.9. The loadlock chamber of claim 1 , further comprising a chuck ring positioned to receive the standoffs claim 1 , the standoffs maintaining a first distance between the mask and the substrate support ...

Подробнее
07-04-2016 дата публикации

GAS FLOW PROFILE MODULATED CONTROL OF OVERLAY IN PLASMA CVD FILMS

Номер: US20160099147A1
Принадлежит:

Methods for modulating local stress and overlay error of one or more patterning films may include modulating a gas flow profile of gases introduced into a chamber body, flowing gases within the chamber body toward a substrate, rotating the substrate, and unifying a center-to-edge temperature profile of the substrate by controlling the substrate temperature with a dual zone heater. A chamber for depositing a film may include a chamber body comprising one or more processing regions. The chamber body may include a gas distribution assembly having a blocker plate for delivering gases into the one or more processing regions. The blocker plate may have a first region and a second region, and the first region and second region each may have a plurality of holes. The chamber body may have a dual zone heater. 1. A method of modulating local stress and overlay error of one or more patterning films , comprising:modulating a gas flow profile of gases via a blocker plate comprising a first region and a second region, wherein the first region and second region each have a plurality of holes, wherein the plurality of holes of the first region are spaced more closely relative to one another than the plurality of holes of the second region;introducing the gases into a chamber body through the holes of the first region of the blocker plate and the second region of the blocker plate;flowing the gases within the chamber body toward a first region and a second region of a substrate; androtating the substrate after deposition of at least a partial film onto the substrate.2. The method of claim 1 , further comprising:unifying a center-to-edge temperature profile of the substrate by controlling the substrate temperature with a dual zone heater, wherein the dual zone heater comprises a first heating zone and a second heating zone, wherein the second heating zone circumscribes the first heating zone, and wherein a temperature of the first heating zone is different than a temperature of the ...

Подробнее
05-04-2018 дата публикации

GAS FLOW PROFILE MODULATED CONTROL OF OVERLAY IN PLASMA CVD FILMS

Номер: US20180096843A1
Принадлежит:

Methods for modulating local stress and overlay error of one or more patterning films may include modulating a gas flow profile of gases introduced into a chamber body, flowing gases within the chamber body toward a substrate, rotating the substrate, and unifying a center-to-edge temperature profile of the substrate by controlling the substrate temperature with a dual zone heater. A chamber for depositing a film may include a chamber body comprising one or more processing regions. The chamber body may include a gas distribution assembly having a blocker plate for delivering gases into the one or more processing regions. The blocker plate may have a first region and a second region, and the first region and second region each may have a plurality of holes. The chamber body may have a dual zone heater. 1. A processing chamber for depositing a film on a substrate , comprising:a chamber body comprising a chamber lid, a chamber bottom, and one or more chamber walls which define a processing volume; a stem; and', 'a heater plate disposed on the stem, the heater plate comprising a first heating zone and a second heating zone circumscribing the first heating zone;, 'a heater, movably disposed in the processing volume, the heater comprising a blocker plate disposed in the processing volume between the heater plate and the chamber lid, the blocker plate comprising a circular first inner region and an annular first outer region circumscribing the circular first inner region; and', 'a showerhead disposed in the processing volume between the blocker plate and the heater plate, the showerhead comprising a circular second inner region and an annular second outer region circumscribing the circular second inner region., 'a gas distribution assembly disposed in the processing volume, comprising2. The processing chamber of claim 1 , wherein the heater plate comprises ceramic.3. The processing chamber of claim 2 , further comprising one or more heating coils configured to heat the ...

Подробнее
13-04-2017 дата публикации

ULTRA-HIGH MODULUS AND ETCH SELECTIVITY BORON-CARBON HARDMASK FILMS

Номер: US20170103893A1
Принадлежит:

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-carbon films on a substrate. In one implementation, a method of processing a substrate is provided. The method comprises flowing a hydrocarbon-containing gas mixture into a processing volume of a processing chamber having a substrate positioned therein, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius, flowing a boron-containing gas mixture into the processing volume and generating an RF plasma in the processing volume to deposit a boron-carbon film on the heated substrate, wherein the boron-carbon film has an elastic modulus of from about 200 to about 400 GPa and a stress from about −100 MPa to about 100 MPa. 1. A method of processing a substrate , comprising:flowing a hydrocarbon-containing gas mixture into a processing volume of a processing chamber having a substrate positioned therein, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius;flowing a boron-containing gas mixture into the processing volume; andgenerating an RF plasma in the processing volume to deposit a boron-carbon film on the heated substrate, wherein the boron-carbon film has an elastic modulus of from about 200 to about 400 GPa and a stress from about −100 MPa to about 100 MPa.2. The method of claim 1 , further comprising stabilizing the pressure in the processing volume for a predefined RF-on delay time period prior to generating the RF plasma in the processing volume.3. The method of claim 2 , further comprising generating the RF plasma in the processing volume after the predefined RF-on delay time period expires.4. The method of claim 2 , wherein the predefined RF-on delay time period is a fixed time delay defined as the time period between flowing the boron- ...

Подробнее
25-04-2019 дата публикации

ULTRA-HIGH MODULUS AND ETCH SELECTIVITY BORON-CARBON HARDMASK FILMS

Номер: US20190122889A1
Принадлежит:

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-carbon films on a substrate. In one implementation, a method of processing a substrate is provided. The method comprises flowing a hydrocarbon-containing gas mixture into a processing volume of a processing chamber having a substrate positioned therein, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius, flowing a boron-containing gas mixture into the processing volume and generating an RF plasma in the processing volume to deposit a boron-carbon film on the heated substrate, wherein the boron-carbon film has an elastic modulus of from about 200 to about 400 GPa and a stress from about −100 MPa to about 100 MPa. 1. A semiconductor device , comprising:a boron-carbon amorphous film deposited over a substrate, the boron-carbon film comprising from about 30 to about 70 atomic percentage of boron, about 30 to about 70 atomic percentage of carbon, and about 10 to about 25 atomic percentage of hydrogen.2. The semiconductor device of claim 1 , wherein the boron-carbon amorphous film has an elastic modulus (GPa) from about 200 to about 400 MPa.3. The semiconductor device of claim 1 , wherein the boron-carbon amorphous film has less than 5 atomic percentage of nitrogen.4. The semiconductor device of claim 1 , wherein the boron-carbon amorphous film has a stress (MPa) less than 0 MPa.5. The semiconductor device of claim 4 , wherein the boron-carbon amorphous film has the stress (MPa) of about −75 MPa.6. The semiconductor device of claim 4 , wherein the boron-carbon amorphous film has the stress (MPa) of about −131 MPa.7. A method of processing a substrate in a processing chamber claim 4 , comprising:exposing the substrate to a boron-containing gas mixture and a hydrocarbon-containing gas mixture in the presence ...

Подробнее
27-05-2021 дата публикации

Dual rf for controllable film deposition

Номер: US20210159048A1
Принадлежит: Applied Materials Inc

A plasma processing system is described. The system may include a showerhead. The system may further include a first RF generator in electrical communication with the showerhead. The first RF generator may be configured to deliver a first voltage at a first frequency to the showerhead. Additionally, the system may include a second RF generator in electrical communication with a pedestal. The second RF generator may be configured to deliver a second voltage at a second frequency to the pedestal. The second frequency may be less than the first frequency. The system may also include a terminator in electrical communication with the showerhead. The terminator may provide a path to ground for the second voltage. Methods of depositing material using the plasma processing system are described. A method of seasoning a chamber by depositing silicon oxide and silicon nitride on the wall of the chamber is also described.

Подробнее
21-05-2015 дата публикации

PLASMA PROCESSING USING MULTIPLE RADIO FREQUENCY POWER FEEDS FOR IMPROVED UNIFORMITY

Номер: US20150136325A1
Принадлежит:

A system for modifying the uniformity pattern of a thin film deposited in a plasma processing chamber includes a single radio-frequency (RF) power source that is coupled to multiple points on the discharge electrode of the plasma processing chamber. Positioning of the multiple coupling points, a power distribution between the multiple coupling points, or a combination of both are selected to at least partially compensate for a consistent non-uniformity pattern of thin films produced by the chamber. The power distribution between the multiple coupling points may be produced by an appropriate RF phase difference between the RF power applied at each of the multiple coupling points. 1. A plasma processing chamber comprising:a radio frequency power supply configured to operate at a first substantially fixed frequency during operation;a first transmission line that electrically couples the radio frequency power supply to a first surface of a discharge electrode of the plasma processing chamber at a first location; anda second transmission line that electrically couples the radio frequency power supply to the first surface of the discharge electrode at a second location that is different than the first location,wherein the discharge electrode includes a second surface that faces a substrate processing region of the plasma processing chamber.2. The plasma processing chamber of claim 1 , wherein the first location and the second location are positioned symmetrically about a center point of the surface of the discharge electrode.3. The plasma processing chamber of claim 1 , wherein the first location and the second location are positioned asymmetrically about a center point of the surface of the discharge electrode.4. The plasma processing chamber of claim 1 , wherein the first transmission line has an electrical length configured to electrically couple radio frequency power to the discharge electrode that is substantially in phase with radio frequency power that is ...

Подробнее
12-05-2016 дата публикации

METHODS OF DRY STRIPPING BORON-CARBON FILMS

Номер: US20160133443A1
Принадлежит:

Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NFto remove from the surface of the boron-carbon film any carbon-based polymers generated during a substrate etching process. 1. A method for stripping a film from a substrate , comprising:positioning a substrate having the film thereon in a chamber, the film comprising boron and carbon;providing an oxygen-containing plasma in the chamber;exposing the film to the oxygen-containing plasma to generate one or more volatile compounds from the boron and carbon;providing an hydrogen-containing plasma in the chamber;exposing the film to the hydrogen-containing plasma to generate one or more volatile compounds from the boron and carbon;exhausting the oxygen-containing plasma from the chamber while continuing to provide the hydrogen-containing plasma; andexhausting at least one of the one or more volatile compounds from the chamber.2. The method of claim 1 , wherein an atomic ratio of boron to carbon in the film is within a range of about 1:1 to about 3:1.3. The method of claim 1 , further comprising exposing the film to fluoride ions or radicals and oxygen ions or radicals to remove carbon-based polymers from a surface thereof prior to exposing the film to the oxygen plasma and the hydrogen plasma.4. The method of claim 1 , wherein the oxygen-containing plasma is formed ...

Подробнее
07-08-2014 дата публикации

METHODS OF DRY STRIPPING BORON-CARBON FILMS

Номер: US20140216498A1
Принадлежит:

Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NFto remove from the surface of the boron-carbon film any carbon-based polymers generated during a substrate etching process. 1. A method for stripping a film from a substrate , comprising:positioning a substrate having a film thereon in a chamber, the film comprising boron and carbon;exposing the film to a water vapor plasma at a pressure above 50 Torr to generate one or more volatile compounds from the boron and carbon; andexhausting the one or more volatile compounds from the chamber.2. The method of claim 1 , wherein an atomic ratio of boron to carbon in the film is within a range of about 1:1 to about 3:1.3. The method of claim 1 , wherein the water vapor plasma is formed from a precursor gas comprising water vapor and a carrier gas claim 1 , and a flow rate of the precursor gas is at least about 7 sLm.4. The method of claim 2 , wherein the plasma is maintained at a power input of at least 2 claim 2 ,000 watts and spacing less than 200 mils.5. The method of claim 4 , wherein the water vapor plasma comprises excess hydrogen.6. A method of removing a boron-carbon film claim 4 , comprising:exposing the boron-carbon film to a water vapor plasma containing excess hydrogen in a processing chamber;maintaining a pressure in the processing chamber above 50 Torr; ...

Подробнее
07-05-2020 дата публикации

PROCESS CHAMBER COMPONENT CLEANING METHOD

Номер: US20200140999A1
Принадлежит:

A method of cleaning a component of a semiconductor processing chamber is provided. The method includes exposing residue in a component to a process plasma containing a nitrogen-containing gas and an oxygen-containing gas. The residue in the component undergoes a chemical reaction, cleaning the component. The component is cleaned, restoring the component to the conditions before the process chemistry is run. 1. A method of removing a residue from a processing chamber component , comprising: the process plasma comprises a nitrogen-containing gas and an oxygen-containing gas,', 'the ratio of a flow rate between the oxygen-containing gas and the nitrogen-containing gas is between about 3 and about 50, and', 'the process plasma is formed by radio frequency (RF) biasing the processing chamber component., 'exposing the residue formed on a surface of the processing chamber component to a process plasma while the surface of the processing chamber component is disposed within a processing region of a processing chamber wherein2. The method of claim 1 , wherein the processing chamber component comprises a showerhead comprising a plurality of apertures claim 1 , wherein the showerhead comprises aluminum claim 1 , and after exposing the showerhead to the process plasma the surface of the apertures comprises a thin film that comprises aluminum (Al) and nitrogen (N).3. The method of claim 2 , wherein the plurality of apertures comprise an inner channel claim 2 , a sloped portion claim 2 , and an outer channel claim 2 , wherein the sloped portion fluidly connects the inner channel and the outer channel claim 2 , and the residue is disposed on the sloped portion of at least one of the plurality of apertures.4. The method of claim 2 , wherein the RF bias applied to the showerhead includes applying between about 800 W and about 2500 W of RF power.5. The method of claim 2 , wherein the residue comprises carbon (C) and oxygen (O).6. The method of claim 5 , wherein the exposing the ...

Подробнее
08-06-2017 дата публикации

METHOD AND APPARATUS FOR CLAMPING AND DECLAMPING SUBSTRATES USING ELECTROSTATIC CHUCKS

Номер: US20170162417A1
Принадлежит:

Techniques are disclosed for methods and apparatuses of an electrostatic chuck suitable for operating at high operating temperatures. In one example, a substrate support assembly is provided. The substrate support assembly includes a substantially disk-shaped ceramic body having an upper surface, a cylindrical sidewall, and a lower surface. The upper surface is configured to support a substrate thereon for processing the substrate in a vacuum processing chamber. The cylindrical sidewall defines an outer diameter of the ceramic body. The lower surface is disposed opposite the upper surface. An electrode is disposed in the ceramic body. A circuit is electrically connected to the electrode. The circuit includes a DC chucking circuit, a first RF drive circuit, and a second RF dive circuit. The DC chucking circuit, the first RF drive circuit and the second RF drive circuit are electrically coupled with the electrode. 1. A substrate support assembly comprising:a substantially disk-shaped ceramic body having an upper surface, a cylindrical sidewall, and a lower surface, the upper surface configured to support a substrate thereon in a vacuum processing chamber, the cylindrical sidewall defining an outer diameter of the ceramic body, the lower surface disposed opposite the upper surface;an electrode disposed in the ceramic body; and a DC chucking circuit;', 'a first RF drive circuit; and', 'a second RF dive circuit, wherein the DC chucking circuit, the first RF drive circuit and the second RF drive circuit are electrically coupled together with the electrode., 'a main circuit electrically connected to the electrode and configured to provide a chucking voltage thereto, the main circuit comprising2. The substrate support assembly of wherein the main circuit further comprises:a third RF load circuit.3. The substrate support assembly of claim 1 , wherein the first RF drive circuit comprises:a high pass filter; anda RF drive.4. The substrate support assembly of claim 3 , wherein ...

Подробнее
06-06-2019 дата публикации

Highly etch selective amorphous carbon film

Номер: US20190172714A1
Принадлежит: Applied Materials Inc

Implementations described herein generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of amorphous carbon films on a substrate. In one implementation, a method of forming an amorphous carbon film is provided. The method comprises depositing an amorphous carbon film on an underlayer positioned on a susceptor in a first processing region. The method further comprises implanting a dopant or inert species into the amorphous carbon film in a second processing region. The dopant or inert species is selected from carbon, boron, nitrogen, silicon, phosphorous, argon, helium, neon, krypton, xenon or combinations thereof. The method further comprises patterning the doped amorphous carbon film. The method further comprises etching the underlayer.

Подробнее
22-06-2017 дата публикации

UNIFORM WAFER TEMPERATURE ACHIEVEMENT IN UNSYMMETRIC CHAMBER ENVIRONMENT

Номер: US20170178758A1
Принадлежит:

The present disclosure generally relates to a radiation shield for a process chamber which improves substrate temperature uniformity. The radiation shield may be disposed between a slit valve door of the process chamber and a substrate support disposed within the process chamber. In some embodiments, the radiation shield may be disposed under a heater of the process chamber. Furthermore, the radiation shield may block radiation and/or heat supplied from the process chamber, and in some embodiments, the radiation shield may absorb and/or reflect radiation, thus providing improved temperature uniformity as well as improving a planar profile of the substrate. 1. A radiation shield for a processing chamber , comprising:a disk-shaped radiation plate having a plurality of holes disposed therethrough; anda radiation stem coupled to the disk-shaped radiation plate.2. The radiation shield of claim 1 , wherein the disk-shaped radiation plate comprises an aluminum oxide or an aluminum nitride material.3. The radiation shield of claim 1 , wherein the radiation stem comprises a quartz material.4. The radiation shield of claim 1 , wherein the disk-shaped radiation plate has a uniform thickness of between about 50 mm and about 150 mm.5. The radiation shield of claim 1 , wherein the disk-shaped radiation plate has a variable thickness of between about 50 mm and about 200 mm.6. The radiation shield of claim 1 , wherein the radiation stem is a tubular member with a hollow core.7. A processing chamber claim 1 , comprising:a substrate support disposed in a processing volume within the processing chamber;a substrate support stem coupled to the substrate support;a lift system coupled to the substrate support stem; and a radiation plate disposed below the substrate support; and', 'a radiation stem coupled to the radiation plate, wherein the radiation stem is disposed between the lift system and the radiation plate., 'a radiation shield, comprising8. The processing chamber of claim 7 , ...

Подробнее
18-09-2014 дата публикации

CARBON FILM HARDMASK STRESS REDUCTION BY HYDROGEN ION IMPLANTATION

Номер: US20140273461A1
Принадлежит: Applied Materials, Inc.

Methods for forming a hydrogen implanted amorphous carbon layer with desired film mechanical strength as well as optical film properties are provided. In one embodiment, a method of a hydrogen implanted amorphous carbon layer includes providing a substrate having a material layer disposed thereon, forming an amorphous carbon layer on the material layer, and ion implanting hydrogen ions from a hydrogen containing gas into the amorphous carbon layer to form a hydrogen implanted amorphous carbon layer. 1. A method of forming a hydrogen implanted amorphous carbon layer , comprising:(a) providing a substrate having a material layer disposed thereon;(b) forming an amorphous carbon layer on the material layer; and(c) ion implanting hydrogen ions from a hydrogen containing gas into the amorphous carbon layer to form a hydrogen implanted amorphous carbon layer.2. The method of claim 1 , further comprising:repeatedly performing step (b)-(c) until a desired thickness of the hydrogen implanted amorphous carbon layer is reached.3. The method of claim 2 , wherein the hydrogen implanted amorphous carbon layer has the desired thickness between about 10 nm and about 300 nm.4. The method of claim 1 , wherein ion implanting hydrogen ions into the amorphous carbon layer further comprises:applying an RF source power to plasma implant hydrogen ions into the amorphous carbon layer.5. The method of claim 1 , wherein ion implanting hydrogen ions into the amorphous carbon layer further comprises:using at least one of an ion gun or an ion beam to implant hydrogen ions into the amorphous carbon layer.6. The method of claim 1 , wherein the step (b) and step (c) are formed in-situ in a processing chamber without breaking vacuum.7. The method of claim 1 , wherein the step (b) and step (c) are formed ex-situ in different processing chambers incorporated in a cluster tool.8. The method of claim 1 , wherein the hydrogen implanted amorphous carbon layer has a film density greater than 1.6 g/cc.9. The ...

Подробнее
22-07-2021 дата публикации

ULTRA-HIGH MODULUS AND ETCH SELECTIVITY BORON-CARBON HARDMASK FILMS

Номер: US20210225650A1
Принадлежит:

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-carbon films on a substrate. In one implementation, a method of processing a substrate is provided. The method comprises flowing a hydrocarbon-containing gas mixture into a processing volume of a processing chamber having a substrate positioned therein, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius, flowing a boron-containing gas mixture into the processing volume and generating an RF plasma in the processing volume to deposit a boron-carbon film on the heated substrate, wherein the boron-carbon film has an elastic modulus of from about 200 to about 400 GPa and a stress from about −100 MPa to about 100 MPa. 1. A method of processing a substrate , comprising:exposing the substrate to a boron-containing gas mixture and a hydrocarbon-containing gas mixture in the presence of RF power to deposit a boron-carbon film over the substrate, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius, and the boron-carbon film comprises at least 55 atomic percentage of boron.2. The method of claim 1 , wherein the boron-carbon film contains between about 1 atomic percentage to about 45 atomic percentage of one or more of the following: hydrogen claim 1 , oxygen claim 1 , carbon claim 1 , and nitrogen.3. The method of claim 2 , wherein the boron-carbon film contains less than about 20 atomic percentage of hydrogen.4. The method of claim 1 , wherein the boron-carbon film comprises at least 65 atomic percentage of boron.5. The method of claim 1 , wherein the boron-carbon film has an elastic modulus (GPa) from about 200 to about 400 MPa.6. The method of claim 1 , wherein the boron-carbon film has a stress from about −100 MPa to about 100 MPa.7. The method ...

Подробнее
25-06-2020 дата публикации

PLASMA PROCESSING USING MULTIPLE RADIO FREQUENCY POWER FEEDS FOR IMPROVED UNIFORMITY

Номер: US20200203132A1
Принадлежит:

A system for modifying the uniformity pattern of a thin film deposited in a plasma processing chamber includes a single radio-frequency (RF) power source that is coupled to multiple points on the discharge electrode of the plasma processing chamber. Positioning of the multiple coupling points, a power distribution between the multiple coupling points, or a combination of both are selected to at least partially compensate for a consistent non-uniformity pattern of thin films produced by the chamber. The power distribution between the multiple coupling points may be produced by an appropriate RF phase difference between the RF power applied at each of the multiple coupling points. 1. (canceled)2. A processing chamber comprising:a top, a bottom, and one or more sides connecting the bottom to the top to define an inner volume;a substrate support disposed in the inner volume;a backing plate disposed over the substrate support, the backing plate including a first surface facing the substrate support, a second surface opposite the first surface, and one or more side surfaces connecting the first surface to the second surface;a plurality of couplings, wherein each coupling of the plurality of couplings is positioned to contact the backing plate at a different angular position around the one or more side surfaces of the backing plate;a first transmission line; anda plurality of removable segments electrically connecting the first transmission line to the plurality of couplings.3. The processing chamber of claim 2 , wherein the plurality of removable segments extend around the one or more sides of the processing chamber.4. The processing chamber of claim 2 , wherein each coupling extends through the one or more sides of the processing chamber.5. The processing chamber of claim 2 , wherein the angular position of each coupling is adjustable around the one or more side surfaces of the backing plate.6. The processing chamber of claim 2 , wherein each coupling electrically ...

Подробнее
13-08-2015 дата публикации

CLEANING PROCESS FOR CLEANING AMORPHOUS CARBON DEPOSITION RESIDUALS USING LOW RF BIAS FREQUENCY APPLICATIONS

Номер: US20150228463A1
Принадлежит:

Methods for cleaning a processing chamber to remove amorphous carbon containing residuals from the processing chamber are provided. The cleaning process utilizes a low frequency RF bias power during the cleaning process. In one embodiment, a method of cleaning a processing chamber includes supplying a cleaning gas mixture into a processing chamber, applying a RF bias power of about 2 MHz or lower to a substrate support assembly disposed in the processing chamber to form a plasma in the cleaning gas mixture in the processing chamber, and removing deposition residuals from the processing chamber. 1. A method of cleaning a processing chamber , comprising:supplying a cleaning gas mixture into a processing chamber;applying a RF bias power of about 2 MHz or lower to a substrate support assembly disposed in the processing chamber to form a plasma in the cleaning gas mixture in the processing chamber; andremoving deposition residuals from the processing chamber.2. The method of claim 1 , wherein applying the RF bias power to the processing chamber further comprises:vertically moving the substrate support assembly while applying the RF bias power thereto.3. The method of claim 1 , wherein applying the RF bias power to the processing chamber further comprises:applying a RF source power to the processing chamber.4. The method of claim 1 , wherein applying the RF bias power to the processing chamber further comprises:applying a remote plasma power to the processing chamber.5. The method of claim 1 , wherein the cleaning gas mixture includes at least an oxygen containing gas.6. The method of claim 5 , wherein the oxygen containing gas is selected from a group consisting of O claim 5 , HO claim 5 , and O.7. The method of claim 3 , wherein the RF source power is applied to a showerhead assembly disposed in the processing chamber.8. The method of claim 1 , wherein the cleaning gas mixture includes a fluorine containing gas.9. The method of claim 8 , wherein the fluorine containing ...

Подробнее
09-08-2018 дата публикации

Method for pecvd overlay improvement

Номер: US20180226306A1
Принадлежит: Applied Materials Inc

The present disclosure generally relates to a method for performing semiconductor device fabrication, and more particularly, to improvements in lithographic overlay techniques. The method for improved overlay includes depositing a material on a substrate, heating a substrate in a chamber using thermal energy, measuring a local stress pattern of each substrate, wherein measuring the local stress pattern measures an amount of change in a depth of the deposited material on the substrate, plotting a plurality of points on a k map to determine a local stress pattern of the substrate, adjusting the thermal energy applied to the points on the k map, determining a sensitivity value for each of the points on the k map, and applying a correction factor to the applied thermal energy to adjust the local stress pattern.

Подробнее
16-07-2020 дата публикации

PEDESTAL FOR SUBSTRATE PROCESSING CHAMBERS

Номер: US20200224310A1
Принадлежит:

Aspects of the present disclosure relate generally to pedestals, components thereof, and methods of using the same for substrate processing chambers. In one implementation, a pedestal for disposition in a substrate processing chamber includes a body. The body includes a support surface. The body also includes a stepped surface that protrudes upwards from the support surface. The stepped surface is disposed about the support surface to surround the support surface. The stepped surface defines an edge ring such that the edge ring is integrated with the pedestal to form the body that is monolithic. The pedestal also includes an electrode disposed in the body, and one or more heaters disposed in the body. 1. A pedestal for disposition in a substrate processing chamber , comprising:a body, the body comprising a support surface and a stepped surface that protrudes upwards from the support surface, the stepped surface being disposed about the support surface to surround the support surface, and the stepped surface defining an edge ring such that the edge ring is integrated with the pedestal to form the body that is monolithic;an electrode disposed in the body; andone or more heaters disposed in the body.2. The pedestal of claim 1 , wherein the edge ring is a first portion of the body and the pedestal is a second portion of the body.3. The pedestal of claim 2 , wherein the first portion having the edge ring has a volumetric electrical resistivity that is different than a volumetric electrical resistivity of the second portion having the pedestal.4. The pedestal of claim 3 , wherein the volumetric electrical resistivity of the first portion having the edge ring is less than the volumetric electrical resistivity of the second portion having the pedestal.5. The pedestal of claim 2 , wherein the first portion having the edge ring comprises a first material claim 2 , and the second portion having the pedestal comprises a second material that is different than the first material. ...

Подробнее
03-09-2015 дата публикации

Edge hump reduction faceplate by plasma modulation

Номер: US20150247237A1
Принадлежит: Applied Materials Inc

Embodiments described herein relate to a faceplate for improving film uniformity. A semiconductor processing apparatus includes a pedestal, an edge ring and a faceplate having distinct regions with differing hole densities. The faceplate has an inner region and an outer region which surrounds the inner region. The inner region has a greater density of holes formed therethrough when compared to the outer region. The inner region is sized to correspond with a substrate being processed while the outer region is sized to correspond with the edge ring.

Подробнее
23-07-2020 дата публикации

LOADLOCK INTEGRATED BEVEL ETCHER SYSTEM

Номер: US20200234982A1
Принадлежит:

Implementations disclosed herein describe a bevel etch apparatus within a loadlock bevel etch chamber and methods of using the same. The bevel etch apparatus has a mask assembly within the loadlock bevel etch chamber. During an etch process, the mask assembly delivers a gas flow to control bevel etch without the use of a shadow frame. As such, the edge exclusion at the bevel edge can be reduced, thus increasing product yield. 1. A chamber , comprising:a substrate support having a substrate support surface positioned in a processing region; a masking plate; and', 'a baffle connected with the masking plate, the baffle including a standoff portion positioned to contact the substrate support, and wherein, when the substrate support is disposed against the standoff portion, a uniform gap defined by parallel surfaces is formed between a portion of the mask assembly and the peripheral region of the substrate support., 'a masking assembly coupled to a gas source, the masking assembly comprising2. The chamber of claim 1 , wherein the masking plate comprises a central port formed therein.3. The chamber of claim 1 , wherein the masking assembly further comprises an angled ledge extending from the masking plate.4. The chamber of claim 3 , wherein the angled ledge forms an angle of between 15 and 45 degrees as measured from a surface of the masking plate.5. The chamber of claim 1 , wherein the masking plate comprises a plurality of ports formed therein.6. The chamber of claim 1 , wherein the standoff portion extends from a periphery of the baffle.7. The chamber of claim 1 , further comprising a chuck ring positioned to receive the standoff portion.8. A chamber claim 1 , comprising:a substrate support having a substrate support surface positioned in a processing region;a remote plasma source configured to supply an etching agent to a peripheral region of the substrate support surface; and a masking plate; and', 'a baffle connected with the masking plate, the baffle including a ...

Подробнее
06-08-2020 дата публикации

METHOD AND TOOL FOR ELECTROSTATIC CHUCKING

Номер: US20200249263A1
Принадлежит:

Embodiments described herein relate to methods and tools for monitoring electrostatic chucking performance. A performance test is performed that requires only one bowed substrate and one reference substrate. To run the test, the reference substrate is positioned on an electrostatic chuck in a process chamber and the bowed substrate is positioned on the reference substrate. A voltage is applied from a power source to the electrostatic chuck, generating an electrostatic chucking force to secure the bowed substrate to the reference substrate. Thereafter, the applied voltage is decreased incrementally until the electrostatic chucking force is too weak to maintain the bowed substrate in flat form, resulting in dechucking of the bowed wafer. By monitoring the impedance of the chamber during deposition using a sensor, the dechucking threshold voltage can be identified at the point where the impedance of the reference substrate and the impedance of the bowed substrate deviates. 1. A method for monitoring electrostatic chucking performance , comprising:positioning a reference substrate on an electrostatic chuck in a process chamber;positioning a bowed substrate on the reference substrate;applying a power to an electrode in the electrostatic chuck;monitoring an impedance of the reference substrate and an impedance of the bowed substrate using a sensor positioned between the electrostatic chuck and ground; andincrementally decreasing a voltage of the power until the impedance of the reference substrate and the impedance of the bowed substrate deviates.2. The method of claim 1 , wherein the voltage is initially set at about 1000V.3. The method of claim 2 , wherein the voltage is reduced about 50V at 20 s intervals.4. The method of claim 2 , wherein the voltage is reduced about 100V at 30 s intervals.5. The method of claim 2 , wherein the voltage is reduced about 25V at 10 s intervals.6. The method of claim 1 , wherein the process chamber temperature is maintained at between ...

Подробнее
21-10-2021 дата публикации

NITROGEN-DOPED CARBON HARDMASK FILMS

Номер: US20210327713A1
Принадлежит:

Disclosed herein is a method and apparatus for forming carbon hard masks to improve deposition uniformity and etch selectivity. The carbon hard mask may be formed in a PECVD process chamber and is a nitrogen-doped carbon hardmask. The nitrogen-doped carbon hardmask is formed using a nitrogen containing gas, an argon containing gas, and a hydrocarbon gas. 1. A method of processing a substrate , comprising:flowing an argon containing gas into a process chamber;flowing a nitrogen containing gas into the process chamber;igniting a plasma in the process chamber;flowing a precursor gas into the process chamber after igniting the plasma;performing a deposition process at a temperature of about 560 degrees C. to about 700 degrees C.; andforming a nitrogen doped carbon hardmask.2. The method of claim 1 , wherein the argon containing gas is atomic argon.3. The method of claim 1 , wherein the nitrogen containing gas is diatomic nitrogen.4. The method of claim 1 , wherein the argon containing gas and the nitrogen containing gas are introduced simultaneously.5. The method of claim 1 , wherein the nitrogen containing gas has a flow rate of about 350 sccm to about 900 sccm.6. The method of claim 1 , wherein the argon containing gas has a flow rate of about 2000 sccm to about 4000 sccm.7. The method of claim 1 , wherein the nitrogen containing gas to argon containing gas ratio is about 1:3 to about 1:10.8. The method of claim 1 , wherein the pressure during the flowing the argon containing gas and the flowing the nitrogen containing gas is about 6 Torr to about 12 Torr.9. The method of claim 1 , wherein the deposition process is performed at a temperature of about 600 degrees C. to about 650 degrees C.10. The method of claim 9 , wherein the radio frequency power is about 2000 Watts to about 5500 Watts.11. A method of processing a substrate comprising:flowing an argon containing gas into a process chamber;flowing a nitrogen containing gas into the process chamber;applying a radio ...

Подробнее
13-08-2020 дата публикации

METHOD FOR CLEANING PROCESS CHAMBER

Номер: US20200255940A1
Принадлежит:

Implementations of the disclosure generally relate to a method of cleaning a semiconductor processing chamber. In one implementation, a method of cleaning a deposition chamber includes flowing a nitrogen containing gas into a processing region within the deposition chamber, striking a plasma in the processing region utilizing a radio frequency power, introducing a cleaning gas into a remote plasma source that is fluidly connected to the deposition chamber, generating reactive species of the cleaning gas in the remote plasma source, introducing the cleaning gas into the deposition chamber, and removing deposits on interior surfaces of the deposition chamber at different etch rates. 1. A method of cleaning a deposition chamber , comprising:flowing a nitrogen containing gas into a processing region within the deposition chamber;striking a plasma in the processing region utilizing a radio frequency power;introducing a cleaning gas into a remote plasma source that is fluidly connected to the deposition chamber;generating reactive species of the cleaning gas in the remote plasma source;introducing the cleaning gas into the deposition chamber; andremoving deposits on interior surfaces of the deposition chamber at different etch rates.2. The method of claim 1 , wherein the nitrogen containing gas comprises nitrogen and oxygen.3. The method of claim 2 , wherein the cleaning gas comprises nitrogen and oxygen.4. The method of claim 3 , wherein the cleaning gas comprises fluorine.5. The method of claim 1 , wherein the cleaning gas comprises nitrogen trifluoride and oxygen.6. The method of claim 1 , wherein the cleaning gas is flowed into the deposition chamber simultaneously with the nitrogen containing gas.7. The method of claim 1 , wherein the cleaning gas is flowed into the deposition chamber after the nitrogen containing gas is flowed into the deposition chamber.8. A method of cleaning a deposition chamber claim 1 , comprising:flowing a nitrogen containing gas into a ...

Подробнее
20-10-2016 дата публикации

GAS FLOW PROFILE MODULATED CONTROL OF OVERLAY IN PLASMA CVD FILMS

Номер: US20160307752A1
Принадлежит:

Methods for modulating local stress and overlay error of one or more patterning films may include modulating a gas flow profile of gases introduced into a chamber body, flowing gases within the chamber body toward a substrate, rotating the substrate, and unifying a center-to-edge temperature profile of the substrate by controlling the substrate temperature with a dual zone heater. A chamber for depositing a film may include a chamber body comprising one or more processing regions. The chamber body may include a gas distribution assembly having a blocker plate for delivering gases into the one or more processing regions. The blocker plate may have a first region and a second region, and the first region and second region each may have a plurality of holes. The chamber body may have a dual zone heater. 1. A method of modulating local stress and overlay error of one or more patterning films on a substrate , comprising:modulating a gas flow profile of gases via a blocker plate comprising a first region and a second region, wherein the first region and second region each have a plurality of holes;introducing the gases into a chamber body through the plurality of holes of the first region of the blocker plate and through the plurality of holes of the second region of the blocker plate;flowing the gases within the chamber body toward a first region of a substrate and a second region of the substrate; andunifying a center-to-edge temperature profile of the substrate by controlling the substrate temperature with a dual zone heater, wherein the dual zone heater comprises a first heating zone and a second heating zone, wherein the second heating zone circumscribes the first heating zone.2. The method of claim 1 , further comprising rotating the substrate after deposition of at least a partial film onto the substrate.3. The method of claim 1 , further comprising monitoring film morphology across one or more of the patterning films with one or more metrology tools.4. The method ...

Подробнее
26-10-2017 дата публикации

METHOD FOR PECVD OVERLAY IMPROVEMENT

Номер: US20170309525A1
Принадлежит:

The present disclosure generally relates to a method for performing semiconductor device fabrication, and more particularly, to improvements in lithographic overlay techniques. The method for improved overlay includes depositing a material on a substrate, heating a substrate in a chamber using thermal energy, measuring a local stress pattern of each substrate, wherein measuring the local stress pattern measures an amount of change in a depth of the deposited material on the substrate, plotting a plurality of points on a k map to determine a local stress pattern of the substrate, adjusting the thermal energy applied to the points on the k map, determining a sensitivity value for each of the points on the k map, and applying a correction factor to the applied thermal energy to adjust the local stress pattern. 1. A method for improved overlay between two or more chambers , comprising:depositing a material on a substrate;heating a substrate in each chamber using thermal energy;measuring a local stress pattern of each substrate, wherein measuring the local stress pattern measures an amount of change in a depth of the deposited material on the substrate;adjusting the thermal energy provided to each chamber;determining a sensitivity value in response to adjusting the thermal energy provided in each chamber; andapplying a correction factor to the thermal energy provided in each chamber to adjust the local stress pattern between the two or more chambers.2. The method of claim 1 , wherein at least one chamber is a PECVD chamber.3. The method of claim 1 , further comprising shifting a lithography mask to match the local stress pattern in each chamber.4. The method of claim 1 , wherein the measuring a local stress pattern is determined by plotting a plurality of points on a k map.5. The method of claim 4 , wherein the determining a sensitivity value in response to adjusting the thermal energy is determined for each of the plurality of points on the k map.6. The method of claim ...

Подробнее
15-11-2018 дата публикации

Deposition of metal silicide layers on substrates and chamber components

Номер: US20180330951A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure generally relate to methods and apparatus for depositing metal silicide layers on substrates and chamber components. In one embodiment, a method of forming a hardmask includes positioning the substrate having a target layer within a processing chamber, forming a seed layer comprising metal silicide on the target layer and depositing a tungsten-based bulk layer on the seed layer, wherein the metal silicide layer and the tungsten-based bulk layer form the hardmask. In another embodiment, a method of conditioning the components of a plasma processing chamber includes flowing an inert gas comprising argon or helium from a gas applicator into the plasma processing chamber, exposing a substrate support to a plasma within the plasma processing chamber and forming a seasoning layer including metal silicide on an aluminum-based surface of the substrate support.

Подробнее
15-10-2020 дата публикации

ELECTROSTATIC CHUCKING PROCESS

Номер: US20200328063A1
Принадлежит:

One or more embodiments described herein generally relate to methods for chucking and de-chucking a substrate to/from an electrostatic chuck used in a semiconductor processing system. Generally, in embodiments described herein, the method includes: (1) applying a first voltage from a direct current (DC) power source to an electrode disposed within a pedestal; (2) introducing process gases into a process chamber; (3) applying power from a radio frequency (RF) power source to a showerhead; (4) performing a process on the substrate; (5) stopping application of the RF power; (6) removing the process gases from the process chamber; and (7) stopping applying the DC power. 1. A method for processing a substrate within a process chamber , comprising:applying a direct current to an electrode disposed within a pedestal on which the substrate is disposed within the process chamber;flowing one or more process gases into the process chamber subsequent to applying the direct current to the electrode;applying radio frequency (RF) power to a showerhead within the process chamber, subsequent to flowing one or more process gases into the process chamber;processing the substrate subsequent to applying RF power;stopping the application of the RF power subsequent to processing the substrate;removing the one or more process gases from the process chamber subsequent to stopping the application of RF power; andstopping the application of the DC power subsequent to removing the one or more process gases.2. The method of claim 1 , wherein applying the direct current to the electrode further comprises applying a DC voltage of about 300 volts to about 500 volts and applying the RF power to the showerhead further comprises applying power of about 100 watts to about 6000 watts.3. The method of claim 2 , wherein the one or more process gases include helium.4. The method of claim 2 , wherein during the processing the substrate the pressure within the process chamber is about 5 Torr to about 15 ...

Подробнее
15-10-2020 дата публикации

Plasma densification within a processing chamber

Номер: US20200328066A1
Принадлежит: Applied Materials Inc

A system and method for forming a film includes generating a plasma in a processing volume of a processing chamber to form the film on a substrate. The processing chamber may include a gas distributor configured to generate the plasma in the processing volume. Further, a barrier gas is provided into the processing volume to form a gas curtain around a plasma located in the processing volume. The barrier gas is supplied by a gas supply source through an inlet port disposed along a first side of the processing chamber. Further, an exhaust port is disposed along the first side of the processing chamber and the plasma and the barrier gas is purged via the exhaust port.

Подробнее
07-11-2019 дата публикации

SELECTIVE DEPOSITION OF HARDMASK

Номер: US20190341227A1
Принадлежит:

One or more embodiments described herein generally relate to selective deposition of substrates in semiconductor processes. In these embodiments, a precursor is delivered to a process region of a process chamber. A plasma is generated by delivering RF power to an electrode within a substrate support surface of a substrate support disposed in the process region of the process chamber. In embodiments described herein, delivering the RF power at a high power range, such as greater than 4.5 kW, advantageously leads to greater plasma coupling to the electrode, resulting in selective deposition to the substrate, eliminating deposition on other process chamber areas such as the process chamber side walls. As such, less process chamber cleans are necessary, leading to less time between depositions, increasing throughput and making the process more cost-effective. 1. A method of forming a layer on a substrate , comprising:delivering a precursor to a process region of a plasma process chamber; the generated plasma causes an RF current to flow from a second electrode disposed within the substrate support to a first conductor,', 'the RF current then flows from the first conductor to a second conductor and a first capacitor that has a first capacitance,', 'the second conductor and the first capacitor are both coupled in parallel to ground,', 'the first conductor is capacitively coupled to ground through a second capacitance, and, 'generating a plasma comprising the precursor over the substrate positioned on a substrate support surface of a substrate support disposed in the process region of the process chamber by delivering an RF power at a first RF frequency and a magnitude to a first electrode, whereinthe magnitude of the RF power at the first RF frequency is greater than 4.5 kW.2. The method of claim 1 , wherein the first RF frequency is 13.56 MHz or greater claim 1 , and the magnitude of the RF power is greater than 6.5 kW.3. The method of claim 1 , wherein a ratio of a ...

Подробнее
24-12-2015 дата публикации

AMORPHOUS CARBON DEPOSITION PROCESS USING DUAL RF BIAS FREQUENCY APPLICATIONS

Номер: US20150371851A1
Принадлежит:

Methods for forming an amorphous carbon layer with desired film mechanical strength low film stress as well as optical film properties are provided. In one embodiment, a method of forming an amorphous carbon layer includes forming a plasma of a deposition gas mixture including a hydrocarbon gas supplied in a processing chamber by application of a RF source power, applying a low frequency RF bias power and a high frequency RF bias power to a first electrode disposed in the processing chamber, controlling a power ratio of the high frequency to the low frequency RF bias power, and forming an amorphous carbon layer on a substrate disposed in the processing chamber. 1. A method of forming an amorphous carbon layer , comprising:forming a plasma of a deposition gas mixture including a hydrocarbon gas supplied in a processing chamber by application of a RF source power;applying a low frequency RF bias power and a high frequency RF bias power to a first electrode disposed in the processing chamber;controlling a power ratio of the high frequency to the low frequency RF bias power; andforming an amorphous carbon layer on a substrate disposed in the processing chamber.2. The method of claim 1 , wherein forming a plasma of a deposition gas mixture further comprises:applying the RF source power to a second electrode located on an opposite side of the substrate relative to the first electrode.3. The method of claim 1 , wherein the first electrode is disposed in a substrate.4. The method of claim 2 , wherein the second electrode is a showerhead assembly.5. The method of claim 1 , wherein a power ratio of the high frequency to the low frequency RF bias power is controlled between about 1:10 and about 10:1.6. The method of claim 1 , wherein the high frequency RF bias power has a frequency greater than 10 MHz.7. The method of claim 1 , wherein the low frequency RF bias power has a frequency less than 8 MHz.8. The method of claim 1 , wherein the high frequency RF bias power is at ...

Подробнее
21-12-2017 дата публикации

CLEANING PROCESS FOR REMOVING BORON-CARBON RESIDUALS IN PROCESSING CHAMBER AT HIGH TEMPERATURE

Номер: US20170365450A1
Принадлежит:

Embodiments of the invention generally relate to methods for removing a boron-carbon layer from a surface of a processing chamber using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a processing chamber includes positioning the pedestal at a first distance from the showerhead, and exposing a deposited boron-carbon layer to a first plasma process where the first plasma process comprises generating a plasma that comprises water vapor and a first carrier gas by biasing a showerhead that is disposed over a pedestal, and positioning the pedestal at a second distance from the showerhead and exposing the deposited boron-carbon layer to a second plasma process where the second plasma process comprises generating a plasma that comprises water vapor and a second carrier gas by biasing the showerhead and biasing a side electrode relative to the showerhead. 1. A method for removing a boron-carbon layer from a surface of a processing chamber , comprising: positioning a pedestal at a first distance from the showerhead;', 'generating a plasma that comprises water vapor and a first carrier gas by biasing a showerhead that is disposed over the positioned pedestal; and, 'exposing a deposited boron-carbon layer to a first plasma process, wherein the first plasma process comprises positioning the pedestal at a second distance from the showerhead; and', 'generating a plasma that comprises water vapor and a second carrier gas by biasing the showerhead and biasing a side electrode relative to the showerhead., 'exposing the deposited boron-carbon layer to a second plasma process, wherein the second plasma process comprises2. The method of claim 1 , further comprising:exposing the deposited boron-carbon layer to a third plasma process, wherein the third plasma process comprises positioning the pedestal at a third distance from the showerhead and generating a plasma that comprises water vapor and a third carrier gas by biasing the showerhead and biasing ...

Подробнее
21-11-2019 дата публикации

HIGH TEMPERATURE ELECTROSTATIC CHUCKING WITH DIELECTRIC CONSTANT ENGINEERED IN-SITU CHARGE TRAP MATERIALS

Номер: US20190355609A1
Принадлежит:

Techniques are disclosed for methods and apparatuses for increasing the breakdown voltage while substantially reducing the voltage leakage of an electrostatic chuck at temperatures exceeding about 300 degrees Celsius in a processing chamber. 1. A method for processing a substrate comprising:depositing a silicon-based material on a substrate positioned on a substrate support assembly in a vacuum chamber;removing the substrate from the vacuum chamber; anddepositing about 100 Å to 3000 Å of a silicon and carbon containing material on the substrate support assembly, the silicon and carbon containing material having a carbon content of less than about 5% by weight.2. The method of claim 1 , wherein the silicon-based material is deposited using at least one of a chemical vapor deposition process claim 1 , a spray process claim 1 , a dipping process claim 1 , or a thermal process.3. The method of claim 1 , wherein depositing the silicon and carbon containing material comprises performing a carbon cleaning operation.4. The method of claim 3 , further comprising performing the carbon cleaning operation after depositing the silicon-based material and removing the substrate from the substrate support assembly.5. The method of claim 3 , wherein performing the carbon cleaning operation comprises pumping out the vacuum chamber to remove residual process gases.6. The method of claim 3 , further comprising depositing a second layer of silicon and carbon containing material on the substrate support assembly to compensate for silicon and carbon containing material being removed during the carbon cleaning operation.7. The method of claim 1 , wherein depositing the silicon and carbon containing material comprises performing a plurality of carbon cleaning operations.8. The method of claim 1 , wherein the silicon and carbon containing material is deposited during one or more plasma processing operations.9. A method for processing a substrate in a vacuum processing chamber claim 1 , the ...

Подробнее
12-11-2020 дата публикации

Highly etch selective amorphous carbon film

Номер: US20200357640A1
Принадлежит: Applied Materials Inc

Methods and techniques for deposition of amorphous carbon films on a substrate are provided. In one example, the method includes depositing an amorphous carbon film on an underlayer positioned on a susceptor in a first processing region. The method further includes implanting a dopant or the inert species into the amorphous carbon film in a second processing region. The implant species, energy, dose & temperature in some combination may be used to enhance the hardmask hardness. The method further includes patterning the doped amorphous carbon film. The method further includes etching the underlayer.

Подробнее
12-11-2020 дата публикации

DEPOSITION OF METAL SILICIDE LAYERS ON SUBSTRATES AND CHAMBER COMPONENTS

Номер: US20200357643A1
Принадлежит:

Embodiments of the present disclosure generally relate to methods and apparatus for depositing metal silicide layers on substrates and chamber components. In one embodiment, a method of forming a hardmask includes positioning the substrate having a target layer within a processing chamber, forming a seed layer comprising metal silicide on the target layer and depositing a tungsten-based bulk layer on the seed layer, wherein the metal silicide layer and the tungsten-based bulk layer form the hardmask. In another embodiment, a method of conditioning the components of a plasma processing chamber includes flowing an inert gas comprising argon or helium from a gas applicator into the plasma processing chamber, exposing a substrate support to a plasma within the plasma processing chamber and forming a seasoning layer including metal silicide on an aluminum-based surface of the substrate support. 1. A method of conditioning a component of a plasma processing chamber , comprising:flowing an inert gas from a gas applicator into the plasma processing chamber, the inert gas comprising argon or helium;exposing a substrate support to a plasma within the plasma processing chamber; andforming a seasoning layer comprising a metal silicide on an aluminum-based surface of the component.2. The method of claim 1 , wherein a thickness of the seasoning layer is between about 10 nm to about 10000 nm.3. The method of claim 1 , wherein forming the seasoning layer comprising the metal silicide comprises:(A) flowing a metal hexafluoride mixed with argon from the gas applicator into the plasma processing chamber for a first time interval;(B) removing the metal hexafluoride mixed with the argon from the plasma processing chamber for a second time interval;(C) flowing silane mixed with helium from the gas applicator into the plasma processing chamber for a third time interval;(D) removing the silane mixed with the helium from the plasma processing chamber for a fourth time interval, the first, ...

Подробнее
19-11-2020 дата публикации

Methods of reducing chamber residues

Номер: US20200362457A1
Принадлежит: Applied Materials Inc

The present disclosure relates to systems and methods for reducing the formation of hardware residue and minimizing secondary plasma formation during substrate processing in a process chamber. The process chamber may include a gas distribution member configured to flow a first gas into a process volume and generate a plasma therefrom. A second gas is supplied into a lower region of the process volume. Further, an exhaust port is disposed in the lower region to remove excess gases or by-products from the process volume during or after processing.

Подробнее
05-12-2019 дата публикации

Loadlock integrated bevel etcher system

Номер: US20190371630A1
Принадлежит: Applied Materials Inc

Implementations disclosed herein describe a bevel etch apparatus within a loadlock bevel etch chamber and methods of using the same. The bevel etch apparatus has a mask assembly within the loadlock bevel etch chamber. During an etch process, the mask assembly delivers a gas flow to control bevel etch without the use of a shadow frame. As such, the edge exclusion at the bevel edge can be reduced, thus increasing product yield.

Подробнее
15-10-2013 дата публикации

System and method for electric load recognition from centrally monitored power signal and its application to home energy management

Номер: US8560134B1
Автор: Kwangduk Douglas Lee
Принадлежит: Kwangduk Douglas Lee

A method and apparatus are provided for a home energy management platform. The platform includes using a whole house power sensor or subset thereof. Data from the power sensor are analyzed using advanced statistical and machine learning techniques for extracting detailed usage information and generating specific energy saving measures, among other relevant information. In an embodiment, a gateway console is provided that has various communication capabilities. The gateway console may communicate with and control HAN devices. The gateway console may collect data from the power sensor as well as HAN devices and upload such collected data to servers for the analysis processing. Certain amounts of data processing and analysis may be performed at a server or at the local level, such as at the power sensor, gateway, or other HAN device, as well. The platform may include a user interface, such as web, mobile, email, mail, phone call, etc.

Подробнее
03-05-2022 дата публикации

Nitrogen-doped carbon hardmask films

Номер: US11322352B2
Принадлежит: Applied Materials Inc

Disclosed herein is a method and apparatus for forming carbon hard masks to improve deposition uniformity and etch selectivity. The carbon hard mask may be formed in a PECVD process chamber and is a nitrogen-doped carbon hardmask. The nitrogen-doped carbon hardmask is formed using a nitrogen containing gas, an argon containing gas, and a hydrocarbon gas.

Подробнее
06-04-2021 дата публикации

Ultra-high modulus and etch selectivity boron carbon hardmask films

Номер: US10971364B2
Принадлежит: Applied Materials Inc

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-carbon films on a substrate. In one implementation, a method of processing a substrate is provided. The method comprises flowing a hydrocarbon-containing gas mixture into a processing volume of a processing chamber having a substrate positioned therein, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius, flowing a boron-containing gas mixture into the processing volume and generating an RF plasma in the processing volume to deposit a boron-carbon film on the heated substrate, wherein the boron-carbon film has an elastic modulus of from about 200 to about 400 GPa and a stress from about −100 MPa to about 100 MPa.

Подробнее
12-05-2023 дата публикации

Deposition of metal silicide layers on substrates and chamber components

Номер: JP2023065378A
Принадлежит: Applied Materials Inc

【課題】厚いタングステン系ハードマスク層を基板上に形成することを可能にする処理チャンバ、および処理チャンバの部品を調整する方法を提供する。【解決手段】処理チャンバは、内部に画定されたチャンバ領域120を有するチャンバ本体102と、チャンバ領域内に配置された基板支持体128と、チャンバ本体に連結されたリッド104と、リッドを通してチャンバ領域に流体接続されたガスアプリケータ140とを含む。ガスアプリケータは、管状本体と、管状本体を通して形成され、チャンバ領域に流体連結された通路と、第1の交差孔と、管状本体を通して形成された第2の交差孔とを含む。第1の交差孔は、通路の中へ第1のガスを送るように構成されている。第2の交差孔は、管状本体の中心線に対して第1の交差孔の下に軸方向に間隔を置いて配置され、通路の中へ第2のガスを送るように構成されている。【選択図】図1

Подробнее
15-11-2018 дата публикации

Deposition of metal silicide layers on substrates and chamber components

Номер: WO2018209200A2
Принадлежит: Applied Materials, Inc.

Embodiments of the present disclosure generally relate to methods and apparatus for depositing metal silicide layers on substrates and chamber components. In one embodiment, a method of forming a hardmask includes positioning the substrate having a target layer within a processing chamber, forming a seed layer comprising metal silicide on the target layer and depositing a tungsten-based bulk layer on the seed layer, wherein the metal silicide layer and the tungsten-based bulk layer form the hardmask. In another embodiment, a method of conditioning the components of a plasma processing chamber includes flowing an inert gas comprising argon or helium from a gas applicator into the plasma processing chamber, exposing a substrate support to a plasma within the plasma processing chamber and forming a seasoning layer including metal silicide on an aluminum-based surface of the substrate support.

Подробнее
09-04-2009 дата публикации

Methods for high temperature deposition of an amorphous carbon layer

Номер: US20090093128A1
Принадлежит: Applied Materials Inc

Methods for high temperature deposition an amorphous carbon film with improved step coverage are provided. In one embodiment, a method for of depositing an amorphous carbon film includes providing a substrate in a process chamber, heating the substrate at a temperature greater than 500 degrees Celsius, supplying a gas mixture comprising a hydrocarbon compound and an inert gas into the process chamber containing the heated substrate, and depositing an amorphous carbon film on the heated substrate having a stress of between 100 mega-pascal (MPa) tensile and about 100 mega-pascal (MPa) compressive.

Подробнее
31-10-2013 дата публикации

Compensating concentration uncertainity

Номер: WO2013163132A1
Принадлежит: Applied Materials, Inc.

Methods and apparatus for depositing uniform boron-containing films are disclosed. A first precursor is delivered to a chamber through a first pathway having a first flow controller and a composition sensor. A second precursor is delivered by a second pathway, including a second flow controller, to a mixing point fluidly coupling the first and second pathways. A controller is coupled to the vibration sensor and the first and second flow controllers. The first precursor may be a mixture of diborane and a diluent gas, and the second precursor is typically a diluent gas. The flow rate of the first precursor may be set by determining a concentration of diborane in the first precursor from the composition sensor reading, and setting the flow rate to maintain a desired flow rate of diborane. The flow rate of the second precursor may be set to maintain a desired flow to the chamber.

Подробнее
17-12-2019 дата публикации

Methods of dry stripping boron-carbon films

Номер: US10510518B2
Принадлежит: Applied Materials Inc

Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NF 3 to remove from the surface of the boron-carbon film any carbon-based polymers generated during a substrate etching process.

Подробнее
01-12-2022 дата публикации

Treatment for high-temperature cleans

Номер: US20220384161A1
Принадлежит: Applied Materials Inc

Exemplary methods of treating a chamber may include delivering a cleaning precursor to a remote plasma unit. The methods may include forming a plasma of the cleaning precursor. The methods may include delivering plasma effluents of the cleaning precursor to a processing region of a semiconductor processing chamber. The processing region may be defined by one or more chamber components. The one or more chamber components may include an oxide coating. The methods may include halting delivery of the plasma effluents. The methods may include treating the oxide coating with a hydrogen-containing material delivered to the processing region subsequent halting delivery of the plasma effluents.

Подробнее
10-12-2019 дата публикации

Thick tungsten hardmask films deposition on high compressive/tensile bow wafers

Номер: US10504727B2
Принадлежит: Applied Materials Inc

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of thick hardmask films on a substrate. In one implementation, a method of forming a hardmask layer on a substrate is provided. The method comprises applying a chucking voltage to a substrate positioned on an electrostatic chuck in a processing chamber, forming a seed layer comprising boron on a film stack disposed on a substrate by supplying a seed layer gas mixture in the processing chamber while maintaining the chucking voltage, forming a transition layer comprising boron and tungsten on the seed layer by supplying a transition layer gas mixture in the processing chamber and forming a bulk hardmask layer on the transition layer by supplying a main deposition gas mixture in the processing chamber.

Подробнее
03-03-2020 дата публикации

Plasma processing using multiple radio frequency power feeds for improved uniformity

Номер: US10580623B2
Принадлежит: Applied Materials Inc

A system for modifying the uniformity pattern of a thin film deposited in a plasma processing chamber includes a single radio-frequency (RF) power source that is coupled to multiple points on the discharge electrode of the plasma processing chamber. Positioning of the multiple coupling points, a power distribution between the multiple coupling points, or a combination of both are selected to at least partially compensate for a consistent non-uniformity pattern of thin films produced by the chamber. The power distribution between the multiple coupling points may be produced by an appropriate RF phase difference between the RF power applied at each of the multiple coupling points.

Подробнее
29-06-2023 дата публикации

Pedestal for substrate processing chambers

Номер: US20230203659A1
Принадлежит: Applied Materials Inc

Aspects of the present disclosure relate generally to pedestals, components thereof, and methods of using the same for substrate processing chambers. In one implementation, a pedestal for disposition in a substrate processing chamber includes a body. The body includes a support surface. The body also includes a stepped surface that protrudes upwards from the support surface. The stepped surface is disposed about the support surface to surround the support surface. The stepped surface defines an edge ring such that the edge ring is integrated with the pedestal to form the body that is monolithic. The pedestal also includes an electrode disposed in the body, and one or more heaters disposed in the body.

Подробнее
22-03-2018 дата публикации

Thick tungsten hardmask films deposition on high compressive/tensile bow wafers

Номер: WO2018052759A1
Принадлежит: Applied Materials, Inc.

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of thick hardmask films on a substrate. In one implementation, a method of forming a hardmask layer on a substrate is provided. The method comprises applying a chucking voltage to a substrate positioned on an electrostatic chuck in a processing chamber, forming a seed layer comprising boron on a film stack disposed on a substrate by supplying a seed layer gas mixture in the processing chamber while maintaining the chucking voltage, forming a transition layer comprising boron and tungsten on the seed layer by supplying a transition layer gas mixture in the processing chamber and forming a bulk hardmask layer on the transition layer by supplying a main deposition gas mixture in the processing chamber.

Подробнее
22-10-2020 дата публикации

Electrostatic chucking process

Номер: WO2020214607A1
Принадлежит: Applied Materials, Inc.

One or more embodiments described herein generally relate to methods for chucking and de-chucking a substrate to/from an electrostatic chuck used in a semiconductor processing system. Generally, in embodiments described herein, the method includes: (1) applying a first voltage from a direct current (DC) power source to an electrode disposed within a pedestal; (2) introducing process gases into a process chamber; (3) applying power from a radio frequency (RF) power source to a showerhead; (4) performing a process on the substrate; (5) stopping application of the RF power; (6) removing the process gases from the process chamber; and (7) stopping applying the DC power.

Подробнее
01-03-2012 дата публикации

Ultra high selectivity ashable hard mask film

Номер: WO2011146212A3
Принадлежит: Applied Materials, Inc.

A method of forming an amorphous carbon layer on a substrate in a substrate processing chamber, includes introducing a hydrocarbon source into the processing chamber, introducing argon, alone or in combination with helium, hydrogen, nitrogen, and combinations thereof, into the processing chamber, wherein the argon has a volumetric flow rate to hydrocarbon source volumetric flow rate ratio of about 10:1 to about 20:1, generating a plasma in the processing chamber at a substantially lower pressure of about 2 Torr to 10 Torr, and forming a conformal amorphous carbon layer on the substrate.

Подробнее
16-05-2013 дата публикации

Methods of removing a material layer from a substrate using water vapor treatment

Номер: WO2013070570A1
Принадлежит: Applied Materials, Inc.

Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having different material layers disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate into a processing chamber, the substrate having a dielectric layer disposed thereon forming openings on the substrate, exposing the dielectric layer disposed on the substrate to water vapor supplied into the chamber to form a plasma in the water vapor, maintaining a process pressure in the chamber at between about 1 Torr and about 120 Torr, and cleaning the contact structure formed on the substrate.

Подробнее
05-10-2023 дата публикации

Ultra-high modulus and etch selectivity boron-carbon hardmask films

Номер: US20230317455A1
Принадлежит: Applied Materials Inc

Implementations of the present disclosure generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of boron-carbon films on a substrate. In one implementation, a method of processing a substrate is provided. The method comprises flowing a hydrocarbon-containing gas mixture into a processing volume of a processing chamber having a substrate positioned therein, wherein the substrate is heated to a substrate temperature from about 400 degrees Celsius to about 700 degrees Celsius, flowing a boron-containing gas mixture into the processing volume and generating an RF plasma in the processing volume to deposit a boron-carbon film on the heated substrate, wherein the boron-carbon film has an elastic modulus of from about 200 to about 400 GPa and a stress from about −100 MPa to about 100 MPa.

Подробнее
02-02-2023 дата публикации

Highly etch selective amorphous carbon film

Номер: US20230029929A1
Принадлежит: Applied Materials Inc

Methods and techniques for deposition of amorphous carbon films on a substrate are provided. In one example, the method includes depositing an amorphous carbon film on an underlayer positioned on a susceptor in a first processing region. The method further includes implanting a dopant or the inert species into the amorphous carbon film in a second processing region. The implant species, energy, dose & temperature in some combination may be used to enhance the hardmask hardness. The method further includes patterning the doped amorphous carbon film. The method further includes etching the underlayer.

Подробнее
03-06-2021 дата публикации

Dual rf for controllable film deposition

Номер: WO2021108246A1
Принадлежит: Applied Materials, Inc.

A plasma processing system is described. The system may include a showerhead. The system may further include a first RF generator in electrical communication with the showerhead. The first RF generator may be configured to deliver a first voltage at a first frequency to the showerhead. Additionally, the system may include a second RF generator in electrical communication with a pedestal. The second RF generator may be configured to deliver a second voltage at a second frequency to the pedestal. The second frequency may be less than the first frequency. The system may also include a terminator in electrical communication with the showerhead. The terminator may provide a path to ground for the second voltage. Methods of depositing material using the plasma processing system are described. A method of seasoning a chamber by depositing silicon oxide and silicon nitride on the wall of the chamber is also described.

Подробнее
31-08-2023 дата публикации

Method of in situ ceramic coating deposition

Номер: US20230272525A1
Принадлежит: Applied Materials Inc

The present disclosure relates to a method for in situ seasoning of process chamber components, such as electrodes. The method includes depositing a silicon oxide film over the process chamber component and converting the silicon oxide film to a silicon-carbon-containing film. The silicon-carbon-containing film forms a protective film over the process chamber components and is resistant to plasma processing and/or dry etch cleaning. The coatings has high density, good emissivity control, and reduces risk of device property drift.

Подробнее
01-12-2011 дата публикации

Planarizing etch hardmask to increase pattern density and aspect ratio

Номер: WO2011149616A2
Принадлежит: Applied Materials, Inc.

Methods for manufacturing a semiconductor device in a processing chamber are provided. In one embodiment, a method includes depositing over a substrate a first base material having a first set of interconnect features, filling an upper portion of the first set of interconnect features with an ashable material, planarizing an upper surface of the first base material such that an upper surface of the ashable material filled in the first set of interconnect features provide a substantial outer planar surface, depositing a film stack comprising a second base material on the substantial planar outer surface, forming a second set of interconnect features in the second base material, wherein the second set of interconnect features are aligned with the first set of interconnect features, and removing the ashable material from the first base material to connect the second set of interconnect features to the first set of interconnect features.

Подробнее
08-11-2023 дата публикации

エッチング選択性の高いアモルファスカーボン膜

Номер: JP2023162196A
Принадлежит: Applied Materials Inc

【課題】基板上にアモルファスカーボン膜を堆積するための技術を提供する。【解決手段】アモルファスカーボン膜を形成する方法は、第1の処理領域内のサセプタ上に配置された下層の上にアモルファスカーボン膜を堆積することを含む。この方法は、第2の処理領域において、ドーパント又は不活性種をアモルファスカーボン膜に注入することを更に含む。ドーパント又は不活性種は、炭素、ホウ素、窒素、ケイ素、リン、アルゴン、ヘリウム、ネオン、クリプトン、キセノン又はこれらの組み合わせから選択される。この方法は、ドープされたアモルファスカーボン膜をパターニングすることを更に含む。本方法は、下層をエッチングすることを更に含む。【選択図】図4

Подробнее
28-04-2022 дата публикации

Processing chamber deposition confinement

Номер: WO2022086874A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing systems may include a chamber body including sidewalls and a base. The system may include a substrate support extending through the base of the chamber body. The chamber body may define an access circumferentially extending about the substrate support at the base of the chamber body. The system may include one or more isolators disposed within the chamber body. The one or more isolators may define an exhaust path between the one or more isolators and the chamber body. The exhaust path may extend to the base of the chamber body. The systems may include a fluid source fluidly coupled with the chamber body at the access extending about the substrate support.

Подробнее
13-08-2020 дата публикации

Method and tool for electrostatic chucking

Номер: WO2020163073A1
Принадлежит: Applied Materials, Inc.

Embodiments described herein relate to methods and tools for monitoring electrostatic chucking performance. A performance test is performed that requires only one bowed substrate and one reference substrate. To run the test, the reference substrate is positioned on an electrostatic chuck in a process chamber and the bowed substrate is positioned on the reference substrate. A voltage is applied from a power source to the electrostatic chuck, generating an electrostatic chucking force to secure the bowed substrate to the reference substrate. Thereafter, the applied voltage is decreased incrementally until the electrostatic chucking force is too weak to maintain the bowed substrate in flat form, resulting in dechucking of the bowed wafer. By monitoring the impedance of the chamber during deposition using a sensor, the dechucking threshold voltage can be identified at the point where the impedance of the reference substrate and the impedance of the bowed substrate deviates.

Подробнее
28-04-2022 дата публикации

Multi-pressure bipolar electrostatic chucking

Номер: WO2022087573A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing systems include a processing chamber, a power supply, and a chuck disposed at least partially within the processing chamber. The chuck includes a chuck body defining a vacuum port. The chuck also includes first and second coplanar electrodes embedded in the chuck body and connected to the power supply. In some examples, coplanar electrodes include concentric electrodes defining a concentric gap in between. Exemplary semiconductor processing methods may include activating the power supply for the electrostatic chuck to secure a semiconductor substrate on the body of the chuck and/or activating the vacuum port defined by the body of the electrostatic chuck. Some processing can be carried out at increased pressure, while other processing can be carried out at reduced pressure with increased cucking voltage.

Подробнее
04-06-2024 дата публикации

Pedestal for substrate processing chambers

Номер: US12000048B2
Принадлежит: Applied Materials Inc

Aspects of the present disclosure relate generally to pedestals, components thereof, and methods of using the same for substrate processing chambers. In one implementation, a pedestal for disposition in a substrate processing chamber includes a body. The body includes a support surface. The body also includes a stepped surface that protrudes upwards from the support surface. The stepped surface is disposed about the support surface to surround the support surface. The stepped surface defines an edge ring such that the edge ring is integrated with the pedestal to form the body that is monolithic. The pedestal also includes an electrode disposed in the body, and one or more heaters disposed in the body.

Подробнее
02-07-2024 дата публикации

Reduced hydrogen deposition processes

Номер: US12027366B2
Принадлежит: Applied Materials Inc

Exemplary methods of semiconductor processing may include treating a surface of a substrate with a hydrogen-containing precursor. The substrate may be disposed within a processing region of a semiconductor processing chamber. The methods may include contacting the substrate with a tungsten-containing precursor. The methods may include forming an initiation layer comprising tungsten on the substrate. The methods may include treating the initiation layer with a hydrogen-containing precursor. The methods may include forming a plasma of the tungsten-containing precursor and a carbon-containing precursor. Hydrogen in the plasma may be limited to hydrogen included in the carbon-containing precursor. The methods may include forming a tungsten-containing hardmask layer on the initiation layer.

Подробнее
18-05-2023 дата публикации

Methods of reducing chamber residues

Номер: US20230151487A1
Принадлежит: Applied Materials Inc

The present disclosure relates to systems and methods for reducing the formation of hardware residue and minimizing secondary plasma formation during substrate processing in a process chamber. The process chamber may include a gas distribution member configured to flow a first gas into a process volume and generate a plasma therefrom. A second gas is supplied into a lower region of the process volume. Further, an exhaust port is disposed in the lower region to remove excess gases or by-products from the process volume during or after processing.

Подробнее
18-06-2024 дата публикации

Highly etch selective amorphous carbon film

Номер: US12014927B2
Принадлежит: Applied Materials Inc

Methods and techniques for deposition of amorphous carbon films on a substrate are provided. In one example, the method includes depositing an amorphous carbon film on an underlayer positioned on a susceptor in a first processing region. The method further includes implanting a dopant or the inert species into the amorphous carbon film in a second processing region. The implant species, energy, dose & temperature in some combination may be used to enhance the hardmask hardness. The method further includes patterning the doped amorphous carbon film. The method further includes etching the underlayer.

Подробнее
13-06-2024 дата публикации

Design, control, and optimization of photosensitivity modulation along photoresist film depth

Номер: US20240194484A1
Принадлежит: Applied Materials Inc

Embodiments disclosed herein include a method for forming a photoresist stack. In an embodiment, the method comprises forming a first photoresist layer over a substrate, where the first photoresist layer is formed with a first dry deposition process, and forming a second photoresist layer over the first photoresist layer, where the second photoresist layer is formed with a second dry deposition process that is different than the first deposition process.

Подробнее
16-07-2024 дата публикации

Multi-pressure bipolar electrostatic chucking

Номер: US12040210B2
Принадлежит: Applied Materials Inc

Exemplary semiconductor processing systems include a processing chamber, a power supply, and a chuck disposed at least partially within the processing chamber. The chuck includes a chuck body defining a vacuum port. The chuck also includes first and second coplanar electrodes embedded in the chuck body and connected to the power supply. In some examples, coplanar electrodes include concentric electrodes defining a concentric gap in between. Exemplary semiconductor processing methods may include activating the power supply for the electrostatic chuck to secure a semiconductor substrate on the body of the chuck and/or activating the vacuum port defined by the body of the electrostatic chuck. Some processing can be carried out at increased pressure, while other processing can be carried out at reduced pressure with increased chucking voltage.

Подробнее
05-10-2023 дата публикации

Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers

Номер: US20230317463A1
Принадлежит: Applied Materials Inc

Aspects generally relate to methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers. In one aspect, film stress is altered while facilitating enhanced etch selectivity. In one implementation, a method of processing a substrate includes depositing one or more amorphous carbon hardmask layers onto the substrate, and conducting a rapid thermal anneal operation on the substrate after depositing the one or more amorphous carbon hardmask layers. The rapid thermal anneal operation lasts for an anneal time that is 60 seconds or less. The rapid thermal anneal operation includes heating the substrate to an anneal temperature that is within a range of 600 degrees Celsius to 1,000 degrees Celsius. The method includes etching the substrate after conducting the rapid thermal anneal operation.

Подробнее