Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 787. Отображено 199.
02-05-2013 дата публикации

MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen

Номер: DE112011102135T5
Принадлежит: IBM, INTERNATIONAL BUSINESS MACHINES CORP.

Es werden Strukturen mikroelektromechanischer Systeme (MEMS) mit planarem Hohlraum, Herstellungsverfahren und Design-Strukturen bereitgestellt. Das Verfahren weist das Bilden mindestens eines Hohlraums (60a, 60b) eines mikroelektromechanischen Systems (MEMS), welcher eine planare Fläche aufweist, unter Anwendung eines reversen Damaszener-Verfahrens auf.

Подробнее
11-05-2011 дата публикации

A stress relief interlayer for functional coatings on micromechanical devices

Номер: GB0201105100D0
Автор:
Принадлежит:

Подробнее
31-07-2004 дата публикации

DIFFERENTIAL STRESS REDUCTION IN THIN FILMS

Номер: KR20040068566A
Автор: SILVERBROOK KIA
Принадлежит:

A crystalline thin film structure formed by the deposition of a predominant first crystalline material in two or more layers interleaved by layers of a second crystalline material having a lattice constant that differs from the lattice constant of the predominant first crystalline material in order to disrupt the growth of columnar crystals in the predominant first crystalline material in order to reduce the differential stress profile through the thickness of the film structure relative to the differential stress profile of a crystalline thin film structure formed solely from the predominant first crystalline material. © KIPO & WIPO 2007 ...

Подробнее
22-04-2013 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: KR1020130039733A
Автор:
Принадлежит:

Подробнее
08-03-2016 дата публикации

SEMICONDUCTOR DEVICE AND FORMING METHOD THEREOF

Номер: KR1020160025546A
Принадлежит:

A forming method of a semiconductor device, according to an embodiment of the present invention, comprises a step of a sacrifice layer on a first surface of a workpiece having the first surface and a second surface opposite to the first surface. A membrane is formed on the sacrifice layer. A through hole penetrating from the second surface to the workpiece is etched to expose the surface of the sacrifice layer to the outside. At least a part of the sacrifice layer is removed from the second surface to form a cavity under the membrane. The cavity is aligned in accordance with the membrane. COPYRIGHT KIPO 2016 ...

Подробнее
19-06-2008 дата публикации

MEMS DEVICE WITH CONTROLLED ELECTRODE OFF-STATE POSITION

Номер: WO000002008072163A3
Принадлежит:

The present invention relates to MEMS device that comprises a first electrode, and a second electrode suspended with a distance to the first electrode with the aid of a suspension structure. The MEMS device further comprises at least one deformation electrode. The second electrode or the suspension structure or both are plastically deformable upon application of an electrostatic deformation force via the deformation electrode. This way, variations in the off- state position of the second electrode that occur during fabrication of different devices or during operation of a single device can be eliminated.

Подробнее
04-10-2012 дата публикации

STRESS RELIEVED MICROFABRICATED CANTILEVER

Номер: WO2012131360A3
Принадлежит:

A micromechanical device has a functional layer. One or more layers are provided between the functional layer and the micromechanical device to provide stress relief.

Подробнее
05-08-2010 дата публикации

MICROMECHANICAL STRUCTURE AND A METHOD OF FABRICATING A MICROMECHANICAL STRUCTURE

Номер: US20100193781A1

A micromechanical structure and a method of fabricating a micromechanical structure are provided. The micromechanical structure comprises a silicon (Si) based substrate; a micromechanical element formed directly on the substrate; and an undercut formed underneath a released portion of the micromechanical element; wherein the undercut is in the form of a recess formed in the Si based substrate.

Подробнее
06-09-2007 дата публикации

Methods for producing MEMS with protective coatings using multi-component sacrificial layers

Номер: US20070206267A1
Автор: Ming-Hau Tung, Lior Kogut
Принадлежит:

Methods of forming a protective coating on one or more surfaces of a microelectromechanical device are disclosed comprising the steps of forming a composite layer of a sacrificial material and a protective material, and selectively etching the sacrificial material to form a protective coating. The protective coatings of the invention preferably improve one or more aspects of the performance of the microelectromechanical devices in which they are incorporated. Also disclosed are microelectromechanical devices formed by methods of the invention, and visual display devices incorporating such devices.

Подробнее
07-07-2011 дата публикации

METHOD FOR MANUFACTURING SEPARATED MICROMECHANICAL COMPONENTS SITUATED ON A SILICON SUBSTRATE AND COMPONENTS MANUFACTURED THEREFROM

Номер: US20110163398A1
Принадлежит:

A method for manufacturing separated micromechanical components situated on a silicon substrate includes the following steps of a) providing separation trenches on the substrate via an anisotropic plasma deep etching method, b) irradiating the area of the silicon substrate which forms the base of the separation trenches using laser light, the silicon substrate being converted from a crystalline state into an at least partially amorphous state by the irradiation in this area, and c) inducing mechanical stresses in the substrate. In one specific embodiment, cavities are etched simultaneously with the etching of the separation trenches. The etching depths can be controlled via the RIE lag effect.

Подробнее
08-06-2017 дата публикации

Zusätzliche Fläche zur Stabilisierung des Kaverneninnendrucks über Lebenszeit

Номер: DE102015224523A1
Принадлежит:

Es wird ein Verfahren zum Herstellen eines mikromechanischen Bauelements mit einem Substrat und mit einer mit dem Substrat verbundenen und mit dem Substrat eine erste Kaverne umschließenden Kappe vorgeschlagen, wobei in der ersten Kaverne ein erster Druck herrscht und ein erstes Gasgemisch mit einer ersten chemischen Zusammensetzung eingeschlossen ist, wobei – in einem ersten Verfahrensschritt eine die erste Kaverne mit einer Umgebung des mikromechanischen Bauelements verbindende Zugangsöffnung in dem Substrat oder in der Kappe ausgebildet wird, wobei – in einem zweiten Verfahrensschritt der erste Druck und/oder die erste chemische Zusammensetzung in der ersten Kaverne eingestellt wird, wobei – in einem dritten Verfahrensschritt die Zugangsöffnung durch Einbringen von Energie oder Wärme in einen absorbierenden Teil des Substrats oder der Kappe mithilfe eines Lasers verschlossen wird, wobei in einem vierten Verfahrensschritt ein Getter-Material zum weiteren Einstellen des ersten Drucks und ...

Подробнее
26-11-2015 дата публикации

Mikroelektromechanisches System

Номер: DE112011102124B4

Verfahren zum Ausbilden eines Arms eines mikroelektromechanischen Systems (MEMS), aufweisend: Ausbilden einer unteren Elektrode (38) auf einer Opferschicht (18); Ausbilden einer Isolatorschicht (40) auf der unteren Elektrode (38); und Ausbilden einer oberen Elektrode (44) über dem Isolatormaterial (40) auf der Oberseite der unteren Elektrode (38), wobei die obere Elektrode (44) zumindest teilweise mit der unteren Elektrode (38) in Kontakt steht, wobei die untere Elektrode (38) und die obere Elektrode (44) aus demselben Material ausgebildet werden. wobei das Ausbilden der unteren Elektrode und der oberen Elektrode ein Ausgleichen eines Metallvolumens der unteren Elektrode und der oberen Elektrode derart aufweist, dass die untere Elektrode (38) eine um einen Prozentsatz geringere Fläche als die obere Elektrode (44) aufweist und die Dicke der unteren Elektrode um einen Prozentsatz erhöht wird, um das Metallvolumen der Elektroden auszugleichen, sodass die Metallvolumina der unteren Elektrode ...

Подробнее
13-03-2013 дата публикации

Planar cavity mems and related structures, methods of manufacture and design structures

Номер: GB0002494600A
Принадлежит:

Planar cavity Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structure are provided. The method includes forming at least one Micro-Electro-Mechanical System (MEMS) cavity (60a, 60b) having a planar surface using a reverse damascene process.

Подробнее
10-05-2017 дата публикации

Thin film material transfer method

Номер: GB0201704950D0
Автор:
Принадлежит:

Подробнее
07-06-2017 дата публикации

A method and apparatus for controlling stress variation in a material layer formed via pulsed DC physical vapour deposition

Номер: GB0201706284D0
Автор:
Принадлежит:

Подробнее
22-06-2011 дата публикации

Semiconductor structure

Номер: GB0201107574D0
Автор:
Принадлежит:

Подробнее
15-08-2007 дата публикации

DIFFERENTIAL TENSION REDUCTION IN THIN FILMS

Номер: AT0000369312T
Принадлежит:

Подробнее
05-06-2003 дата публикации

DIFFERENTIAL STRESS REDUCTION IN THIN FILMS

Номер: CA0002467174A1
Автор: SILVERBROOK, KIA
Принадлежит:

A crystalline thin film structure formed by the deposition of a predominant first crystalline material in two or more layers interleaved by layers of a second crystalline material having a lattice constant that differs from the lattice constant of the predominant first crystalline material in order to disrupt the growth of columnar crystals in the predominant first crystalline material in order to reduce the differential stress profile through the thickness of the film structure relative to the differential stress profile of a crystalline thin film structure formed solely from the predominant first crystalline material.

Подробнее
29-12-2011 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM

Номер: CA0002787130A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
08-06-2017 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20170158490A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
20-01-2005 дата публикации

Method of fabrication of an infrared radiation detector and infrared detector device

Номер: US2005012040A1
Автор:
Принадлежит:

A method of fabricating an infrared detector, a method of controlling the stress in a polycrystalline SiGE layer and an infrared detector device is disclosed. The method of fabricating includes the steps of forming a sacrificial layer on a substrate; patterning said sacrificial layer; establishing a layer consisting essentially of polycrystalline SiGe on said sacrificial layer; depositing an infrared absorber on said polycrystalline SiGe layer; and thereafter removing the sacrificial layer. The method of controlling the stress in a polycrystalline SiGe layer deposited on a substrate is based on varying the deposition pressure. The infrared detector device comprises an active area and an infrared absorber, wherein the active area comprises a polycrystalline SiGe layer, and is suspended above a substrate.

Подробнее
06-03-2013 дата публикации

Micro-electro-mechanical system structures

Номер: GB0002494355A
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System(MEMS) cavity includes forming a first sacrificial cavity layer over a lower wiring layer. The method further includes forming a layer. The method further includes forming a second sacrificial cavity layer over the first sacrificial layer and in contact with the layer. The method further includes forming a lid on the second sacrificial cavity layer. The method further includes forming at least one vent hole in the lid, exposing a portion of the second sacrificial cavity layer. The method further includes venting or stripping the second sacrificial cavity layer such that a top surface of the second sacrificial cavity layer is no longer touching a bottom surface of the lid, before venting or stripping the first sacrificial cavity layer thereby forming a first cavity and second cavity, respectively.

Подробнее
20-02-2013 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: GB0201300085D0
Автор:
Принадлежит:

Подробнее
07-02-2013 дата публикации

COATED CAPACITIVE SENSOR

Номер: WO2013020080A1
Принадлежит:

In one embodiment, a method of forming a MEMS device includes providing a substrate, forming a sacrificial layer above the substrate layer, forming a silicon based working portion on the sacrificial layer, releasing the silicon based working portion from the sacrificial layer such that the working portion includes at least one exposed outer surface, forming a first layer of silicide forming metal on the at least one exposed outer surface of the silicon based working portion, and forming a first silicide layer with the first layer of silicide forming metal.

Подробнее
29-12-2011 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM STRUCTURES

Номер: WO2011160986A1
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System(MEMS) cavity includes forming a first sacrificial cavity layer over a lower wiring layer. The method further includes forming a layer. The method further includes forming a second sacrificial cavity layer over the first sacrificial layer and in contact with the layer. The method further includes forming a lid on the second sacrificial cavity layer. The method further includes forming at least one vent hole in the lid, exposing a portion of the second sacrificial cavity layer. The method further includes venting or stripping the second sacrificial cavity layer such that a top surface of the second sacrificial cavity layer is no longer touching a bottom surface of the lid, before venting or stripping the first sacrificial cavity layer thereby forming a first cavity and second cavity, respectively.

Подробнее
22-10-2009 дата публикации

METHOD FOR THE PRODUCTION OF A MICROMECHANICAL COMPONENT, AND MICROMECHANICAL COMPONENT

Номер: WO2009127273A2
Принадлежит:

The invention relates to a method for producing a micromechanical component (10), encompassing the following steps: a first electrode unit (14) is formed in a first position relative to a bottom substrate (16); a supporting element (22) is formed which comprises a first subunit (23, 28, 30) having a first internal stress and a second subunit (23, 28, 30) having a second internal stress that differs from the first internal stress, said supporting element (22) being fastened to the first electrode unit (14) at a first end while being fastened to the bottom substrate (16) at a second end; and the supporting element (22) is bent as a result of the difference between the first internal stress and the second internal stress, the bending action causing the first electrode unit (14) to be moved from the first position relative to the bottom substrate (16) into a second position relative to the bottom substrate (16). The invention further relates to a micromechanical component (10).

Подробнее
19-09-2017 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: US9764944B2

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a beam structure and an electrode on an insulator layer, remote from the beam structure. The method further includes forming at least one sacrificial layer over the beam structure, and remote from the electrode. The method further includes forming a lid structure over the at least one sacrificial layer and the electrode. The method further includes providing simultaneously a vent hole through the lid structure to expose the sacrificial layer and to form a partial via over the electrode. The method further includes venting the sacrificial layer to form a cavity. The method further includes sealing the vent hole with material. The method further includes forming a final via in the lid structure to the electrode, through the partial via.

Подробнее
29-12-2011 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20110314669A1

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes patterning a wiring layer to form at least one fixed plate and forming a sacrificial material on the wiring layer. The method further includes forming an insulator layer of one or more films over the at least one fixed plate and exposed portions of an underlying substrate to prevent formation of a reaction product between the wiring layer and a sacrificial material. The method further includes forming at least one MEMS beam that is movable over the at least one fixed plate. The method further includes venting or stripping of the sacrificial material to form at least a first cavity.

Подробнее
31-08-2021 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: US0011104572B2

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
17-04-2014 дата публикации

MEMS-Bauelement und Verfahren zum Fertigen eines MEMS-Bauelements

Номер: DE102013111163A1
Принадлежит:

Ein Verfahren zum Fertigen eines MEMS-Bauelements wird offenbart. Ferner werden ein MEMS-Bauelement und ein Modul, das ein MEMS-Bauelement aufweist, offenbart. Eine Ausführungsform weist ein Verfahren zum Fertigen von MEMS-Bauelementen auf, das Bilden eines MEMS-Stapels (120) auf einer ersten Hauptoberfläche (111) eines Substrats (110), Bilden einer Polymerschicht (140) auf einer zweiten Hauptoberfläche (112) des Substrats (110) und Bilden einer ersten Öffnung (118; 154) in der Polymerschicht (140) und dem Substrat (110), sodass die erste Öffnung (118; 154) an den MEMS-Stapel angrenzt, aufweist.

Подробнее
15-11-2017 дата публикации

A method and apparatus for controlling stress variation in a material layer formed via pulsed dc physical vapor deposition

Номер: GB0201715726D0
Автор:
Принадлежит:

Подробнее
24-12-2010 дата публикации

METHOD FOR REALIZATION Of a PLANE MEMBRANE

Номер: FR0002947096A1
Принадлежит:

Подробнее
27-10-2017 дата публикации

DIAPHRAGM DEVICE STRAINS GE

Номер: FR0003022684B1

Подробнее
26-11-2009 дата публикации

METHOD FOR THE PRODUCTION OF SEPARATE MICROMECHANICAL PARTS ARRANGED ON A SILICON SUBSTRATE, AND PARTS MADE THEREWITH

Номер: WO2009141194A3
Принадлежит:

A method for producing separate micromechanical parts arranged on a silicon substrate (1) encompasses the following steps: a) separation trenches (7) are formed on the substrate by means of a deep anisotropic plasma etching process; b) the zone (9, 12) of the silicon substrate (1) that forms the bottom of separation trenches (6) is irradiated with laser light (11) such that the silicon substrate (1) changes from a crystalline state into an at least partially amorphous state in said zone (9, 12); c) mechanical stresses are induced in the substrate (1). In one embodiment, caverns (2) are etched at the same time as the separation trenches (6) are etched. The etching depths can be controlled using the RIE lag effect.

Подробнее
18-09-2001 дата публикации

Controlled-stress stable metallization for electronic and electromechanical devices

Номер: US0006291345B1

A method of forming a thin film metallization layer having a predetermined residual stress and a predetermined sheet resistance and force measuring devices formed using the methods.

Подробнее
31-05-2016 дата публикации

Microdevices and methods of manufacture

Номер: US0009353313B2

Illustrative embodiments of microdevices and methods of manufacturing such microdevices are disclosed. In at least one illustrative embodiment, a method of manufacturing one or more microdevices may include forming a liquid dispersion containing cellulose nanocrystals (CNC), depositing the liquid dispersion containing the CNC on a substrate, drying the liquid dispersion containing the CNC to form a solid film on the substrate, where the liquid dispersion contains a sufficient concentration of CNC to form a continuous solid film having a controlled microstructure, and processing the solid film to form the one or more microdevices on the substrate.

Подробнее
20-10-2016 дата публикации

MECHANICAL RESONATOR WITH A SPRING-MASS SYSTEM COMPRISING A PHASE-CHANGE MATERIAL

Номер: US20160308507A1
Принадлежит:

A mechanical resonator includes a spring-mass system, wherein the spring-mass system comprises a phase-change material. The mechanical resonator typically comprises an electrical circuit portion, coupled to the phase-change material to alter a phase configuration within the phase-change material. Methods of operation are also disclosed.

Подробнее
19-08-2009 дата публикации

METHOD FOR DEPOSITING POLYCRYSTALLINE SIGE SUITABLE FOR MICROMACHINING

Номер: EP1269526B1
Принадлежит: IMEC

Подробнее
12-02-2008 дата публикации

DIFFERENTIAL STRESS REDUCTION IN THIN FILMS

Номер: CA0002467174C
Автор: SILVERBROOK, KIA
Принадлежит: SILVERBROOK RESEARCH PTY. LTD.

A crystalline thin film structure formed by the deposition of a predominant first crystalline material in two or more layers interleaved by layers of a second crystalline material having a lattice constant that differs from the lattice constant of the predominant first crystalline material in order to disrupt the growth of columnar crystals in the predominant first crystalline material in order to reduce the differential stress profile through the thickness of the film structure relative to the differential stress profile of a crystalline thin film structure formed solely from the predominant first crystalline material.

Подробнее
19-06-2014 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20140166463A1

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
29-04-2014 дата публикации

Method for etched cavity devices

Номер: US0008709848B2

MEMS devices (40) using etched cavities (42) are desirably formed using multiple etching steps. Preliminary cavities (20) formed by locally anisotropic etching to nearly the final depth have irregular (46) sidewalls (44) and steep and/or inconsistent sidewall (44) to bottom (54) intersection angles (48). This leads to less than desired cavity diaphragm (26) burst strengths. Final cavities (42) with smooth sidewalls (50), smaller and consistent sidewall (50) to bottom (54) intersection angles (58), and having more than doubled cavity diaphragm (26) burst strengths are obtained by treating the preliminary cavities (20) with TMAH etchant, preferably relatively dilute TMAH etchant. In a preferred embodiment, a cleaning step is performed between the etching step and the TMAH treatment step to remove any anisotropic etching by-products present on the preliminary cavities' (20) initial sidewalls (44). The multi-step cavity etching procedure is especially useful for forming robust MEMS pressure ...

Подробнее
11-08-2015 дата публикации

Inhibiting propagation of surface cracks in a MEMS Device

Номер: US0009102514B2

A microelectromechanical systems (MEMS) device (58) includes a structural layer (78) having a top surface (86). The top surface (86) includes surface regions (92, 94) that are generally parallel to one another but are offset relative to one another such that a stress concentration location (90) is formed between them. Laterally propagating shallow surface cracks (44) have a tendency to form in the structural layer (78), especially near the joints (102) between the surface regions (92, 94). A method (50) entails fabricating (52) the MEMS device (58) and forming (54) trenches (56) in the top surface (86) of the structural layer (78) of the MEMS device (58). The trenches (56) act as a crack inhibition feature to largely prevent the formation of deep cracks in structural layer (78) which might otherwise result in MEMS device failure.

Подробнее
04-01-2022 дата публикации

MEMS process power

Номер: US0011217741B2
Автор: Robert Littrell
Принадлежит: Vesper Technologies Inc.

A transducer includes a first piezoelectric layer; and a second piezoelectric layer that is above the first piezoelectric layer; wherein the second piezoelectric layer is a more compressive layer with an average stress that is less than or more compressive than an average stress of the first piezoelectric layer.

Подробнее
06-03-2002 дата публикации

Device for sensors with integrated electronics and its method of manufacture, and sensor with integrated electronics

Номер: EP0001184335A3
Принадлежит:

Component and process for integrated infrared sensor has auxiliary layer cavity on substrate and integrated electronics with membrane above carrying the sensor A component for a sensor integrated with electronic elements on a substrate (1) comprises a cavity (3a) in an auxiliary layer (3) with a membrane (4) over the cavity carrying the sensor element in a vertical arrangement with respect to the electronic circuit. Independent claims are also included for the following: (a) the integrated sensor as above; and (b) a process for producing the above.

Подробнее
12-04-2018 дата публикации

Mikromechanischer Sensor

Номер: DE102016219807A1
Принадлежит:

Mikromechanischer Sensor (100), aufweisend: – ein Substrat (10); – eine auf dem Substrat (10) angeordnete erste Funktionsschicht (20); – eine auf der ersten Funktionsschicht (20) angeordnete zweite Funktionsschicht (30) mit beweglichen mikromechanischen Strukturen (31); – eine im Substrat (10) unterhalb der beweglichen mikromechanischen Strukturen (31) angeordnete Kavität (11); und – eine um die beweglichen mikromechanischen Strukturen (31) der zweiten Funktionsschicht (30) umlaufend ausgebildete und sich in das Substrat (10) bis zur Kavität (11) erstreckende vertikale Grabenstruktur (40).

Подробнее
18-11-2009 дата публикации

A micromechanical structure and a method of fabricating a micromechanical structure

Номер: CN0101583559A
Принадлежит:

A micromechanical structure and a method of fabricating a micromechanical structure are provided. The micromechanical structure comprises a silicon (Si) based substrate; a micromechanical element formed directly on the substrate; and an undercut formed underneath a released portion of the micromechanical element; wherein the undercut is in the form of a recess formed in the Si based substrate.

Подробнее
22-04-2014 дата публикации

MEMS DEVICE AND METHOD OF MANUFACTURING A MEMS DEVICE

Номер: KR1020140047552A
Автор:
Принадлежит:

Подробнее
16-06-2016 дата публикации

Pressure sensor including deformable pressure vessel(s)

Номер: TW0201621289A
Принадлежит:

Techniques are described herein that perform pressure sensing using pressure sensor(s) that include deformable pressure vessel(s). A pressure vessel is an object that has a cross section that defines a void. A deformable pressure vessel is a pressure vessel that has at least one curved portion that is configured to structurally deform (e.g., bend, shear, elongate, etc.) based on a pressure difference between a cavity pressure in a cavity in which at least a portion of the pressure vessel is suspended and a vessel pressure in the pressure vessel.

Подробнее
06-03-2013 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: GB0002494360A
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a lower wiring layer on a substrate. The method further includes forming a plurality of discrete wires (14) from the lower wiring layer. The method further includes forming an electrode beam (38) over the plurality of discrete wires. The at least one of the forming of the electrode beam and the plurality of discrete wires are formed with a layout which minimizes hillocks and triple points in subsequent silicon deposition (50).

Подробнее
25-12-2015 дата публикации

GERMANIUM DEVICE MEMBRANE STRESS

Номер: FR0003022684A1
Принадлежит:

Dispositif optique et/ou électronique comprenant une membrane (20) à base de germanium suspendue, comprenant une zone active (21) mise en tension par des bras de traction (23), caractérisé en ce qu'il comprend au moins un bras de traction (23) comprenant des côtés latéraux (32) non parallèles, dont la largeur augmente en s'éloignant de la zone active (21).

Подробнее
13-02-2014 дата публикации

Microdevices and Methods of Manufacture

Номер: US2014044937A1
Принадлежит:

Illustrative embodiments of microdevices and methods of manufacturing such microdevices are disclosed. In at least one illustrative embodiment, a method of manufacturing one or more microdevices may include forming a liquid dispersion containing cellulose nanocrystals (CNC), depositing the liquid dispersion containing the CNC on a substrate, drying the liquid dispersion containing the CNC to form a solid film on the substrate, where the liquid dispersion contains a sufficient concentration of CNC to form a continuous solid film having a controlled microstructure, and processing the solid film to form the one or more microdevices on the substrate.

Подробнее
08-01-2019 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: US0010173889B2

A method of forming at least one Micro-Electro-Mechanical System (MEMS) cavity includes forming a first sacrificial cavity layer over a wiring layer and substrate. The method further includes forming an insulator layer over the first sacrificial cavity layer. The method further includes performing a reverse damascene etchback process on the insulator layer. The method further includes planarizing the insulator layer and the first sacrificial cavity layer. The method further includes venting or stripping of the first sacrificial cavity layer to a planar surface for a first cavity of the MEMS.

Подробнее
20-02-2013 дата публикации

Planar cavity mems and related structures, methods of manufacture and design structures

Номер: GB0201300265D0
Автор:
Принадлежит:

Подробнее
20-02-2013 дата публикации

Micro-electro-mechanical system

Номер: GB0201300040D0
Автор:
Принадлежит:

Подробнее
24-09-2014 дата публикации

SEMICONDUCTOR DEVICES AND METHODS OF FORMING THEREOF

Номер: KR1020140113558A
Автор:
Принадлежит:

Подробнее
24-09-2009 дата публикации

MEMS DEVICE WITH CONTROLLED ELECTRODE OFF-STATE POSITION

Номер: KR1020090101231A
Автор: STEENEKEN PETER G.
Принадлежит:

The present invention relates to MEMS device that comprises a first electrode, and a second electrode suspended with a distance to the first electrode with the aid of a suspension structure. The MEMS device further comprises at least one deformation electrode. The second electrode or the suspension structure or both are plastically deformable upon application of an electrostatic deformation force via the deformation electrode. This way, variations in the off-state position of the second electrode that occur during fabrication of different devices or during operation of a single device can be eliminated. COPYRIGHT KIPO & WIPO 2010 ...

Подробнее
29-12-2011 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: WO2011162949A3
Принадлежит:

Planar cavity Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structure are provided. The method includes forming at least one Micro-Electro-Mechanical System (MEMS) cavity (60a, 60b) having a planar surface using a reverse damascene process.

Подробнее
22-10-2009 дата публикации

METHOD FOR THE PRODUCTION OF A MICROMECHANICAL COMPONENT HAVING ELECTRODE UNITS ON TWO PLANES, AND MICROMECHANICAL COMPONENT

Номер: WO2009127273A3
Принадлежит:

The invention relates to a method for producing a micromechanical component (10), encompassing the following steps: a first electrode unit (14) is formed in a first position relative to a bottom substrate (16); a supporting element (22) is formed which comprises a first subunit (23, 28, 30) having a first internal stress and a second subunit (23, 28, 30) having a second internal stress that differs from the first internal stress, said supporting element (22) being fastened to the first electrode unit (14) at a first end while being fastened to the bottom substrate (16) at a second end; and the supporting element (22) is bent as a result of the difference between the first internal stress and the second internal stress, the bending action causing the first electrode unit (14) to be moved from the first position relative to the bottom substrate (16) into a second position relative to the bottom substrate (16). The invention further relates to a micromechanical component (10).

Подробнее
10-11-2016 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20160325983A1
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a beam structure and an electrode on an insulator layer, remote from the beam structure. The method further includes forming at least one sacrificial layer over the beam structure, and remote from the electrode. The method further includes forming a lid structure over the at least one sacrificial layer and the electrode. The method further includes providing simultaneously a vent hole through the lid structure to expose the sacrificial layer and to form a partial via over the electrode. The method further includes venting the sacrificial layer to form a cavity. The method further includes sealing the vent hole with material. The method further includes forming a final via in the lid structure to the electrode, through the partial via.

Подробнее
29-12-2011 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20110316098A1

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a lower sacrificial material used to form a lower cavity. The method further includes forming a cavity via connecting the lower cavity to an upper cavity. The cavity via is formed with a top view profile of rounded or chamfered edges. The method further includes forming an upper sacrificial material within and above the cavity via, which has a resultant surface based on the profile of the cavity via. The upper cavity is formed with a lid that is devoid of structures that would interfere with a MEMS beam, including: depositing a lid material on the resultant surface of the upper sacrificial material; and venting the upper sacrificial material to form the upper cavity such the lid material forms the lid which conforms with the resultant surface of the upper sacrificial material.

Подробнее
14-09-2011 дата публикации

Technique for manufacturing micro-electro mechanical structures

Номер: EP1712515A3
Автор: Chilcott, Dan W.
Принадлежит:

A technique (400) for manufacturing a micro-electro mechanical structure includes a number of steps. Initially, a cavity is formed into a first side of a handling wafer (404), with a sidewall of the cavity forming a first angle greater than about 54.7 degrees with respect to a first side of the handling wafer at an opening of the cavity. Then, a bulk etch is performed on the first side of the handling wafer to modify the sidewall of the cavity to a second angle greater than about 90 degrees (406), with respect to the first side of the handling wafer at the opening of the cavity. Next, a second side of a second wafer is bonded to the first side of the handling wafer (408).

Подробнее
06-03-2013 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: GB0002494359A
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System (MEMS) cavity (60b) includes forming a first sacrificial cavity layer (18) over a wiring layer (14) and substrate (10). The method further includes forming an insulator layer (40) over the first sacrificial cavity layer. The method further includes performing a reverse damascene etchback process on the insulator layer. The method further includes planarizing the insulator layer and the first sacrificial cavity layer. The method further includes venting or stripping of the first sacrificial cavity layer to a planar surface for a first cavity (60b) of the MEMS.

Подробнее
07-11-2012 дата публикации

Semiconductor structure

Номер: GB0002490546A
Принадлежит:

A semiconductor structure 27 comprises a frame for example silicon 29 provided by a monocrystalline substrate (1, Figure 4a) comprising a first patterned semiconductor material 28 and having a window passing through the substrate between first and second opposite surfaces of the substrate; and a monocrystalline free-standing membrane 30 for example, germanium, Ge, is formed over the window provided by a layer 5 grown at a low temperature which is under compressive strain and supported directly on the surface of the substrate. Alternatively, ( Figure 4c) a free-standing germanium membrane (25, Figure 4c) is under tensile strain at high temperature and is supported by a silicon frame (24, Figure 4c).

Подробнее
24-07-2018 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM

Номер: CA0002787130C

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
29-12-2011 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM STRUCTURES

Номер: CA0002787161A1
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System(MEMS) cavity includes forming a first sacrificial cavity layer over a lower wiring layer. The method further includes forming a layer. The method further includes forming a second sacrificial cavity layer over the first sacrificial layer and in contact with the layer. The method further includes forming a lid on the second sacrificial cavity layer. The method further includes forming at least one vent hole in the lid, exposing a portion of the second sacrificial cavity layer. The method further includes venting or stripping the second sacrificial cavity layer such that a top surface of the second sacrificial cavity layer is no longer touching a bottom surface of the lid, before venting or stripping the first sacrificial cavity layer thereby forming a first cavity and second cavity, respectively.

Подробнее
14-03-2019 дата публикации

Herstellungsverfahren für MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen

Номер: DE112011102130B4

Verfahren zum Bilden mindestens eines mikroelektromechanischen Systems (MEMS), aufweisend:Bilden einer unteren Verdrahtungsschicht auf einem Substrat (10);Bilden mehrerer diskreter parallel zueinander verlaufender Leiter (14) aus der unteren Verdrahtungsschicht, wobei die parallel zueinander verlaufenden Leiter (14) an einem jeweiligen ersten Ende durch einen ersten Verbindungsleiter, der senkrecht zu den parallel zueinander verlaufende Leitern (14) verläuft, verbunden sind, und wobei Längen der parallel zueinander verlaufende Leiter (14) von einem außenliegenden der Leiter (14) der so geschlitzten Leiterstruktur zu einem anderen außenliegenden Leiter (14) der geschlitzten Leiterstruktur suksessive zunehmen,Bilden eines zweiten Verbindungsleiters, der die parallel zueinander verlaufenden Leiter (14) an einem jeweiligen zweiten Ende der parallel zueinander verlaufende Leiter (14) miteinander verbindet, und wobei der erste Verbindungsleiter und der zweite Verbindungsleiter jeweils eine Breite ...

Подробнее
20-02-2013 дата публикации

Micro-electro-mechanical system structures

Номер: GB0201300041D0
Автор:
Принадлежит:

Подробнее
02-06-2017 дата публикации

METHOD OF PACKAGING A MICROELECTRONIC DEVICE WITH A RELEASE HOLE OF VARIABLE SIZE

Номер: FR0003044306A1

Procédé d'encapsulation d'un dispositif microélectronique (100), comportant les étapes suivantes : - réalisation d'une portion sacrificielle recouvrant le dispositif ; - réalisation d'un capot (106) recouvrant la portion sacrificielle, comportant deux couches (108, 110) superposées de matériaux distincts et ayant des contraintes résiduelles et/ou des coefficients de dilatation thermique différents ; - gravure, à travers le capot, d'une tranchée (112) dont le motif comporte une courbe et/ou deux segments droits non parallèles ; - gravure de la portion sacrificielle à travers la tranchée ; - dépôt d'un matériau de bouchage sur la tranchée ; dans lequel, lors de la gravure de la portion sacrificielle, une portion (116) du capot définie par la tranchée se déforme sous l'effet d'une contrainte mécanique engendrée par les contraintes résiduelles et/ou une dilatation thermique des couches du capot et augmente les dimensions de la tranchée, cette contrainte étant supprimée avant le bouchage de ...

Подробнее
11-10-2001 дата публикации

METHOD FOR DEPOSITING POLYCRYSTALLINE SIGE SUITABLE FOR MICROMACHINING AND DEVICES OBTAINED THEREOF

Номер: WO2001074708A2
Принадлежит:

Method and apparatus of to obtain as-deposited polycrystalline and low-stress SiGe layers. These layers are used in Micro Electro-Mechanical Systems (MEMS) devices or micromachined structures. Different parameters are analysed which effect the stress in a polycrystalline layer. The parameters include, without limitation: deposition temperature; concentration of semiconductors (e.g., the concentration of Silicon and Germanium in a SixGe1-x layer, with x being the concentration parameter); concentration of dopants (e.g., the concentration of Boron or Phosphorous); amount of pressure; and use of plasma. Depending on the particular environment in which the polycrystalline SiGe is grown, different values of parameters are used.

Подробнее
03-10-2002 дата публикации

A UNITARY FLEXIBLE AND MECHANICALLY AND CHEMICALLY ROBUST MICROSYSTEM AND A METHOD FOR PRODUCING SAME

Номер: WO2002076879A1
Принадлежит:

A method for producing a unitary flexible microelement from a supporting wafer is provided. The unitary flexible microelement defines a supporting body having a solid region and a flexible region consisting of a thin part of the supporting wafer. The method comprises the following steps: defining thickness of the flexible region and growing an upper insulating layer to the upper surface covering the predefined area and growing a lower insulating layer to the lower surface covering the solid region. The method comprises defining a conductive layer on the predefined area of the upper surface, depositing a final insulating layer on the upper surface covering the conductive layer and depositing a metallic protective layer on the upper surface covering the insulating layer. Furthermore, the method comprises etching the lower surface until the etching reaches the thickness of the flexible region, and deepositing a conductive layer on the lower surface to establish a coaxial conductor.

Подробнее
15-11-2012 дата публикации

SEMICONDUCTOR MEMBRANE STRUCTURE WITH CONTROLLED TENSILE STRESS

Номер: WO2012153112A3
Принадлежит:

A semiconductor structure comprises a frame (24) provided by a monocrystalline substrate comprising a first semiconductor material and having a window passing through the substrate between first and second opposite surfaces of the substrate; and a monocrystalline membrane (4) over the window provided by a layer supported directly on the first surface of the substrate, the membrane comprising a second, different semiconductor material which is under tensile strain.

Подробнее
03-07-2003 дата публикации

Method for depositing polycrystalline sige suitable for micromachining and devices obtained thereof

Номер: US2003124761A1
Автор:
Принадлежит:

Method and apparatus to obtain as-deposited polycrystalline and low-stress SiGe layers. These layers may be used in Micro Electro-Mechanical Systems (MEMS) devices or micromachined structures. Different parameters are analysed which effect the stress in a polycrystalline layer. The parameters include, without limitation: deposition temperature; concentration of semiconductors (e.g., the concentration of Silicon and Germanium in a SixGe1-x layer, with x being the concentration parameter); concentration of dopants (e.g., the concentration of Boron or Phosphorous); amount of pressure; and use of plasma. Depending on the particular environment in which the polycrystalline SiGe is grown, different values of parameters may be used.

Подробнее
18-10-2012 дата публикации

METHOD FOR ETCHED CAVITY DEVICES

Номер: US20120264249A1
Принадлежит: FREESCALE SEMICONDUCTOR, INC.

MEMS devices (40) using etched cavities (42) are desirably formed using multiple etching steps. Preliminary cavities (20) formed by locally anisotropic etching to nearly the final depth have irregular (46) sidewalls (44) and steep and/or inconsistent sidewall (44) to bottom (54) intersection angles (48). This leads to less than desired cavity diaphragm (26) burst strengths. Final cavities (42) with smooth sidewalls (50), smaller and consistent sidewall (50) to bottom (54) intersection angles (58), and having more than doubled cavity diaphragm (26) burst strengths are obtained by treating the preliminary cavities (20) with TMAH etchant, preferably relatively dilute TMAH etchant. In a preferred embodiment, a cleaning step is performed between the etching step and the TMAH treatment step to remove any anisotropic etching by-products present on the preliminary cavities' (20) initial sidewalls (44). The multi-step cavity etching procedure is especially useful for forming robust MEMS pressure ...

Подробнее
26-04-2005 дата публикации

Method of fabrication of an infrared radiation detector and infrared detector device

Номер: US0006884636B2

A method of fabricating an infrared detector, a method of controlling the stress in a polycrystalline SiGE layer and an infrared detector device is disclosed. The method of fabricating includes the steps of forming a sacrificial layer on a substrate; patterning said sacrificial layer; establishing a layer consisting essentially of polycrystalline SiGe on said sacrificial layer; depositing an infrared absorber on said polycrystalline SiGe layer; and thereafter removing the sacrificial layer. The method of controlling the stress in a polycrystalline SiGe layer deposited on a substrate is based on varying the deposition pressure. The infrared detector device comprises an active area and an infrared absorber, wherein the active area comprises a polycrystalline SiGe layer, and is suspended above a substrate.

Подробнее
02-10-2018 дата публикации

Mechanical resonator with a spring-mass system comprising a phase-change material

Номер: US0010090821B2

A mechanical resonator includes a spring-mass system, wherein the spring-mass system comprises a phase-change material. The mechanical resonator typically comprises an electrical circuit portion, coupled to the phase-change material to alter a phase configuration within the phase-change material. Methods of operation are also disclosed.

Подробнее
05-11-2009 дата публикации

Herstellungsverfahren für ein mikromechanisches Bauteil und mikromechanisches Bauteil

Номер: DE102008001248A1
Принадлежит:

Die Erfindung betrifft ein Herstellungsverfahren für ein mikromechanisches Bauteil (10) mit den Schritten: Bilden einer ersten Elektrodeneinheit (14) in einer ersten Stellung zu einem Grundsubstrat (16); Bilden eines Stützelements (22) mit einer ersten Untereinheit (23, 28, 30) mit einer ersten Eigenspannung und einer zweiten Untereinheit (23, 28, 30) mit einer von der ersten Eigenspannung abweichenden zweiten Eigenspannung, wobei das Stützelement (22) an einem ersten Ende an der ersten Elektrodeneinheit (14) und an einem zweiten Ende an dem Grundsubstrat (16) befestigt ist; und Biegen des Stützelements (22) aufgrund einer Differenz zwischen der ersten Eigenspannung und der zweiten Eigenspannung, wobei aufgrund des Biegens des Stützelements (22) die erste Elektrodeneinheit (14) aus der ersten Stellung zu dem Grundsubstrat (16) in eine zweite Stellung zu dem Grundsubstrat (16) verstellt wird. Des Weiteren betrifft die Erfindung ein mikromechanisches Bauteil (10).

Подробнее
28-03-2013 дата публикации

MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen

Номер: DE112011102130T5

Ein Verfahren zum Bilden mindestens eines mikroelektromechanischen Systems (MEMS) weist das Bilden einer unteren Verdrahtungsschicht auf einem Substrat auf. Das Verfahren weist ferner das Bilden mehrerer diskreter Drähte (14) aus der unteren Verdrahtungsschicht auf. Das Verfahren weist ferner das Bilden eines Elektrodenarms (38) über den mehreren diskreten Drähten auf. Mindestens eines aus dem Bilden des Elektrodenarms und der mehreren diskreten Drähte erfolgt mit einem Layout, welches Hügel und Triplepunkte bei der folgenden Siliciumabscheidung (50) minimiert.

Подробнее
04-04-2013 дата публикации

MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen

Номер: DE112011102134T5

Ein Verfahren zum Bilden mindestens eines Hohlraums (60b) eines mikroelektromechanischen Systems (MEMS) weist das Bilden einer ersten Hohlraum-Opferschicht (18) über einer Verdrahtungsschicht (14) und einem Substrat (10) auf. Das Verfahren weist ferner das Bilden einer Isolatorschicht (40) über der ersten Hohlraum-Opferschicht auf. Das Verfahren weist ferner das Durchführen eines reversen Damaszener-Rückätzverfahrens auf der Isolatorschicht auf. Das Verfahren weist ferner das Planarisieren der Isolatorschicht und der ersten Hohlraum-Opferschicht auf. Das Verfahren weist ferner das Austreiben oder Ablösen der ersten Hohlraum-Opferschicht zu einer planaren Fläche für einen ersten Hohlraum (60b) des MEMS auf.

Подробнее
20-02-2018 дата публикации

Method for encapsulating a microelectronic device with a release hole of variable dimension

Номер: US0009896331B2

Method for encapsulating a microelectronic device, comprising the following steps: producing a sacrificial portion covering the device; producing a cover covering the sacrificial portion, comprising two superimposed layers of separate materials and having different residual stresses and/or coefficients of thermal expansion; etching, through the cover, of a trench of which the pattern comprises a curve and/or two straight non-parallel segments; etching of the sacrificial portion through the trench; depositing a sealing material on the trench; in which, during the etching of the sacrificial portion, a portion of the cover defined by the trench deforms under the effect of a mechanical stress generated by the residual stresses and/or a thermal expansion of the layers of the cover and increases the dimensions of the trench, this stress being eliminated before the sealing of the trench.

Подробнее
14-03-2019 дата публикации

Verfahren zum Ausbilden eines mikroelektromechanischen Systems

Номер: DE112011102136B4
Принадлежит: GLOBALFOUNDRIES INC, GLOBALFOUNDRIES Inc.

Verfahren zum Ausbilden eines Mikrosystems (MEMS), aufweisend:Abscheiden und Strukturieren einer ersten Opferkavitätenschicht (18) in einer Oxidschicht (24) auf einem Substrat (10),Abscheiden und Strukturieren einer Isolatorschicht (34) auf der ersten Opferkavitätenschicht (18),Ausbilden eines MEMS-Arms auf der Isolatorschicht (34) durch Abscheiden und Strukturieren einer Schicht (40) über der Isolatorschicht (34),Abscheiden und Strukturieren einer zweiten Opferkavitätenschicht (50) über dem MEMS-Arm in einer Oxidschicht (52), wobei die zweite Opferkavitätenschicht (50) in Kontakt mit der ersten Opferkavitätenschicht (18) durch längliche Kavitätendurchkontaktierungen (48) durch die Schicht (40) steht,Ausbilden einer Abdeckung (54) über der zweiten Opferkavitätenschicht (50),Ausbilden von Austreiböffnungen (58), die achteckig und kleiner als die längliche Kavitätendurchkontaktierungen (48) sind, an mehreren Stellen durch die Abdeckung (54) zu der zweiten Opferkavitätenschicht (50) und der ...

Подробнее
20-02-2013 дата публикации

Planar cavity MEMS and related structures, methods of manufacture and design structures

Номер: GB0201300091D0
Автор:
Принадлежит:

Подробнее
20-12-2001 дата публикации

Method and apparatus (mems18)

Номер: AUPR919701A0
Автор:
Принадлежит:

Подробнее
22-11-2019 дата публикации

Method for forming semiconductor device

Номер: CN0104053105B
Автор:
Принадлежит:

Подробнее
28-12-2011 дата публикации

Plane housing micro electromechanical system and related structure, method of manufacturing and design structure

Номер: CN0102295264A
Автор:
Принадлежит:

Подробнее
15-09-2000 дата публикации

METHOD FOR MANUFACTURING MICROMECHANICAL FUNCTIONAL ELEMENTS

Номер: KR20000057143A
Принадлежит:

PURPOSE: A method for manufacturing micromechanical functional elements is provided to prevent a pressure stress at a polysilicon layer. CONSTITUTION: A method for manufacturing micromechanical functional elements comprises a step of depositing a protecting layer(6) of an oxide or a nitride on a function element(4) made of polysilicon using a LPCVD(low pressure chemical vapor deposition) technology. In the method, the function element with a layer-shaped structure is previously fabricated. Both sides of the function element are partially etched to be exposed. The protecting layer has a thickness of 5nm-50nm and the protecting layer is deposited to cover the entire exposed area of the function element. In case the protecting layer is formed of a silicon nitride, the protecting layer has a thickness of 10nm-20nm. COPYRIGHT 2000 KIPO ...

Подробнее
05-06-2014 дата публикации

MECHANICAL LAYER FOR INTERFEROMETRIC MODULATORS AND METHODS OF MAKING THE SAME

Номер: KR1020140068167A
Автор:
Принадлежит:

Подробнее
29-12-2011 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM

Номер: WO2011160985A2
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
14-03-2013 дата публикации

MECHANICAL LAYER FOR INTERFEROMETRIC MODULATORS AND METHODS OF MAKING THE SAME

Номер: WO2013036436A1
Принадлежит:

This disclosure provides systems, methods and apparatus for controlling a movable layer. In one aspect, an electromechanical systems device includes a substrate and a movable layer positioned over the substrate to define a gap. The movable layer is movable in the gap between an actuated position and a relaxed position, and includes a mirror layer, a cap layer, and a dielectric layer disposed between the mirror layer and the cap layer. The movable layer is configured to have a curvature in a direction away from the substrate when the movable layer is in the relaxed position. In some implementations, the movable layer can be formed to have a positive stress gradient directed toward the substrate that can direct the curvature of the movable layer upward when the sacrificial layer is removed.

Подробнее
31-01-2013 дата публикации

Method for making a reinforced silicon micromechanical part

Номер: US20130029157A1
Автор: Nakis Karapatis
Принадлежит: Montres Breguet SA

A method of fabricating a reinforced silicon micromechanical part includes: micromachining the part, or a batch of parts in a silicon wafer; forming a silicon dioxide layer over the entire surface of the part, in one or plural operations, so as to obtain a thickness of silicon dioxide that is at least five times greater than the thickness of native silicon dioxide; and removing the silicon dioxide layer by etching.

Подробнее
05-09-2013 дата публикации

High aspect ratio mems devices and methods for forming the same

Номер: US20130230939A1
Автор: Te-Hao Lee

An HF vapor etch etches high aspect ratio openings to form MEMS devices and other tightly-packed semiconductor devices with 0.2 μm air gaps between structures. The HF vapor etch etches oxide plugs and gaps with void portions and oxide liner portions and further etches oxide layers that are buried beneath silicon and other structures and is ideally suited to release cantilevers and other MEMS devices. The HF vapor etches at room temperature and atmospheric pressure in one embodiment. A process sequence is provided that forms MEMS devices including cantilevers and lateral, in-plane electrodes that are stationary and vibration resistant.

Подробнее
07-01-2021 дата публикации

Semiconductor Device, Microphone and Methods for Forming a Semiconductor Device

Номер: US20210002132A1
Принадлежит:

A semiconductor device comprises a structured metal layer. The structured metal layer lies above a semiconductor substrate. In addition, a thickness of the structured metal layer is more than 100 nm. Furthermore, the semiconductor device comprises a covering layer. The covering layer lies adjacent to at least one part of a front side of the structured metal layer and adjacent to a side wall of the structured metal layer. In addition, the covering layer comprises amorphous silicon carbide. 1. A method for forming a semiconductor device , the method comprising:forming a structured metal layer above a semiconductor substrate, wherein a thickness of the structured metal layer is more than 100 nm; andforming a covering layer after forming the structured metal layer, such that the covering layer lies adjacent to a front side of the structured metal layer and adjacent to a side wall of the structured metal layer, wherein the covering layer comprises amorphous silicon carbide doped or alloyed with chromium (Cr).2. The method as claimed in claim 1 , wherein forming the covering layer is carried out at a temperature of less than 450° C.3. The method as claimed in claim 1 , wherein the covering layer is formed by performing a plasma deposition claim 1 , and wherein the plasma deposition is carried out at a pressure of less than 70 kPa.4. The method as claimed in claim 3 , wherein helium is used as dilution gas during the plasma deposition.5. The method as claimed in claim 4 , wherein a helium concentration during the plasma deposition is more than 20%.6. The method as claimed in claim 1 , further comprising producing a membrane structure of the semiconductor device.7. The method as claimed in claim 6 , further comprising removing a sacrificial layer below the membrane structure after forming the covering layer in order to form a cutout below the membrane structure.8. The method as claimed in claim 7 , wherein the sacrificial layer is an oxide layer claim 7 , and wherein ...

Подробнее
08-01-2015 дата публикации

LOW TEMPERATURE CERAMIC MICROELECTROMECHANICAL STRUCTURES

Номер: US20150008788A1
Принадлежит:

A method of providing microelectromechanical structures (MEMS) that are compatible with silicon CMOS electronics is provided. The method providing for processes and manufacturing sequences limiting the maximum exposure of an integrated circuit upon which the MEMS is manufactured to below 350° C., and potentially to below 250° C., thereby allowing direct manufacturing of the MEMS devices onto electronics, such as Si CMOS circuits. The method further providing for the provisioning of MEMS devices with multiple non-conductive structural layers such as silicon carbide separated with small lateral gaps. Such silicon carbide structures offering enhanced material properties, increased environmental and chemical resilience whilst also allowing novel designs to be implemented taking advantage of the non-conductive material of the structural layer. The use of silicon carbide being beneficial within the formation of MEMS elements such as motors, gears, rotors, translation drives, etc where increased hardness reduces wear of such elements during operation. 1. A method comprising:(a) providing a substrate;(b) providing at least one lower metallization of a plurality of lower metallizations;(c) providing a first sacrificial layer and a second sacrificial layer;(d) providing at least one middle metallization of a plurality of middle metallizations;(e) providing a structural layer;(f) providing at least one upper metallization of a plurality of upper metallizations; and(g) removing the first sacrificial layer and second sacrificial layer.2. The method according to claim 1 , wherein executing steps (b) through (g) results in the substrate being exposed to a maximum temperature of at least one of 350° C. and 250° C.3. The method according to claim 1 , wherein steps (b) through (g) are implemented in any order claim 1 , the order determined in dependence upon a low temperature MEMS device being manufactured according to the method.4. The method according to claim 1 , wherein providing ...

Подробнее
11-01-2018 дата публикации

Planar cavity mems and related structures, methods of manufacture and design structures

Номер: US20180009658A1
Принадлежит: International Business Machines Corp

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
17-01-2019 дата публикации

Method for producing a multilayer mems component, and corresponding multilayer mems component

Номер: US20190016590A1
Принадлежит: ROBERT BOSCH GMBH

A method for manufacturing a multi-layer MEMS component includes: providing a multi-layer substrate that has a monocrystalline carrier layer, a monocrystalline functional layer having a front side and a back side, and a bonding layer located between the back side and the carrier layer; growing a first polycrystalline layer over the front side of the monocrystalline functional layer; removing the monocrystalline carrier layer; and growing a second polycrystalline layer over the back side of the monocrystalline functional layer.

Подробнее
16-01-2020 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20200017355A1
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes patterning a wiring layer to form at least one fixed plate and forming a sacrificial material on the wiring layer. The method further includes forming an insulator layer of one or more films over the at least one fixed plate and exposed portions of an underlying substrate to prevent formation of a reaction product between the wiring layer and a sacrificial material. The method further includes forming at least one MEMS beam that is moveable over the at least one fixed plate. The method further includes venting or stripping of the sacrificial material to form at least a first cavity. 1. A structure , comprising:at least one fixed plate;an insulator layer covering the at least one fixed plate; and{'sub': '3', 'a TiN/TiAllayer between the at least one fixed plate and the insulator layer,'}wherein the insulator layer has a tapered profile.2. The structure of claim 1 , further comprising:at least one beam that is moveable over the at least one fixed plate; anda chamber over the at least one beam.3. The structure of claim 1 , wherein the at least one fixed plate is a patterned wiring layer.4. The structure of claim 1 , wherein the insulator layer is one or more films over the at least one fixed plate and exposed portions of an underlying substrate.5. The structure of claim 1 , wherein the at least one fixed plate contains aluminum.6. The structure of claim 1 , wherein the insulator layer comprises AlO(alumina).7. The structure of claim 1 , wherein the insulator layer covers sidewall surfaces of the at least one fixed plate.8. The structure of claim 1 , wherein the insulator layer is structured to prevent formation of aluminum silicide with a sacrificial material deposition.9. The structure of claim 1 , wherein the insulator layer is a conformal barrier comprising TaO(tantalum pentaoxide).10. The structure of claim 1 , wherein the at least one fixed plate includes an undercut of AlCu.11. The ...

Подробнее
16-01-2020 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20200017356A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A method of forming a Micro-Electro-Mechanical System (MEMS) , comprising:forming a beam;forming a first sacrificial layer on the beam;forming an insulator layer on the first sacrificial layer;forming a cavity via in the insulator layer, exposing a portion of the first sacrificial layer;forming an electrode on the insulator layer;forming a second sacrificial layer over the beam and in the cavity via;forming a lid material over the second sacrificial layer and the electrode; andproviding a vent hole in the lid material to expose at least the second sacrificial layer; andventing the first sacrificial layer and the second sacrificial layer to form at least a lower cavity and an upper cavity.2. The method of claim 1 , wherein the beam and the upper cavity are remote from the electrode.3. The method of claim 1 , wherein the vent hole is rounded or chamfered.4. The method of claim 1 , wherein the vent hole is octagonal.5. The method of claim 1 , wherein the first sacrificial layer and the second sacrificial layer are silicon material.6. The method of claim 1 , further comprising performing a hafnium clean to remove oxide and hydrogen on exposed surfaces of the second sacrificial layer prior to venting the first sacrificial layer and the second sacrificial layer.7. The method of claim 6 , wherein the venting the first sacrificial layer and the second sacrificial layer is a selective etch to silicon material.8. The method of claim 1 , wherein the vent hole is provided greater than 5 ...

Подробнее
28-01-2016 дата публикации

Method for Producing a Micromechanical Component, and Corresponding Micromechanical Component

Номер: US20160023895A1
Принадлежит:

A method for producing a micromechanical component includes providing a substrate with a monocrystalline starting layer which is exposed in structured regions. The structured regions have an upper face and lateral flanks, wherein a catalyst layer, which is suitable for promoting a silicon epitaxial growth of the exposed upper face of the structured monocrystalline starting layer, is provided on the upper face, and no catalyst layers are provided on the flanks. The method also includes carrying out a selective epitaxial growth process on the upper face of the monocrystalline starting layer using the catalyst layer in a reactive gas atmosphere in order to form a micromechanical functional layer. 1. A production method for a micromechanical component , comprising:forming a catalyst layer on an upper side of a substrate, the catalyst layer suitable for promoting silicon growth of the upper side, the substrate having a starting layer, which is exposed in structure regions, the structure regions having the upper side and lateral flanks, no catalyst layer being formed on the lateral flanks; andperforming a selective growth process on the upper side of the exposed starting layer via the catalyst layer in a reactive gas atmosphere to form a micromechanical functional layer.2. The production method as claimed in claim 1 , wherein the starting layer is monocrystalline.3. The production method as claimed in claim 1 , wherein the upper side has a tilt of less than 0.5° in relation to a low-index crystal plane.4. The production method as claimed in claim 1 , wherein the substrate is a silicon on insulator substrate having a first silicon layer claim 1 , an oxide layer claim 1 , and the starting layer.5. The production method as claimed in claim 1 , wherein the upper side extends substantially flatly and the lateral flanks extend substantially vertically in relation to the upper side.6. The production method as claimed in claim 1 , wherein the starting layer and the catalyst layer ...

Подробнее
26-01-2017 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20170022048A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A Micro-Electro-Mechanical System (MEMS) structure comprising a moveable beam comprising at least one insulator layer on a lower electrode such that a volume of the lower electrode is adjusted to modify beam bending characteristics; andan upper electrode over the at least one insulator layer on top of the lower electrode,wherein the modified beam bending characteristics are provided over an entire temperature range including a lower limit of about −55° C. to an upper limit of about 125° C., andthe lower electrode has a slotted layout and the upper electrode is thinned to match a metal volume of the lower electrode with a metal volume of the upper electrode.2. The MEMS structure of claim 1 , wherein the metal volume of the lower electrode and the metal volume of the upper electrode are further based at least on a layout of the upper electrode.3. The MEMS structure of claim 2 , wherein the lower electrode and the upper electrode are formed of a same material.4. The MEMS structure of claim 2 , wherein the lower electrode and the upper electrode have identical layouts and same thicknesses.5. The MEMS structure of claim 1 , wherein the moveable beam is formed from one or more metal layers claim 1 , comprising a top metal and a bottom metal with an oxide layer therebetween.6. The MEMS structure of claim 1 , wherein the lower electrode is in a trench.7. The MEMS structure of claim 1 , wherein the lower electrode and the upper electrode are composed of Ti/AlCu/Ti/TiN.8. The MEMS ...

Подробнее
23-01-2020 дата публикации

Planar cavity mems and related structures, methods of manufacture and design structures

Номер: US20200024127A1
Принадлежит: International Business Machines Corp

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
23-01-2020 дата публикации

MICRO-ELECTRO-MECHANICAL DEVICE AND MANUFACTURING PROCESS THEREOF

Номер: US20200024132A1
Принадлежит:

A micro-electro-mechanical device formed in a monolithic body of semiconductor material accommodating a first buried cavity; a sensitive region above the first buried cavity; and a second buried cavity extending in the sensitive region. A decoupling trench extends from a first face of the monolithic body as far as the first buried cavity and laterally surrounds the second buried cavity. The decoupling trench separates the sensitive region from a peripheral portion of the monolithic body. 118.-. (canceled)19. A method , comprising:forming a first buried cavity in a monolithic body of semiconductor material; andforming a sensitive region in the monolithic body facing the first buried cavity, wherein forming the sensitive region includes forming a single trench that extends into the monolithic body as far as the first buried cavity, the single trench extending around the sensitive regions so that a first end of the single trench overlaps a second end of the single trench.20. The method of claim 19 , further comprising forming a second buried cavity in the sensitive region claim 19 , the second buried cavity overlapping the first buried cavity.21. The method of claim 19 , further comprising coupling a perimeter of a membrane to the sensitive region claim 19 , the membrane being arranged over the sensitive region.22. The method of claim 21 , wherein the membrane is spaced apart from the sensitive region by a cavity.23. The method of claim 19 , further comprising coupling a cap element to a surface of the peripheral portion of the monolithic body.24. The method of claim 19 , wherein the single trench has a spiral shape that extends around an entire perimeter of the sensitive region.25. The method of claim 24 , wherein the single trench has a first end portion and a second end portion claim 24 , the first end portion and the second end portion extending along a same side of the sensitive region.26. A method claim 24 , comprising:forming a buried cavity in a monolithic body ...

Подробнее
28-01-2021 дата публикации

MEMS DEVICE MANUFACTURING METHOD, MEMS DEVICE, AND SHUTTER APPARATUS USING THE SAME

Номер: US20210024352A1
Автор: KIUCHI Mario, MATSUOKA Gen
Принадлежит:

Provided is a method including at least the thermal treatment step of thermally treating a SOI substrate having a first silicon layer at a first temperature that the diffusion flow rate of an interstitial silicon atom in a silicon single crystal is higher than the diffusion flow rate of an interstitial oxygen atom and the processing step of processing the SOI substrate after the thermal treatment step to obtain a displacement enlarging mechanism. 1. A MEMS device manufacturing method comprising: at leasta thermal treatment step of thermally treating a substrate having a silicon layer at a first temperature that a diffusion flow rate of an interstitial silicon atom in a silicon single crystal is higher than a diffusion flow rate of an interstitial oxygen atom; anda processing step of processing the substrate after the thermal treatment step to obtain a MEMS device.2. The MEMS device manufacturing method according to claim 1 , whereinat the step performed after the thermal treatment step, a temperature applied to the silicon layer is equal to or lower than a second temperature that the diffusion flow rate of the interstitial oxygen atom in the silicon single crystal is higher than the diffusion flow rate of the interstitial silicon atom and precipitated oxide contained in the silicon layer does not substantially grow.3. The MEMS device manufacturing method according to claim 1 , whereinthe substrate is a multilayer bonded substrate configured such that a handle layer, an insulating layer, and a device layer are stacked on each other in this order, andthe device layer is the silicon layer, and is formed using a silicon substrate manufactured by a Czochralski (CZ) method.4. The MEMS device manufacturing method according to claim 1 , wherein{'sup': 17', '3', '18', '3, 'the silicon layer contains a predetermined concentration of oxygen, and the predetermined concentration is in a range of 5×10/cmto 1×10/cm.'}5. The MEMS device manufacturing method according to claim 1 , ...

Подробнее
24-01-2019 дата публикации

METHODS AND SYSTEMS FOR CHEMICALLY ENCODING HIGH-RESOLUTION SHAPES IN SILICON NANOWIRES

Номер: US20190027559A1
Принадлежит:

Methods of chemically encoding high-resolution shapes in silicon nanowires during metal nanoparticle catalyzed vapor-liquid-solid growth or vapor-solid-solid growth are provided. In situ phosphorus or boron doping of the silicon nanowires can be controlled during the growth of the silicon nanowires such that high-resolution shapes can be etched along a growth axis on the silicon nanowires. Nanowires with an encoded morphology can have high-resolution shapes with a size resolution of about 1,000 nm to about 10 nm and comprise geometrical shapes, conical profiles, nanogaps and gratings. 1. A silicon nanowire comprising high-resolution shapes produced by a method of chemically encoding high-resolution shapes in silicon (Si) nanowires (NWs) during metal nanoparticle catalyzed vapor-liquid-solid (VLS) growth or vapor-solid-solid (VSS) growth , the method comprising:growing Si NWs using VLS or VSS growth in a chemical vapor deposition system at a predetermined growth rate;controlling in situ phosphorus or boron doping of the Si NWs during the growth of the Si NWs; andetching the Si NWs to form high-resolution shapes along a growth axis on the Si NWs.2. A silicon nanowire comprising high-resolution shapes , comprising:a silicon nanowire of about 5 nm to about 500 nm diameter having a growth axis; anda high-resolution shape, profile, nanogap, grating or combination thereof along the growth axis, wherein the high-resolution shape, profile, nanogap, grating or combination thereof has a resolution of about 10 nm to about 1,000 nm.3. The silicon nanowire of claim 2 , wherein the nanowire has a plurality of repeating shapes claim 2 , profiles claim 2 , nanogaps claim 2 , gratings or combinations thereof claim 2 , wherein the repeating shapes claim 2 , profiles claim 2 , nanogaps claim 2 , gratings or combinations thereof are spaced apart by about 10 nm to about 10 claim 2 ,000 nm.4. The silicon nanowire of claim 2 , wherein the nanowire has a length of up to about 50 to about ...

Подробнее
04-02-2016 дата публикации

Micromechanical Structure and Method for Fabricating the Same

Номер: US20160031701A1
Принадлежит:

A micromechanical structure includes a substrate and a functional structure arranged at the substrate. The functional structure has a functional region configured to deflect with respect to the substrate responsive to a force acting on the functional region. The functional structure includes a conductive base layer and a functional structure comprising a stiffening structure having a stiffening structure material arranged at the conductive base layer and only partially covering the conductive base layer at the functional region. The stiffening structure material includes a silicon material and at least a carbon material. 1. A micro mechanical structure comprising:a substrate; anda functional structure arranged at the substrate;wherein the functional structure comprises a functional region configured to deflect with respect to the substrate responsive to a force acting on the functional region;wherein the functional structure comprises a conductive base layer;wherein the functional structure comprises a stiffening structure having a stiffening structure material arranged at the conductive base layer and only partially covering the conductive base layer at the functional region; andwherein the stiffening structure material comprises a silicon material and at least a carbon material.2. The micro mechanical structure according to claim 1 , wherein the stiffening structure material comprises the carbon material with a concentration that is at least 1% of the concentration of the silicon material.3. The micro mechanical structure according to claim 1 , wherein the stiffening structure material additionally comprises at least one of a nitrogen material claim 1 , an oxygen material claim 1 , a titanium material claim 1 , a molybdenum material and a tantalum material with a material concentration.4. The micro mechanical structure according to claim 3 , wherein the material concentration varies along a thickness direction of the stiffening structure.5. The micro mechanical ...

Подробнее
01-02-2018 дата публикации

PROCESS FOR MANUFACTURING A MEMS MICROMIRROR DEVICE, AND ASSOCIATED DEVICE

Номер: US20180031822A1
Принадлежит: STMICROELECTRONICS S.R.L.

A buried cavity is formed in a monolithic body to delimit a suspended membrane. A peripheral insulating region defines a supporting frame in the suspended membrane. Trenches extending through the suspended membrane define a rotatable mobile mass carried by the supporting frame. The mobile mass forms an oscillating mass, supporting arms, spring portions, and mobile electrodes that are combfingered to fixed electrodes of the supporting frame. A reflecting region is formed on top of the oscillating mass. 1. A process for manufacturing a micromirror device in MEMS technology , comprising the steps of:forming a buried cavity in a monolithic body of semiconductor material having a first and a second main surfaces, the buried cavity delimiting a suspended membrane arranged between the buried cavity and the first main surface;defining the suspended membrane to form a supporting frame and a mobile mass carried by the supporting frame and rotatable about an axis parallel to the first main surface; andforming a reflecting region on top of the mobile mass.2. The process according to claim 1 , wherein forming the buried cavity comprises:forming within a substrate of monocrystalline semiconductor material a plurality of trenches extending from a face of the substrate and delimiting between them columns of semiconductor material;epitaxially growing, from the columns, a closing layer of semiconductor material, the closing layer closing the trenches at the top; andthermal annealing and causing migration of the semiconductor material of the columns towards the closing layer to form the monolithic body, the buried cavity and the suspended membrane.3. The process according to claim 1 , further comprising forming an opening in the monolithic body from the second main surface claim 1 , the opening extending as far as and joining to the buried cavity.4. The process according to claim 1 , further comprising the step of:forming an electrical insulating region extending peripherally around ...

Подробнее
12-02-2015 дата публикации

MEMS DEVICE WITH DIFFERENTIAL VERTICAL SENSE ELECTRODES

Номер: US20150041927A1
Принадлежит: Freescale Semiconductor, Inc.

A MEMS device includes a first sense electrode and a first portion of a sense mass formed in a first structural layer, where the first sense electrode is fixedly coupled with the substrate and the first portion of the sense mass is suspended over the substrate. The MEMS device further includes a second sense electrode and a second portion of the sense mass formed in a second structural layer. The second sense electrode is spaced apart from the first portion of the sense mass in a direction perpendicular to a surface of the substrate, and the second portion of the sense mass is spaced apart from the first sense electrode in the same direction. A junction is formed between the first and second portions of the sense mass so that they are coupled together and move concurrently in response to an imposed force. 1. A microelectromechanical systems (MEMS) device comprising:a substrate;a first element and a second element formed in a first structural layer, said first element being fixedly coupled with said substrate, and said second element being suspended over said substrate;a third element and a fourth element formed in a second structural layer, said third element being spaced apart from said second element in a direction perpendicular to a surface of said substrate, and said fourth element being spaced apart from said first element in said direction; anda junction formed between said second element and said fourth element, said junction coupling said fourth element with said second element.2. A MEMS device as claimed in further comprising:an anchor attached to said substrate; anda compliant member interconnected between said second element and said anchor to allow concurrent movement of said second and fourth elements relative to said substrate.3. A MEMS device as claimed in wherein said compliant member is connected at an outer edge of said second element such that said second element is a cantilevered structure.4. A MEMS device as claimed in wherein said compliant ...

Подробнее
15-02-2018 дата публикации

Encapsulated microelectromechanical structure

Номер: US20180044176A1
Принадлежит: Sitime Corp

A semiconductor layer having an opening and a MEMS resonator formed in the opening is disposed between first and second substrates to encapsulate the MEMS resonator. An electrical contact that extends from the opening to an exterior of the MEMS device is formed at least in part within the semiconductor layer and at least in part within the first substrate.

Подробнее
25-02-2016 дата публикации

MICRO-SENSOR BODY AND METHOD FOR MANUFACTURING THE SAME, AS WELL AS MICRO-SENSOR

Номер: US20160052775A1
Автор: JI Chunyan, Yang Tian
Принадлежит:

The present disclosure relates to the field of sensor manufacturing technology, particularly discloses a method for manufacturing a micro-sensor body, comprising the steps of S1: applying a wet colloidal material on a substrate to form a colloidal layer, and covering a layer of one-dimensional nanowire film on the surface of the colloidal layer to form a sensor embryo; S2: drying the colloidal layer of the sensor embryo to an extent that the colloidal layer cracks into a plurality of colloidal islands, a portion of the one-dimensional nanowire film contracting into a contraction diaphragm adhered to the surface of the colloidal islands while the other portion of the one-dimensional nanowire film being stretched into a connection structure connected between the adjacent contraction diaphragms. By the method for manufacturing a micro-sensor body of the present disclosure, the contraction diaphragms and connection structures formed by stretching the one-dimensional nanowire film are connected stably, which enhances the stability of the sensor devices; and the cracking manner renders it easy to obtain a large-scale of sensor bodies with connection structure arrays in stable suspension. 116-. (canceled)17. A method for manufacturing a micro-sensor body , comprising:step S1, comprising:applying a wet colloidal material on a substrate to form a colloidal layer;applying a a layer of one-dimensional nanowire film on the colloidal layer to form a sensor embryo;step S2, comprising:drying the colloidal layer of the sensor embryo so that the colloidal layer cracks into a plurality of colloidal islands, and so that a first portion of the one-dimensional nanowire film contracts into a contraction diaphragm adhered to a surface of the colloidal islands, and a second portion of the one-dimensional nanowire film is stretched into a connection structure connected between adjacent contraction diaphragms.18. The method for manufacturing a micro-sensor body according to claim 17 , ...

Подробнее
13-02-2020 дата публикации

MICROMECHANICAL SENSOR THAT INCLUDES A STRESS DECOUPLING STRUCTURE

Номер: US20200048072A1
Принадлежит:

A micromechanical sensor is described that includes: a substrate; a first functional layer that is situated on the substrate; a second functional layer that is situated on the first functional layer and that includes movable micromechanical structures; a cavity in the substrate that is situated below the movable mechanical structures; and a vertical trench structure that surrounds the movable micromechanical structures of the second functional layer and extends into the substrate down to the cavity. 110.-. (canceled)11. A micromechanical sensor , comprising:a substrate;a first functional layer situated on the substrate;a second functional layer situated on the first functional layer and including movable micromechanical structures;a cavity in the substrate that is situated below the movable mechanical structures; anda vertical trench structure that surrounds the movable micromechanical structures of the second functional layer and extends into the substrate down to the cavity.12. The micromechanical sensor as recited in claim 11 , further comprising:a diaphragm that is at least one of vertically anchored and laterally anchored on the substrate, wherein the diaphragm is formed in the first functional layer and delimited by the trench structure.13. The micromechanical sensor as recited in claim 11 , further comprising:situating fixing elements of the movable micromechanical structures on the first functional layer, wherein the fixing elements of the first functional layer on the substrate are situated essentially one above the other.14. The micromechanical sensor as recited in claim 11 , wherein the cavity is provided by one of an APSM cavity claim 11 , an SON cavity claim 11 , and a cSOI substrate.15. The micromechanical sensor as recited in claim 11 , further comprising:a bridging element for bridging the vertical trench structure.16. The micromechanical sensor as recited in claim 15 , wherein the bridging element includes a spring-like form.17. The micromechanical ...

Подробнее
13-02-2020 дата публикации

APPARATUS AND METHOD OF INCREASED ASPECT RATIOS IN COMB STRUCTURES

Номер: US20200048077A1
Принадлежит: HONEYWELL INTERNATIONAL INC.

A method comprises: patterning a substrate, including a conductive region, with photoresist exposed by lithography, where the substrate is mounted on a handle substrate; forming a comb structure with conductive fingers on the substrate by at least removing a portion of the conductive region of the substrate; removing the photoresist; forming, one atomic layer at a time, at least one atomic layer of at least one conductor over at least one sidewall of each conductive finger; attaching at least one insulator layer to the comb structure, and the substrate from which the comb structure is formed; and removing the handle substrate. 1. A method , comprising:patterning a substrate, including a conductive region, with photoresist exposed by lithography, where the substrate is mounted on a handle substrate;forming a comb structure with conductive fingers on the substrate by at least removing a portion of the conductive region of the substrate;removing the photoresist;conformally forming, one atomic layer at a time, at least one atomic layer of at least one conductor over at least one sidewall of each conductive finger;wherein the at least one atomic layer has a uniform thickness over the at least one sidewall;attaching at least one insulator layer to the comb structure, and the substrate from which the comb structure is formed; andremoving the handle substrate.2. The method of claim of claim 1 , where the conductive fingers comprise a doped semiconductor claim 1 , and the conductor comprises a metal or a metal alloy.3. The method of claim 1 , wherein forming claim 1 , the one atomic layer at a time claim 1 , the at least one atomic layer of the at least one conductor over the sidewalls comprises forming claim 1 , the one atomic layer at a time claim 1 , at least two atomic layers of different conductors over the at least one sidewall of each conductive finger.4. The method of claim 3 , wherein forming at least two atomic layers comprises forming at least two atomic layers ...

Подробнее
22-02-2018 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20180050903A1
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a beam structure and an electrode on an insulator layer, remote from the beam structure. The method further includes forming at least one sacrificial layer over the beam structure, and remote from the electrode. The method further includes forming a lid structure over the at least one sacrificial layer and the electrode. The method further includes providing simultaneously a vent hole through the lid structure to expose the sacrificial layer and to form a partial via over the electrode. The method further includes venting the sacrificial layer to form a cavity. The method further includes sealing the vent hole with material. The method further includes forming a final via in the lid structure to the electrode, through the partial via. 1. A method in a computer-aided design system for generating a functional design model of a MEMS , the method comprising:generating a functional representation of an electrode remote from a beam structure;generating a functional representation of at least one sacrificial layer over the beam structure, and remote from the electrode;generating a functional representation of a lid structure over the at least one sacrificial layer and the electrode;generating a functional representation of simultaneously providing a vent hole through the lid structure to expose the sacrificial layer and to form a partial via over the electrode;generating a functional representation of venting the sacrificial layer to form a cavity; andgenerating a functional representation of forming a final via in the lid structure to the electrode, through the partial via,wherein the partial via has a larger cross section diameter than a remaining portion of the final via, andthe functional design model of the MEMS is generated to manufacture a MEMS device.2. The method of claim 1 , further comprising:generating a functional representation of forming a sacrificial material on ...

Подробнее
21-02-2019 дата публикации

ENCAPSULATED MICROELECTROMECHANICAL STRUCTURE

Номер: US20190055121A1
Принадлежит:

A semiconductor layer having an opening and a MEMS resonator formed in the opening is disposed between first and second substrates to encapsulate the MEMS resonator. An electrical contact that extends from the opening to an exterior of the MEMS device is formed at least in part within the semiconductor layer and at least in part within the first substrate. 120-. (canceled)21. A method of fabricating a microelectromechanical system (MEMS) device , the method comprising: a cavity with a MEMS resonator therein,', 'a first electrically conductive feature functionally coupled to the MEMS resonator and exposed at a first surface of the semiconductor layer, and', 'an insulating region exposed at the first surface of the semiconductor layer adjacent the first electrically conductive feature;, 'forming within a semiconductor layer of a semiconductor-on-insulator (SOI) waferbonding a semiconductor cover wafer to the first surface of the semiconductor layer of the SOI wafer to hermetically seal the MEMS resonator within the cavity; andforming within the semiconductor cover wafer a second electrically conductive feature that extends through the semiconductor cover wafer to contact the first electrically conductive feature, including forming an isolation trench that extends through the semiconductor cover wafer to the insulating region to electrically isolate a conductive path formed by the first and second electrically conductive features from a bulk region of the semiconductor cover wafer.22. The method of further comprising fabricating complementary metal oxide semiconductor (CMOS) transistor circuitry within the semiconductor cover wafer.23. The method of wherein forming the second electrically conductive feature within the semiconductor cover wafer comprises impurity doping at least a portion of the semiconductor cover wafer to render electrical conductivity thereof.24. The method of wherein forming the isolation trench comprises forming a trench that surrounds the impurity ...

Подробнее
01-03-2018 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20180057357A1
Принадлежит:

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a beam structure and an electrode on an insulator layer, remote from the beam structure. The method further includes forming at least one sacrificial layer over the beam structure, and remote from the electrode. The method further includes forming a lid structure over the at least one sacrificial layer and the electrode. The method further includes providing simultaneously a vent hole through the lid structure to expose the sacrificial layer and to form a partial via over the electrode. The method further includes venting the sacrificial layer to form a cavity. The method further includes sealing the vent hole with material. The method further includes forming a final via in the lid structure to the electrode, through the partial via. 1. A method of forming at least one Micro-Electro-Mechanical System (MEMS) , comprising:forming a beam over a first sacrificial layer;forming an insulator layer on the first sacrificial layer;forming a cavity via in the insulator layer, exposing a portion of the first sacrificial layer;forming an electrode on the insulator layer;forming a second sacrificial layer over the beam and in the cavity via;forming a lid material over the second sacrificial layer and the electrode;providing simultaneously a vent hole in the lid material to expose at least the second sacrificial layer and to form a partial via over the electrode;venting the first sacrificial layer and the second sacrificial layer to form at least a lower cavity and an upper cavity, respectively;sealing the vent hole with a dielectric material and a nitride cap; andforming a final via through the lid material by etching the lid material through the partial via, to the electrode,wherein the partial via is formed at a same time as the vent hole, andwherein the vent hole is provided greater than 5 microns away from both the partial via and the final via.2. The method of claim 1 , wherein the ...

Подробнее
02-03-2017 дата публикации

METHOD FOR TRANSFERRING GRAPHENE

Номер: US20170057812A1
Принадлежит:

A method of transferring graphene onto a target substrate having cavities and/or holes or onto a substrate having at least one water soluble layer is disclosed. It comprises the steps of: applying a protective layer () onto a sample comprising a stack () formed by a graphene monolayer () grown on a metal foil or on a metal thin film on a silicon substrate (); attaching to said protective layer () a frame () comprising at least one outer border and at least one inner border, said frame () comprising a substrate and a thermal release adhesive polymer layer, the frame () providing integrity and allowing the handling of said sample; removing or detaching said metal foil or metal thin film on a silicon substrate (); once the metal foil or metal thin film on a silicon substrate () has been removed or detached, drying the sample; depositing the sample onto a substrate (); removing said frame () by cutting through said protective layer () at said at least one inner border of the frame () or by thermal release. 1. A method of transferring graphene onto a target substrate having cavities and/or holes or onto a substrate having at least one water soluble layer , characterized in that it comprises the steps of:{'b': 4', '20', '2', '1, 'applying a protective layer () onto a sample comprising a stack () formed by a graphene monolayer () grown on a metal foil or on a metal thin film on a silicon substrate ()'}{'b': 4', '5', '5', '5, 'attaching to said protective layer () a frame () comprising at least one outer border and at least one inner border, said frame () comprising a substrate and a thermal release adhesive polymer layer, the frame () providing integrity and allowing the handling of said sample;'}{'b': '1', 'removing or detaching said metal foil or metal thin film on a silicon substrate ();'}{'b': '1', 'once the metal foil or metal thin film on a silicon substrate () has been removed or detached, drying the sample;'}{'b': '7', 'depositing the sample onto a substrate ...

Подробнее
03-03-2016 дата публикации

Pressure sensor including deformable pressure vessel(s)

Номер: US20160061679A1
Принадлежит: Kionix Inc

Techniques are described herein that perform pressure sensing using pressure sensor(s) that include deformable pressure vessel(s). A pressure vessel is an object that has a cross section that defines a void. A deformable pressure vessel is a pressure vessel that has at least one curved portion that is configured to structurally deform (e.g., bend, shear, elongate, etc.) based on a pressure difference between a cavity pressure in a cavity in which at least a portion of the pressure vessel is suspended and a vessel pressure in the pressure vessel.

Подробнее
11-03-2021 дата публикации

METHOD OF MAKING OHMIC CONTACT ON LOW DOPED BULK SILICON FOR OPTICAL ALIGNMENT

Номер: US20210070611A1
Принадлежит:

Various embodiments of the present disclosure are directed towards a method for forming a microelectromechanical systems (MEMS) structure including an epitaxial layer overlying a MEMS substrate. The method includes bonding a MEMS substrate to a carrier substrate. The epitaxial layer is formed over the MEMS substrate, where the epitaxial layer has a higher doping concentration than the MEMS substrate. A plurality of contacts is formed over the epitaxial layer. 1. A method for manufacturing a microelectromechanical systems (MEMS) structure , the method comprising:bonding a MEMS substrate to a carrier substrate;forming an epitaxial layer over the MEMS substrate, wherein the epitaxial layer has a higher doping concentration than the MEMS substrate; andforming a plurality of contacts over the epitaxial layer.2. The method of claim 1 , further comprising:forming a plurality of alignment marks over the carrier substrate.3. The method of claim 2 , wherein the alignment marks are disposed along a top surface of the carrier substrate.4. The method of claim 2 , wherein the alignment marks are disposed along a top surface or a bottom surface of the MEMS substrate.5. The method of claim 2 , wherein forming the plurality of contacts includes aligning the plurality of contacts over the MEMS substrate by virtue of the plurality of alignment marks.6. The method of claim 5 , wherein aligning the plurality of contacts includes illuminating light from a top surface of the epitaxial layer to the plurality of alignment marks.7. The method of claim 1 , wherein the plurality of contacts respectively form ohmic contacts with the epitaxial layer.8. The method according to claim 1 , wherein forming the plurality of contacts comprises:forming a passivation layer over the epitaxial layer;forming a plurality of metal vias within the passivation layer;forming a metal layer over the passivation layer; andperforming an etching process on the metal layer to define the plurality of contacts, wherein ...

Подробнее
11-03-2021 дата публикации

METHOD OF MAKING OHMIC CONTACT ON LOW DOPED BULK SILICON FOR OPTICAL ALIGNMENT

Номер: US20210070612A1
Принадлежит:

Various embodiments of the present disclosure are directed towards a microelectromechanical systems (MEMS) structure including an epitaxial layer overlying a MEMS substrate. The MEMS substrate comprises a moveable element arranged over a carrier substrate. The epitaxial layer has a higher doping concentration than the MEMS substrate. A plurality of contacts overlies the epitaxial layer. A first subset of the plurality of contacts overlies the moveable element. The plurality of contacts respectively has an ohmic contact with the epitaxial layer. 1. A microelectromechanical systems (MEMS) structure comprising:a MEMS substrate with a moveable element arranged over a carrier substrate;an epitaxial layer overlying the MEMS substrate, wherein the epitaxial layer has a higher doping concentration than the MEMS substrate; anda plurality of contacts overlying the epitaxial layer, wherein a first subset of the plurality of contacts overlies the moveable element, wherein the plurality of contacts respectively has an ohmic contact with the epitaxial layer.2. The MEMS structure of claim 1 , wherein the carrier substrate comprises a plurality of alignment regions claim 1 , wherein the contacts are respectively vertically offset from the plurality of alignment regions.3. The MEMS structure of claim 1 , wherein the plurality of contacts respectively comprises a first conductive layer overlying a second conductive layer claim 1 , wherein the first conductive layer is comprised of a material different from the second conductive layer.4. The MEMS structure of claim 1 , further comprising:a passivation layer overlying the epitaxial layer such that the passivation layer is between the epitaxial layer and the plurality of contacts; anda plurality of vias respectively extending through the passivation layer, wherein the plurality of vias are configured to provide an ohmic contact between the plurality of contacts and the epitaxial layer.5. The MEMS structure of claim 1 , wherein the MEM ...

Подробнее
15-03-2018 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20180072566A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A Micro-Electro-Mechanical System (MEMS) structure comprising:a moveable beam comprising at least one insulator layer on a lower electrode; andan upper electrode over the at least one insulator layer,wherein the lower electrode and the upper electrode are asymmetric or different, and a thickness of one of the lower electrode and the upper electrode with a lower pattern factor is thickened to balance a metal volume of the lower electrode with a metal volume of the upper electrode.2. The MEMS structure of claim 1 , wherein the upper electrode is deposited within tapered vias of the at least one insulator layer.3. The MEMS structure of claim 1 , wherein the lower electrode and the upper electrode are formed of a same material.4. The MEMS structure of claim 3 , wherein the lower electrode and the upper electrode are composed of Ti/AlCu/Ti/TiN.5. The MEMS structure of claim 4 , wherein a coefficient of thermal expansion (CTE) of the lower electrode and the upper electrode is approximated by AlCu.6. The MEMS structure of claim 1 , wherein one of the lower electrode and the upper electrode is a slotted or holed layout and one of the upper electrode and the lower electrode claim 1 , respectively claim 1 , has a thickness of the slotted or holed layout claim 1 , to match the metal volume of the lower electrode with the metal volume of the upper electrode.7. The MEMS structure of claim 1 , wherein a pattern factor ratio of the lower electrode to the upper electrode comprises 0.8:1.8. ...

Подробнее
15-03-2018 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20180072567A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A Micro-Electro-Mechanical System (MEMS) structure comprising:a moveable beam comprising at least one insulator layer on a lower electrode; andan upper electrode over the at least one insulator layer,wherein the lower electrode has a slotted layout to match a metal volume of the lower electrode with a metal volume of the upper electrode.2. The MEMS structure of claim 1 , wherein the metal volume of the lower electrode and the metal volume of the upper electrode are based at least on a layout of the upper electrode.3. The MEMS structure of claim 2 , wherein the lower electrode and the upper electrode are formed of a same material.4. The MEMS structure of claim 2 , wherein the lower electrode and the upper electrode have identical layouts and same thicknesses.5. The MEMS structure of claim 1 , wherein the moveable beam is formed from one or more metal layers claim 1 , comprising a top metal and a bottom metal with an oxide layer therebetween.6. The MEMS structure of claim 1 , wherein the lower electrode is in a trench.7. The MEMS structure of claim 1 , wherein the lower electrode and the upper electrode are composed of Ti/AlCu/Ti/TiN.8. The MEMS structure of claim 1 , wherein the upper electrode is U-shaped with a via between opposing sides of the upper electrode.9. The MEMS structure of claim 1 , wherein the lower electrode and the upper electrode are asymmetric relative to one another.10. The MEMS structure of claim 1 , wherein the upper electrode is thinned compared to the ...

Подробнее
15-03-2018 дата публикации

Planar cavity mems and related structures, methods of manufacture and design structures

Номер: US20180072568A1
Принадлежит: International Business Machines Corp

A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes patterning a wiring layer to form at least one fixed plate and forming a sacrificial material on the wiring layer. The method further includes forming an insulator layer of one or more films over the at least one fixed plate and exposed portions of an underlying substrate to prevent formation of a reaction product between the wiring layer and a sacrificial material. The method further includes forming at least one MEMS beam that is moveable over the at least one fixed plate. The method further includes venting or stripping of the sacrificial material to form at least a first cavity.

Подробнее
23-03-2017 дата публикации

MULTI-FACED COMPONENT-BASED ELECTROMECHANICAL DEVICE

Номер: US20170081172A1
Принадлежит:

An electromechanical device comprises a substrate structure, a set of electrodes, one or more anchor trenches, and one or more multi-faced components. For example, each of the one or more multi-faced components comprises an isolation region formed on a first portion of the surface of the component, a high resistance region formed on a second portion of the surface of the component, and a low resistance region formed on a third portion of the surface of the component. For example, the synapse device is configured to provide an analog resistive output, ranging between the high resistance region and the low resistance region, from at least one of the set of electrodes in response to a pulsed voltage input to at least another one of the set of electrodes. 1. A method of forming an electromechanical device , comprising:forming a substrate structure;forming a plurality of electrodes over the substrate structure;forming an anchor trench adjacent to the plurality of electrodes; andforming a multi-faced component in the anchor trench and in operable proximity with the plurality of electrodes, wherein the multi-faced component comprises an isolation region formed on a first portion of the surface of the multi-faced component, a high resistance region formed on a second portion of the surface of the multi-faced component, and a low resistance region formed on a third portion of the surface of the multi-faced component; andwherein the electromechanical device is configured to provide an analog resistive-response output, ranging between the high resistance region and the low resistance region, from at least one of the plurality of electrodes in response to a control input.2. The method of claim 1 , wherein the plurality of electrodes comprises a set of source and drain electrodes and a set of gate electrodes.3. The method of claim 2 , wherein the anchor trench is formed between the set of source and drain electrodes.4. The method of claim 2 , wherein the multi-faced component ...

Подробнее
23-03-2017 дата публикации

MEMS DEVICES AND METHODS OF MANUFACTURING THE SAME

Номер: US20170081173A1
Принадлежит:

The present disclosure provides a CMOS MEMS device. The CMOS MEMS device includes a first substrate, a second substrate, a first polysilicon and a second polysilicon. The second substrate includes a movable part and is located over the first substrate. The first polysilicon penetrates the second substrate and is adjacent to a first side of the movable part of the second substrate. The second polysilicon penetrates the second substrate and is adjacent to a second side of the movable part of the second substrate. 1. A semiconductor device , comprising:a first substrate;a second substrate comprising a movable part over the first substrate;a first polysilicon penetrating the second substrate and thereby between a first side of the movable part and a first side of a part of the second substrate next to the first side of the movable part, the first polysilicon further laterally extending over a top side of the part of the second substrate next to the first side of the movable part; anda second polysilicon penetrating the second substrate and adjacent to a second side of the movable part of the second substrate,wherein an entire space sandwiched between the first polysilicon and the first side as well as the top side of the part of the second substrate next to the first side of the movable part is filled with oxide.2. The semiconductor device of claim 1 , wherein a distance between the movable part of the second substrate and the first polysilicon or the second polysilicon is less than approximately 0.5 μm.3. The semiconductor device of claim 1 , wherein a thickness of the second substrate is in a range from approximately 20 um to approximately 40 um.4. The semiconductor device of claim 1 , further comprising a protection layer between the first substrate and the second substrate.5. The semiconductor device of claim 4 , wherein the protection layer is formed of low stress Nitride (LSN).6. The semiconductor device of claim 1 , wherein the conductivity of the first ...

Подробнее
12-03-2020 дата публикации

ENCAPSULATED MICROELECTROMECHANICAL STRUCTURE

Номер: US20200079646A1
Принадлежит:

A semiconductor layer having an opening and a MEMS resonator formed in the opening is disposed between first and second substrates to encapsulate the MEMS resonator. An electrical contact that extends from the opening to an exterior of the MEMS device is formed at least in part within the semiconductor layer and at least in part within the first substrate. 1. (canceled)2. An integrated circuit device comprising:a resonant microelectromechanical system (MEMS) structure supported by a first substrate, wherein the resonant MEMS structure comprises a silicon material doped with phosphorus;a second substrate mounted to the first substrate so as to enclose the resonant MEMS structure within a chamber defined between interior surfaces respective to the first substrate and the second substrate;an electrical contact formed in an exterior surface of one of the first substrate or the second substrate, the electrical contact to provide an output signal representing a resonance frequency of the resonant MEMS structure; andoscillator circuitry to generate a clock signal from the output signal.3. The integrated circuit device of wherein the oscillator circuitry comprises compensation circuitry to compensate a frequency represented by the output signal and a fractional locked-loop circuit to generate the clock signal as a frequency-multiple of a compensated frequency produced by the compensation circuitry.4. The integrated circuit device of wherein the silicon material comprises single crystal silicon.5. The integrated circuit device of wherein the resonant MEMS structure is formed from the first substrate by an etch-release process claim 2 , the chamber being at least partially formed by the etch-release process.6. The integrated circuit device of wherein the second substrate is bonded in fixed relation to the first substrate.7. The integrated circuit device of wherein the etch-release process is characterized by the presence of trenches in at least one of the first substrate or ...

Подробнее
31-03-2016 дата публикации

METHOD TO IMPROVE CANTILEVER PROCESS PERFORMANCE

Номер: US20160090292A1
Автор: Ni Liang, WANG XINXUE
Принадлежит:

A method of manufacturing a cantilever structure includes providing a semiconductor substrate, forming a recess in the semiconductor substrate, forming a sacrificial layer in the recess, forming a cantilever structure layer on the semiconductor substrate and the sacrificial layer, performing an etching process to remove a portion of the cantilever structure layer until a surface of the sacrificial layer is exposed to form a cantilever structure and an opening, and removing a portion of the sacrificial layer to form a void below the cantilever structure so that the cantilever structure is suspended in the void. The cantilever structure thus formed has good morphological properties to ensure that the cantilever structure is free of residues at the bottom and has excellent suspension even if the width of the cantilever structure is relatively large. 1. A method of manufacturing a semiconductor device , comprising:providing a semiconductor substrate;forming a recess in the semiconductor substrate;forming a sacrificial layer in the recess;forming a cantilever structure layer on the semiconductor substrate and the sacrificial layer;performing an etching process to remove a portion of the cantilever structure layer until a surface of the sacrificial layer is exposed to form a cantilever structure and an opening;removing a portion of the sacrificial layer to form a void below the cantilever structure so that cantilever structure is suspended in the void.2. The method of claim 1 , wherein forming the recess comprises:forming a photoresist layer having an opening on the semiconductor substrate;{'sub': '6', 'performing an isotropic etching process to remove a portion of the semiconductor substrate using the photoresist layer as a mask with a reaction gas of SF, a pressure of 50 mTorr to 150 mTorr, and a power of 500 W to 1500 W.'}3. The method of claim 1 , wherein forming the sacrificial layer in the recess comprises:depositing the sacrificial layer on the semiconductor ...

Подробнее
05-05-2022 дата публикации

MICROFLUIDIC DEVICES WITH ELECTRODES FORMED AS PHYSICALLY SEPARATED SECTIONS OF MICROCHANNEL SIDE WALLS

Номер: US20220135399A1
Принадлежит:

A device includes a first layer of an electrically insulating material and a second layer of a non-electrically insulating material (e.g., semiconductor or electrically conductive) extending on the first layer. The second layer is structured so as to define opposite, lateral walls of a microchannel, a bottom wall of which is defined by an exposed surface of the first layer. The second layer is further structured to form one or more electrical insulation barriers; each barrier includes a line of through holes, each surrounded by an oxidized region of the material of the second layer. The through holes alternate with oxidized portions of the oxidized region along the line. Each barrier extends, as a whole, laterally across the second layer up to one of the lateral walls and delimits two sections of the second layer on each side of the barrier and on a same side of the microchannel.

Подробнее
01-04-2021 дата публикации

SHARP, VERTICALLY ALIGNED NANOWIRE ELECTRODE ARRAYS, HIGH-YIELD FABRICATION AND INTRACELLULAR RECORDING

Номер: US20210093246A1
Принадлежит:

A nanowire electrode array has a plurality of vertical nanowires extending from a substrate, each of the nanowires including a core of unitary first dielectric material that also covers the substrate and is unitary with the substrate. Each core has a sharp sub-100 nm diameter tip and a wider base, electrode leads on sidewalls to the tip of the nanowire, and second dielectric covering the electrode leads. The tips in the array can penetrate individual cells in cell culture, such as a mini-brain culture. The substrate can include a window for simultaneous optical imaging and electrophysiological recording. 1. A nanowire electrode array comprising a plurality of vertical nanowires extending from a substrate , each of the nanowires comprising a core of unitary first dielectric material that also covers the substrate and is unitary with the substrate , each core having a sharp sub-100 nm diameter tip and a wider base , electrode leads on sidewalls to the tip of the nanowire , and second dielectric covering the electrode leads.2. The nanowire electrode array of claim 1 , further comprising a window in the substrate for simultaneous optical imaging and electrophysiological recording.3. The nanowire electrode array of claim 1 , wherein the sharp tips of the nanowires have a diameter of less than 20 nm.4. The nanowire electrode array of claim 3 , wherein the sharp tips of the nanowires have a diameter of less than 10 nm.5. The nanowire electrode array of claim 4 , wherein the sharp tips of the nanowires have a diameter of a few nm.6. The nanowire electrode array of claim 3 , wherein the nanowires have a diameter of about 60 nm at the base7. The nanowire electrode array of claim 3 , wherein the nanowires have a height of 2 μm˜15 μm.8. The nanowire electrode array of claim 1 , wherein nanowires extend from a planar surface of the substrate.9. The nanowire electrode array of claim 1 , wherein nanowires extend from a shaped surface of the substrate.10. The nanowire electrode ...

Подробнее
14-04-2016 дата публикации

Low-stress doped ultrananocrystalline diamond

Номер: US20160101974A1
Принадлежит: UChicago Argonne LLC

Nanocrystalline diamond coatings exhibit stress in nano/micro-electro mechanical systems (MEMS). Doped nanocrstalline diamond coatings exhibit increased stress. A carbide forming metal coating reduces the in-plane stress. In addition, without any metal coating, simply growing UNCD or NCD with thickness in the range of 3-4 micron also reduces in-plane stress significantly. Such coatings can be used in MEMS applications.

Подробнее
26-03-2020 дата публикации

Method of making ohmic contact on low doped bulk silicon for optical alignment

Номер: US20200095119A1

Various embodiments of the present disclosure are directed towards a method for forming an integrated chip including an epitaxial layer overlying a microelectromechanical systems (MEMS) substrate. The method includes bonding a MEMS substrate to a carrier substrate, the MEMS substrate includes monocrystalline silicon. An epitaxial layer is formed over the MEMS substrate, the epitaxial layer has a higher doping concentration than the MEMS substrate. A plurality of contacts are formed over the epitaxial layer, the plurality of contacts respectively form ohmic contacts with the epitaxial layer.

Подробнее
21-04-2016 дата публикации

SUBSTRATE FOR DIAPHRAGM-TYPE RESONANT MEMS DEVICES, DIAPHRAGM-TYPE RESONANT MEMS DEVICE AND METHOD FOR MANUFACTURING SAME

Номер: US20160107880A1
Принадлежит: FUJIFILM Corporation

A producing method for a diaphragm-type resonant MEMS device includes forming a first silicon oxide film, forming a second silicon oxide film, forming a lower electrode, forming a piezoelectric film, forming an upper electrode, laminating the first silicon oxide film, the second silicon oxide film, the lower electrode, the piezoelectric film, and the upper electrode in this order on a first surface of a silicon substrate, and etching the opposite side surface of the first surface of the silicon substrate by deep reactive ion etching to form a diaphragm structure, in which the proportion Rof the film thickness tof the second silicon oxide film with respect to the sum of the film thickness tof the first silicon oxide film and the film thickness tof the second silicon oxide film satisfies the following condition: 1. A method for producing a diaphragm-type resonant micro electro mechanical system device , the method comprising the steps of:forming a first silicon oxide film by thermal oxidation or by a process including a heat treatment at 900° C. or above;forming a second silicon oxide film having a stress with an absolute value of 100 MPa or less;forming a lower electrode;forming a piezoelectric film;forming an upper electrode;laminating, on a first surface of a silicon substrate, the first silicon oxide film, the second silicon oxide film, the lower electrode, the piezoelectric film, and the upper electrode in this order; andetching the opposite side surface of the first surface of the silicon substrate by deep reactive ion etching until the first silicon oxide film is exposed so as to form a recess, and thereby forming a diaphragm structure including a diaphragm having the first silicon oxide film and the second silicon oxide film,{'sub': 1', '2', '2', '1', '2', '2, 'claim-text': [{'br': None, 'sub': '1', '0.10 μm≦t≦2.00 μm; and'}, {'br': None, 'sub': '2', 'R≧0.70.'}], 'wherein when the film thickness of the first silicon oxide film is designated as t, the film ...

Подробнее
29-04-2021 дата публикации

MEMS ELEMENT WITH INCREASED DENSITY

Номер: US20210122628A1
Автор: PEUSSA Marko
Принадлежит:

A microelectromechanical device comprising a mobile rotor in a silicon wafer. The rotor comprises one or more high-density regions. The one or more high-density regions in the rotor comprise at least one high-density material which has a higher density than silicon. The one or more high-density regions have been formed in the silicon wafer by filling one or more fill trenches in the rotor with the at least one high-density material. The one or more fill trenches have a depth/width aspect ratio of at least 10, and the one or more fill trenches have been filled by depositing the high-density material into the fill trenches in an atomic layer deposition (ALD) process. 1. A method for manufacturing a microelectromechanical device comprising a mobile rotor in a silicon wafer , wherein the method comprises:{'b': '1', 'b) etching one or more fill trenches in the rotor in a plasma etching process, wherein the one or more fill trenches have a depth/width aspect ratio of at least 10; and'}{'b': '2', 'b) filling the one or more fill trenches with a high-density material by depositing the high-density material into the one or more fill trenches by atomic layer deposition, so that a high-density region is formed in the one or more fill trenches.'}3. The method according to claim 1 , wherein the high-density material comprises a carbide of tungsten claim 1 , tantalum claim 1 , yttrium claim 1 , neodymium claim 1 , cerium claim 1 , lanthanum claim 1 , zirconium claim 1 , indium claim 1 , niobium claim 1 , molybdenum or hafnium.4. The method according to claim 1 , wherein the high-density material comprises a nitride of tungsten claim 1 , tantalum claim 1 , yttrium claim 1 , neodymium claim 1 , cerium claim 1 , lanthanum claim 1 , zirconium claim 1 , indium claim 1 , niobium claim 1 , molybdenum or hafnium.5. The method according to claim 1 , wherein the high-density material comprises an oxide of tungsten claim 1 , tantalum or yttrium.6. A microelectromechanical device claim 1 , ...

Подробнее
03-05-2018 дата публикации

Method and Structure for CMOS-MEMS Thin Film Encapsulation

Номер: US20180118560A1
Принадлежит:

Representative methods for sealing MEMS devices include depositing insulating material over a substrate, forming conductive vias in a first set of layers of the insulating material, and forming metal structures in a second set of layers of the insulating material. The first and second sets of layers are interleaved in alternation. A dummy insulating layer is provided as an upper-most layer of the first set of layers. Portions of the first and second set of layers are etched to form void regions in the insulating material. A conductive pad is formed on and in a top surface of the insulating material. The void regions are sealed with an encapsulating structure. At least a portion of the encapsulating structure is laterally adjacent the dummy insulating layer, and above a top surface of the conductive pad. An etch is performed to remove at least a portion of the dummy insulating layer. 1. A micro-electromechanical system (MEMS) device , comprising:an insulating layer over a substrate, the insulating layer comprising a plurality of first layers and a plurality of second layers interleaved with the plurality of first layers;a first plurality of conductive vias in the plurality of first layers of the insulating layer;a first plurality of metal features in the plurality of second layers of the insulating layer;a first void region in the insulating layer, the first void region comprising a first set of openings in the plurality of first layers and a second set of openings in the plurality of second layers;a first contact pad disposed in the insulating layer at a top surface of the insulating layer; anda first sealing structure over the first void region, the first sealing structure sealing the first void region.2. The MEMS device of claim 1 , wherein the first set of openings is connected with the second set of openings.3. The MEMS device of claim 2 , wherein the first set of openings and the second set of openings form a continuous opening.4. The MEMS device of claim 2 , ...

Подробнее
04-05-2017 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20170121170A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A structure , comprising:at least one insulator layer on a conductor layer such that a volume of the conductor is adjusted to modify beam bending characteristics, and an upper electrode and the conductor layer are composed of Ti/AlCu/Ti/TiN,wherein the modified beam bending characteristics are provided over an entire temperature range including a lower limit of about −55° C. to an upper limit of about 125° C.2. The structure of claim 1 , wherein the conductor layer is in a trench.3. The structure of claim 1 , wherein the upper electrode is U-shaped with a via between opposing sides of the upper electrode.4. The structure of claim 1 , wherein the upper electrode is over the at least one insulator layer on top of the conductor layer.5. The structure of claim 4 , wherein a metal volume of the conductor layer and a metal volume of the upper electrode are based at least on the layout of the conductor layer.6. The structure of claim 4 , wherein the conductor layer and the upper electrode are different in thicknesses relative to one another claim 4 , with a metal volume of the upper electrode and the conductor layer being balanced.7. The structure of claim 4 , wherein the conductor layer and the upper electrode are asymmetric relative to one another.8. The structure of claim 7 , wherein the conductor layer has diamond patterned shapes removed such that the conductor layer is asymmetric relative to the upper electrode.9. The structure of claim 1 , wherein the conductor layer has a ...

Подробнее
25-04-2019 дата публикации

AMORPHOUS THIN METAL FILM

Номер: US20190119101A1
Принадлежит:

An amorphous thin film stack can include a first layer including a combination metals or metalloids including: 5 at % to in 90 at % of a metalloid; 5 at % to 90 at % of a first metal and a second metal independently selected from titanium, vanadium, chromium, iron, cobalt, nickel, niobium, molybdenum, ruthenium, rhodium, palladium, tantalum, tungsten, osmium, iridium, or platinum. The three elements may account for at least 70 at % of the amorphous thin film stack. The stack can further include a second layer formed on a surface of the first layer. The second layer can be an oxide layer, a nitride layer, or a combination thereof. The second layer can have an average thickness of 10 angstroms to 200 microns and a thickness variance no greater than 15% of the average thickness of the second layer. 1. An amorphous thin film stack , comprising: 5 at % to 90 at % of a metalloid, wherein the metalloid is carbon, silicon, or boron,', '5 at % to 90 at % of a first metal, wherein the first metal is titanium, vanadium, chromium, iron, cobalt, nickel, niobium, molybdenum, ruthenium, rhodium, palladium, tantalum, tungsten, osmium, iridium, or platinum, and', '5 at % to 90 at % of a second metal, wherein the second metal is titanium, vanadium, chromium, iron, cobalt, nickel, niobium, molybdenum, ruthenium, rhodium, palladium, tantalum, tungsten, osmium, iridium, or platinum, wherein the second metal is different than the first metal,', 'wherein the metalloid, the first metal, and the second metal account for at least 70 at % of the amorphous thin metal film; and, 'a first layer of an amorphous thin metal film, comprisinga second layer formed on a surface of the first layer, the second layer being an oxide layer, a nitride layer, or a combination thereof, and the second layer having an average thickness of 10 angstroms to 200 microns and having a thickness variance no greater than 15% of the average thickness of the second layer.2. The amorphous thin film stack of claim 1 , ...

Подробнее
02-05-2019 дата публикации

FORMING A PASSIVATION COATING FOR MEMS DEVICES

Номер: US20190127212A1
Принадлежит:

In described examples, a MEMS device component includes a passivation layer formed from a vapor and/or a liquid compound that may include precursors. The compound may contain amino acid, antioxidants, nitriles or other compounds, and may be disposed on a surface of the MEMS device component and/or a package or package portion thereof. If the compound is a precursor, it may be treated to cause formation of the passivation layer from the precursor. 1. A method of manufacturing a MEMS device , comprising:exposing a first MEMS device component to a vapor; andforming, subsequent to the vapor exposure, a passivation layer on at least one exposed surface of the component, wherein the vapor comprises a material having a bulk dielectric constant of at least 4.02.2. The method of claim 1 , wherein the vapor comprises material a bulk dielectric constant of at least 40.2.3. The method of claim 1 , further comprising treating the MEMS device subsequent to exposure to the vapor treatment claim 1 , wherein the passivation layer is formed in response to the vapor treating.4. The method of claim 1 , wherein the treating is via an at least one device via a heat treatment claim 1 , a photochemical treatment or an electrochemical treatment.5. The method of claim 4 , wherein the heat treatment cycle is an annealing cycle under vacuum and wherein the electrochemical treatment comprises actuating the MEMS device.6. The method of claim 1 , wherein the vapor comprises a solvent that has a bulk electrochemical window from −2.0 volts vs. SCE cathodic to 1.5 volts vs. SCE anodic.7. The method of claim 1 , wherein the vapor further comprises N-Methyl-2-pyrrolidone (NMP) or propylene carbonate.8. The method of claim 1 , wherein the vapor further comprises at least one of benzonitrile (CHCN) or tetramethylene sulfone ((CH)SO).9. A method of manufacturing a MEMS device claim 1 , comprising:exposing a MEMS device component to a vapor; andforming, subsequent to the vapor exposure, a passivation ...

Подробнее
03-06-2021 дата публикации

FABRICATING CALCITE NANOFLUIDIC CHANNELS

Номер: US20210162352A1
Принадлежит: Saudi Arabian Oil Company

A method for fabricating calcite channels in a nanofluidic device is described. A porous membrane is attached to a substrate. Calcite is deposited in porous openings in the porous membrane attached to the substrate. A width of openings in the deposited calcite is in a range from 50 to 100 nanometers (nm). The porous membrane is etched to remove the porous membrane from the substrate to form a fabricated calcite channel structure. Each channel has a width in the range from 50 to 100 nm. 1. A system comprising:a nanofluidic calcite chip that includes a substrate and a fabricated calcite channel structure, wherein the fabricated calcite channel structure is created by etching a porous membrane attached to the substrate to remove the porous membrane from the substrate, wherein the etching leaves, as remaining to form the fabricated calcite channel structure, calcite previously deposited in the porous membrane, and wherein a width of openings in the calcite is in a range from 50 to 100 nanometers (nm); andan SEM chamber including an SEM sample stage for holding the nanofluidic calcite chip; and;an electron beam gun configured to emit electrons through the nanofluidic calcite chip to produce images while a fluid is being passed through the nanofluidic calcite chip.2. The system of claim 1 , wherein the substrate comprises silicon.3. The system of claim 1 , further comprising a casing that encases the nanofluidic calcite chip.4. The system of claim 3 , wherein the casing further encases a window claim 3 , attached to the nanofluidic calcite chip claim 3 , through which the electrons pass before passing through the nanofluidic calcite chip.5. The system of claim 4 , wherein the window comprises an electrically conductive and optically transparent material.6. The system of claim 5 , wherein in the electrically conductive and optically transparent material comprises silicon nitride (SiN).7. The system of claim 1 , further comprising an inlet line and an outlet line for ...

Подробнее
19-05-2016 дата публикации

Epi-Poly Etch Stop for Out of Plane Spacer Defined Electrode

Номер: US20160137485A1
Принадлежит: ROBERT BOSCH GMBH

In one embodiment, a method of forming an out-of-plane electrode includes forming an oxide layer above an upper surface of a device layer, etching an etch stop perimeter defining trench extending through the oxide layer, forming a first cap layer portion on an upper surface of the oxide layer and within the etch stop perimeter defining trench, etching a first electrode perimeter defining trench extending through the first cap layer portion and stopping at the oxide layer, depositing a first material portion within the first electrode perimeter defining trench, depositing a second cap layer portion above the deposited first material portion, and vapor releasing a portion of the oxide layer with the etch stop portion providing a lateral etch stop. 1. A method of forming an out-of-plane electrode , comprising:forming an oxide layer above an upper surface of a device layer;etching an etch stop perimeter defining trench extending through the oxide layer;forming a first cap layer portion on an upper surface of the oxide layer and within the etch stop perimeter defining trench;etching a first electrode perimeter defining trench extending through the first cap layer portion and stopping at the oxide layer;depositing a first material portion within the first electrode perimeter defining trench;depositing a second cap layer portion above the deposited first material portion; andvapor releasing a portion of the oxide layer with the etch stop portion providing a lateral etch stop.2. The method of claim 1 , further comprising:depositing a third cap layer portion above the second cap layer portion after vapor releasing the portion of the oxide layer;etching a second electrode perimeter defining trench extending through the second cap layer portion and the third cap layer portion; anddepositing a second material portion within the second electrode perimeter defining trench, such that a spacer including the first material portion and the second material portion define a perimeter ...

Подробнее
02-05-2019 дата публикации

MOX-BASED GAS SENSOR AND MANUFACTURING METHOD THEREOF

Номер: US20190128830A1
Принадлежит:

Gas sensor, comprising: a substrate of semiconductor material; a first working electrode on the substrate; a second working electrode on the substrate, at a distance from the first working electrode; an interconnection layer extending in electrical contact with the first and the second working electrode, configured to change its conductivity when reacting with gas species to be detected. The interconnection layer is of titanium oxide, has a porosity between 40% and 60% in volume and is formed by a plurality of meso-pores having at least one dimension in the range 6-30 nm connected to nano-pores having at least one respective dimension in the range 1-5 nm. 1. A gas sensor for sensing a gas species in an environment , comprising:a substrate of semiconductor material;a first working electrode over the substrate;a second working electrode over the substrate, at a distance from the first working electrode; a plurality of meso-pores in fluidic connection with the environment to receive the gas species and having at least one dimension in the range 5-30 nm, and', 'a plurality of nano-pores, having at least one dimension in the range 1-5 nm, the plurality of nano-pores being fluidly connected to the plurality of meso-pores., 'an interconnection layer extending in electrical contact with the first and the second working electrodes, configured to change its conductivity when reacting with the gas species, wherein the interconnection layer is of titanium oxide, has a porosity between 40% and 60% in volume and includes2. The gas sensor according to claim 1 , wherein the interconnection layer has a thickness in the range 200-1000 nm.3. The gas sensor according to claim 1 , wherein the interconnection layer has a porosity of about 50% in volume.4. The gas sensor according to claim 1 , wherein the interconnection layer has a sponge-like structure that includes rods separated by said meso-pores claim 1 , the rods having an internal structure including an interconnected network of ...

Подробнее
11-05-2017 дата публикации

Low-stress low-hydrogen lpcvd silicon nitride

Номер: US20170133472A1
Принадлежит: Texas Instruments Inc

A microelectronic device contains a high performance silicon nitride layer which is stoichiometric within 2 atomic percent, has a low stress of 600 MPa to 1000 MPa, and has a low hydrogen content, less than 5 atomic percent, formed by an LPCVD process. The LPCVD process uses ammonia and dichlorosilane gases in a ratio of 4 to 6, at a pressure of 150 millitorr to 250 millitorr, and at a temperature of 800° C. to 820° C.

Подробнее
09-05-2019 дата публикации

Electrochemical gas sensor constructed with mems fabrication technology

Номер: US20190137440A1
Принадлежит: Honeywell International Inc

Apparatus and associated methods relate to a micro-electro-mechanical system (MEMS) based gas sensor including an electrolyte contacting one or more top electrode(s) arranged on the bottom surface of a top semiconductor substrate (TSS), and one or more bottom electrode(s) arranged on the top of a bottom semiconductor substrate (BSS), the TSS and BSS joined with an adhesive seal around the electrolyte, the sensor including one or more capillaries providing gaseous communication to the electrolyte from an external ambient environment. The electrodes may be electrically accessed by one or more vias to externally accessible bond pads. In some examples, an electrical connection may be made from an additional bond pad on top of the TSS to the electrolyte. Various embodiments may reduce the size of various gas sensors to advantageously allow their inclusion into portable electronic devices.

Подробнее
25-05-2017 дата публикации

MICRO-ELECTRO-MECHANICAL DEVICE HAVING TWO BURIED CAVITIES AND MANUFACTURING PROCESS THEREOF

Номер: US20170144881A1
Принадлежит:

A micro-electro-mechanical device formed in a monolithic body of semiconductor material accommodating a first buried cavity; a sensitive region above the first buried cavity; and a second buried cavity extending in the sensitive region. A decoupling trench extends from a first face of the monolithic body as far as the first buried cavity and laterally surrounds the second buried cavity. The decoupling trench separates the sensitive region from a peripheral portion of the monolithic body. 1. A micro-electro-mechanical device , comprising:a monolithic body of semiconductor material having a first face and a second face;a first buried cavity in the monolithic body of semiconductor material;a sensitive region in the monolithic body facing the first buried cavity;a movable element over a second cavity that faces the first buried cavity; anda decoupling trench extending from the first face of the monolithic body as far as the first buried cavity, the decoupling trench separating the sensitive region from a peripheral portion of the monolithic body.2. The device according to claim 1 , wherein the second cavity is buried in the sensitive region claim 1 , wherein the movable element is a membrane in the sensitive region and arranged between the second cavity and the first face.3. The device according to claim 2 , wherein the membrane accommodates electronic components.4. The device according to claim 1 , wherein the movable element and the second cavity are spaced apart from the first face of the monolithic body claim 1 , the movable element supported by a structural element that is coupled to the first face of the monolithic body.5. The device according to claim 4 , wherein the movable element is part of a MEMS inertial sensor.6. The device according to claim 1 , wherein the decoupling trench has a spiral shape delimiting the sensitive region and an arm claim 1 , the sensitive region and the arm being suspended over the first buried cavity claim 1 , the arm supporting the ...

Подробнее
25-05-2017 дата публикации

MICROELECTRONIC PACKAGE AND METHOD OF MANUFACTURING A MICROELECTRONIC PACKAGE

Номер: US20170144883A1
Принадлежит:

The present invention concerns a microelectronic package () comprising a microelectronic structure () having at least a first opening () and defining a first cavity (), a capping layer () having at least a second opening () and defining a second cavity () which is connected to the first cavity (), wherein the capping layer () is arranged over the microelectronic structure () such that the second opening () is arranged over the first opening (), and a sealing layer () covering the second opening (), thereby sealing the first cavity () and the second cavity (). Moreover, the present invention concerns a method of manufacturing the microelectronic package (). 1. Microelectronic package comprisinga microelectronic structure having at least a first opening and defining a first cavity,a capping layer having at least a second opening and defining a second cavity which is connected to the first cavity, wherein the capping layer is arranged over the microelectronic structure such that the second opening is arranged over the first opening, anda sealing layer covering the second opening, thereby sealing the first cavity and the second cavity.2. Microelectronic package according to claim 1 , wherein the second opening has a width which is smaller than a width of the first opening.3. Microelectronic package according claim 1 ,wherein the width of the first opening is at least twice as big as the width of the second opening.4. Microelectronic package according to claim 1 ,wherein the width of the first opening is at least five times as big as the width of the second opening.5. Microelectronic package according to claim 1 ,wherein the sealing layer comprises an inorganic material.6. Microelectronic package according to claim 1 ,wherein the sealing layer comprises one of silicon dioxide, silicon hydride or silicon nitride.7. Microelectronic package according to claim 1 ,wherein the capping layer comprises more than one second opening,wherein the microelectronic structure comprises ...

Подробнее
24-05-2018 дата публикации

MANUFACTURING METHOD OF MICRO-NANO STRUCTURE ANTIREFLECTIVE COATING LAYER AND DISPLAY APPARATUS THEREOF

Номер: US20180143352A1
Автор: ZHA GUOWEI
Принадлежит:

A manufacturing method of micro-nano structure antireflective coating layer and a display apparatus thereof are described. The method includes providing a substrate, forming a silicon oxide layer on the substrate, forming a graphene layer with a hexagonal honeycomb lattice on the silicon oxide layer, and forming a bottom surface of the antireflective coating layer in the nucleation points by serving the graphene layer as a growing base layer, wherein a diffusion length and an atomic mass of diffusion atoms of the antireflective coating layer are decreased with time by a gradient growing manner to form a upper surface of the antireflective coating layer. 1. A method of manufacturing a micro-nano structure antireflective coating layer , comprising:providing a substrate;forming a silicon oxide layer on the substrate, wherein the silicon oxide layer comprises a plurality of holes which provide a plurality of nucleation points of an antireflective coating layer;forming a graphene layer with a hexagonal honeycomb lattice on the silicon oxide layer; andforming a bottom surface of the antireflective coating layer in the nucleation points by serving the graphene layer as a growing base layer so that the bottom surface is a hexagonal shape, wherein a diffusion length and an atomic mass of diffusion atoms of the antireflective coating layer are decreased with time by a gradient growing manner to form a upper surface of the antireflective coating layer;wherein the gradient growing manner comprises a growth temperature adjustment and a control concentration of a growth source, and wherein a material of the antireflective coating layer is either zinc oxide or silicon.2. The method of manufacturing the micro-nano structure antireflective coating layer of claim 1 , wherein a formation the graphene layer is selected from one group consisting of a chemical vapor deposition method claim 1 , an epitaxially silicon carbide extension in a temperature annealing manner claim 1 , and an ...

Подробнее
31-05-2018 дата публикации

PROCESS FOR PRODUCING AN ELECTROMECHANICAL DEVICE

Номер: US20180148328A1

The invention is a process for producing an electromechanical device including a movable portion that is able to deform with respect to a fixed portion. The process implements steps based on fabrication microtechnologies, applied to a substrate including an upper layer, an intermediate layer and a lower layer. These steps are: 1. A Method for producing an electromechanical device from a substrate , called the base substrate , the base substrate comprising an intermediate layer placed between a lower layer and an upper layer , each layer lying parallel to a plane , called the plane of the substrate , the process comprising the following steps:a) etching the upper layer so as to form first apertures, transversely to the plane of the substrate, the first apertures extending through the upper layer to the intermediate layer;b) removing a portion of the intermediate layer lying between the first apertures, so as to form an empty cavity in the intermediate layer, said empty cavity lying, in the plane of the substrate, between the first apertures, and below the upper layer;c) forming a blocking layer on the upper layer, the blocking layer covering the first apertures formed in step a), the blocking layer and the upper layer forming a suspended microstructure lying above the cavity formed in step b), between the first apertures;d) producing a boundary trench in the suspended microstructure formed in step c), the boundary trench opening onto the cavity formed in step b), the boundary trench separating the suspended microstructure into a fixed portion and a movable portion, the movable portion being able to deform and overhanging the cavity, the movable portion forming a movable member of the electromechanical device.2. The method of claim 1 , including:prior to step c), forming at least one central aperture, through the upper layer, each central aperture lying, in the plane of the substrate, between at least two first apertures, such that, prior to step c), the central ...

Подробнее
01-06-2017 дата публикации

METHOD FOR ENCAPSULATING A MICROELECTRONIC DEVICE WITH A RELEASE HOLE OF VARIABLE DIMENSION

Номер: US20170152137A1

Method for encapsulating a microelectronic device, comprising the following steps: 1. A method for encapsulating at least one microelectronic device , comprising at least:producing at least one portion of sacrificial material covering at least the microelectronic device;producing at least one cover covering at least the portion of sacrificial material, the cover comprising at least two superimposed layers of separate materials and having different residual stresses and/or different coefficients of thermal expansion;etching, through the cover, of at least one trench of which the pattern, at an upper face of the cover, comprises at least one curve and/or at least two straight segments non-parallel with respect to each other and joining up at an intersection point;etching of the portion of sacrificial material through the trench such that, during this etching, a portion of the cover defined by the trench deforms under the effect of a mechanical stress generated by the residual stresses and/or a thermal expansion of said at least two layers of the cover and increases the dimensions of the trench;at least partial elimination of the mechanical stress;depositing at least one sealing material at least on the trench.2. The method according to claim 1 , in which claim 1 , during the etching of the portion of sacrificial material claim 1 , the deformation of the portion of the cover is such that a free end of the portion of the cover moves outside a cavity formed by the etching of the portion of sacrificial material.3. The method according to claim 1 , in which a first of the two layers of the cover arranged between the portion of sacrificial material and a second of the two layers of the cover comprises a residual stress of different value and/or type to the residual stress of the second of the two layers of the cover.4. The method according to claim 3 , in which the first of the two layers of the cover comprises a residual compressive stress and the second of the two layers ...

Подробнее
01-06-2017 дата публикации

TRANSDUCER ELEMENT AND METHOD OF MANUFACTURING A TRANSDUCER ELEMENT

Номер: US20170156008A1
Автор: Rasmussen Kurt
Принадлежит:

The present invention concerns a transducer element () which comprises a substrate () which comprises a cavity () extending through the substrate (), a backplate () which is arranged in the cavity () of the substrate () and a membrane () which is movable relative to the backplate (). Further, the present invention concerns a method of manufacturing a transducer element (). 1. Transducer element , comprisinga substrate which comprises a cavity extending through the substrate,a backplate which is arranged in the cavity of the substrate, anda membrane which is movable relative to the backplate.2. Transducer element according to claim 1 ,wherein the substrate comprises an upper surface which faces towards the membrane,wherein the backplate comprises an upper surface which faces towards the membrane, andwherein the upper surface of the substrate and the upper surface of the backplate are on the same level.3. Transducer element according to claim 1 ,wherein the substrate has a thickness of 500 μm or less.4. Transducer element according to claim 1 ,wherein a first contact pad is arranged on the backplate,wherein a second contact pad is arranged on the membrane, andwherein the first and the second contact pad are on the same level.5. Transducer element according to claim 4 ,wherein a third contact pad is arranged on the substrate, andwherein the third contact pad is on the same level as the first and the second contact pad.6. Transducer element according to claim 1 ,further comprising an upper backplate which is arranged on the side of the membrane which faces away from the substrate.7. MEMS microphone comprising a transducer element wherein the transducer element comprises a substrate which comprises a cavity extending through the substrate claim 1 , a backplace which is arranged in the cavity of the substrate claim 1 , and a membrane which is movable relative to the backplate.8. Method of manufacturing a transducer element claim 1 , comprising the steps of:providing a ...

Подробнее
23-05-2019 дата публикации

Planar cavity mems and related structures, methods of manufacture and design structures

Номер: US20190152767A1
Принадлежит: International Business Machines Corp

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending.

Подробнее
08-06-2017 дата публикации

ADDITIONAL SURFACE FOR STABILIZING THE INTERNAL CAVITY PRESSURE OVER THE LIFETIME

Номер: US20170158489A1
Принадлежит:

A method for manufacturing a micromechanical component including a substrate and including a cap, which is connected to the substrate and, together with the substrate, encloses a first cavity, a first pressure prevailing and a first gas mixture having a first chemical composition being enclosed in the first cavity. An access opening connecting the first cavity to surroundings of the micromechanical component is formed in the substrate or in the cap. The first pressure and/or the first chemical composition is adjusted. The access opening is sealed by introducing energy or heat into an absorbing part of the substrate or the cap with the aid of a laser. A getter material is deposited on or grown on a surface of the substrate facing the first cavity and/or a surface of the cap facing the first cavity for further adjustment of the first pressure and/or of the first chemical composition. 1. A method for manufacturing a micromechanical component including a substrate and a cap , which is connected to the substrate , the cap , together with the substrate , enclosing a first cavity , a first pressure prevailing and a first gas mixture having a first chemical composition being enclosed in the first cavity , the method comprising:in a first method step, forming in the substrate or cap an access opening connecting the first cavity to surroundings of the micromechanical component;in a second method step, adjusting, in the first cavity, at least one of the first pressure and the first chemical composition;in a third method step, sealing the access opening by introducing energy or heat into an absorbing part of the substrate or the cap, with the aid of a laser; andwherein in a fourth method step, depositing or growing a getter material at least one of: i) on a first surface of the substrate facing the first cavity, ii) on a second surface of the cap, facing the first cavity, for further adjustment of the at least one of the first pressure and the first chemical composition.2. The ...

Подробнее
24-06-2021 дата публикации

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер: US20210188628A1
Принадлежит:

Disclose is a method for fabricating a semiconductor device. The method includes: forming a groove such as by etching one side surface of a first substrate; attaching a second substrate including a silicon layer on the etched surface of the first substrate formed with the hollow groove; etching the second substrate so as to leave substantially only the silicon layer; forming a thin film structure on the surface of silicon layers of the second substrate; and separating the second substrate formed with the thin film structure from the first substrate. For example, the groove structure may be formed in the lower portion of the device in the process of fabricating the semiconductor device to facilitate the final device separation. 1. A method for fabricating a semiconductor device , comprising:(a) forming a groove on a first substrate;(b) attaching a second substrate comprising a silicon active layer to a surface of the first substrate formed with the groove;(c) etching the second substrate so as to leave substantially only the silicon active layer; and(d) forming a thin film structure on the silicon active layer of the second substrate.2. The method of further comprising separating the second substrate formed with the thin film structure from the first substrate.3. A method for fabricating a semiconductor device claim 1 , comprising:forming a groove on one side surface of a first substrate;attaching a second substrate comprising a silicon active layer to the surface of the first substrate formed with the groove;etching the second substrate so as to leave substantially only the silicon active layer;forming a thin film structure on the silicon active layer of the second substrate; andseparating the second substrate formed with the thin film structure from the first substrate.4. The method of claim 3 , wherein the thin film structure comprises one or more insulating film layers.5. The method of claim 3 , wherein the first substrate comprises one or more selected from the ...

Подробнее
07-06-2018 дата публикации

Mems process power

Номер: US20180159021A1
Автор: Robert Littrell
Принадлежит: Vesper Technologies Inc

A transducer includes a first piezoelectric layer; and a second piezoelectric layer that is above the first piezoelectric layer; wherein the second piezoelectric layer is a more compressive layer with an average stress that is less than or more compressive than an average stress of the first piezoelectric layer.

Подробнее
16-06-2016 дата публикации

ENCAPSULATED MICROELECTROMECHANICAL STRUCTURE

Номер: US20160167950A1
Принадлежит:

A semiconductor layer having an opening and a MEMS resonator formed in the opening is disposed between first and second substrates to encapsulate the MEMS resonator. An electrical contact that extends from the opening to an exterior of the MEMS device is formed at least in part within the semiconductor layer and at least in part within the first substrate. 120-. (canceled)21. A microelectromechanical system (MEMS) device comprising:a semiconductor layer disposed between first and second substrate layers and having an opening therein;a MEMS resonator disposed within the opening in the semiconductor layer and encapsulated therein by the first and second substrate layers; andan electrical contact extending from the opening to an exterior of the MEMS device and formed at least in part by the semiconductor layer and the first substrate layer.22. The MEMS device of wherein the first substrate layer comprises interior and exterior surfaces claim 21 , the interior surface being disposed adjacent the semiconductor layer claim 21 , and wherein the electrical contact extends at least from the exterior surface of the first substrate layer to a region of the semiconductor layer adjacent the MEMS resonator.23. The MEMS device of wherein the MEMS resonator is formed at least in part by a semiconductor structure sufficiently freed from the semiconductor layer during device fabrication to enable the MEMS resonator to move relative to the semiconductor layer.24. The MEMS device of further comprising an insulator layer disposed between the second substrate layer and the semiconductor layer claim 21 , the semiconductor layer and insulator layer forming a semiconductor-on-insulator (SOI) structure.25. The MEMS device of wherein the MEMS resonator is anchored to at least one of the semiconductor layer claim 21 , the first substrate layer or the second substrate layer by an anchor structure that permits mechanical motion of the MEMS resonator relative to the semiconductor layer claim 21 , ...

Подробнее
16-06-2016 дата публикации

Through-wafer interconnects for mems double-sided fabrication process (twids)

Номер: US20160167958A1
Принадлежит: UNIVERSITY OF CALIFORNIA

A high-aspect ratio low resistance through-wafer interconnect for double-sided (TWIDS) fabrication of microelectromechanical systems (MEMS) serves as an interconnection method and structure for co-integration of MEMS and integrated circuits or other microcomponent utilizing both sides of the wafer. TWIDS applied to a three dimensional folded TIMU (timing inertial measurement unit) provides a path for electrical signals from sensors on the front side of the SOI wafer to electronic components on the back side of the wafer, while enabling folding of an array of sensors in a three dimensional shape.

Подробнее
15-06-2017 дата публикации

Semiconductor Devices with Moving Members and Methods for Making the Same

Номер: US20170166435A1
Принадлежит:

A method for forming a MEMS structure includes forming, on a MEMS substrate, an interconnect structure having conductive lines and a first conductive plug of a semiconductor material, forming an etch stop layer on the interconnect structure, forming a dielectric layer over the etch stop layer, bonding a silicon substrate over the dielectric layer, forming a second and third conductive plugs of the semiconductor material in the silicon substrate, wherein the second conductive plug is configured to be electrically coupled with the first conductive plug and third conductive plug is configured to function as an anti-stiction bump, forming a MEMS device electrically coupled with the second conductive feature, and forming a bonding pad on the silicon substrate and surrounded by the second conductive plug. 1. A method for forming a MEMS structure , the method comprising:forming, on a MEMS substrate, an interconnect structure having conductive lines and a first conductive plug of a semiconductor material;forming an etch stop layer on the interconnect structure;forming a dielectric layer over the etch stop layer;bonding a silicon substrate over the dielectric layer;forming a second and third conductive plugs of the semiconductor material in the silicon substrate, wherein the second conductive plug is configured to be electrically coupled with the first conductive plug and third conductive plug is configured to function as an anti-stiction bump;forming a MEMS device electrically coupled with the second conductive plug; andforming a bonding pad on the silicon substrate and surrounded by the second conductive plug.2. The method of claim 1 , whereinthe semiconductor material includes one of polysilicon and silicon germanium; andthe silicon substrate includes a high resistive silicon material.3. The method of claim 1 , further comprising bonding a cap substrate to the MEMS substrate through the bonding pad.4. The method of claim 1 , wherein forming the second and conductive plug ...

Подробнее
15-06-2017 дата публикации

SMALL WAFER AREA MEMS SWITCH

Номер: US20170166438A1
Автор: Webb Bucknell C.

Deep via technology is used to construct an integrated silicon cantilever and cavity oriented in a vertical plane which creates an electrostatically-switched MEMS switch in a small wafer area. Another embodiment is a small wafer area electrostatically-switched, vertical-cantilever MEMS switch wherein the switch cavity is etched within a volume defined by walls grown internally within a silicon substrate using through vias. 1. (canceled)2. (canceled)4. (canceled)5. (canceled)6. A small wafer area MEMS switch comprising a unitary silicon wafer having an upper and a lower surface comprising:at said upper surface, a plurality of nine separately aligned DRIE high aspect ratio closely spaced trenches etched in said unitary silicon wafer, said trenches aligned at said upper surface to form a top appearance of a 3×3 matrix, wherein there are three columns and three rows with three entries in each said row and accordingly three entries in each column, each said trench in said first row, said second row and said third row being lined with a thermal oxide and each said trench in said first row, said second row and said third row being filled with a tungsten plug contiguous with said lined thermal oxide, there being an area on said upper wafer surface that is layered with thermal oxide, and an area on said upper wafer surface that is not layered with said thermal oxide;a dielectric layer on said upper silicon wafer surface covering said area that is not layered with said thermal oxide;a formed free-standing beam cantilever fixed at said upper surface of said unitary silicon wafer that is covered with said dielectric layer, said formed free-standing beam cantilever located in a hollowed etched-out volume up from said lower surface of and within said unitary silicon wafer, and said formed free-standing beam cantilever, being in vertical alignment with and normal to said area on said upper surface of said unitary silicon wafer that is covered with said dielectric layer;said free- ...

Подробнее
29-09-2022 дата публикации

THIN FILMS AND METHODS OF FABRICATION THEREOF

Номер: US20220306460A1
Принадлежит:

This disclosure provides methods and apparatus related to thin films. In one aspect, a silicon wafer with a first silicon nitride layer disposed on a first side of the silicon wafer and a second silicon nitride layer disposed on a second side of the silicon wafer is provided. A first side of the first silicon nitride layer is disposed on the first side of the silicon wafer. The second silicon nitride layer is patterned. The silicon wafer is etched to expose the first side of the first silicon nitride layer. A polymer is deposited on a second side of the first silicon nitride layer. A first ceramic layer is deposited on the polymer disposed on the second side of the first silicon nitride layer using an atomic layer deposition process. The first silicon nitride layer and the polymer are etched to expose a first side of the first ceramic layer. 1. A method comprising:(a) providing a silicon wafer with a first silicon nitride layer disposed on a first side of the silicon wafer and a second silicon nitride layer disposed on a second side of the silicon wafer, a first side of the first silicon nitride layer being disposed on the first side of the silicon wafer;(b) patterning the second silicon nitride layer;(c) etching the silicon wafer to expose the first side of the first silicon nitride layer;(d) depositing a polymer on a second side of the first silicon nitride layer;(e) depositing a first ceramic layer on the polymer disposed on the second side of the first silicon nitride layer using an atomic layer deposition process, a first side of the first ceramic layer being disposed on the polymer; and(f) etching the first silicon nitride layer and the polymer to expose the first side of the first ceramic layer.2. The method of claim 1 , wherein operation (d) includes depositing the polymer on the first side of the first silicon nitride layer claim 1 , and wherein operation (f) includes etching the polymer disposed on the first side of the first silicon nitride layer.3. The ...

Подробнее
21-05-2020 дата публикации

ANTI-STICTION BOTTOM CAVITY SURFACE FOR MICROMACHINED ULTRASONIC TRANSDUCER DEVICES

Номер: US20200156110A1
Принадлежит: Butterfly Network, Inc.

A method of forming an ultrasonic transducer device includes forming an insulating layer having topographic features over a lower transducer electrode layer of a substrate; forming a conformal, anti-stiction layer over the insulating layer such that the conformal layer also has the topographic features; defining a cavity in a support layer formed over the anti-stiction layer; and bonding a membrane to the support layer. 1. A method of forming an ultrasonic transducer device , the method comprising:forming an insulating layer having topographic features over a lower transducer electrode layer of a substrate;forming a conformal, anti-stiction layer over the insulating layer such that the conformal layer also has the topographic features;defining a cavity in a support layer formed over the anti-stiction layer; andbonding a membrane to the support layer.2. The method of claim 1 , further comprising forming the topographic features claim 1 , the topographic features corresponding to a region of contact between the conformal claim 1 , anti-stiction layer and the membrane in a collapse mode of transducer operation.3. The method of claim 1 , wherein forming the insulating layer having topographic features further comprises:forming a first type layer over the substrate and a second type sacrificial layer over the first type layer;lithographically patterning and removing portions of the second type sacrificial layer;forming a third type layer over the patterned second type sacrificial layer and first type layer;planarizing the third type layer to a top surface of the patterned second type sacrificial layer; andremoving remaining portions of the patterned second type sacrificial layer.4. The method of claim 3 , wherein:{'sub': '2', 'the first type layer comprises SiO;'}the second type sacrificial layer comprises SiN; and{'sub': '2', 'the third type layer comprises SiO.'}5. The method of claim 3 , wherein the conformal claim 3 , anti-stiction layer comprises a thin film ...

Подробнее
21-05-2020 дата публикации

CAPACITIVE MICROMACHINED ULTRASONIC TRANSDUCER AND METHOD OF MANUFACTURING THE SAME

Номер: US20200156111A1
Принадлежит:

A capacitive micromachined ultrasonic transducer including a lower electrode, an upper electrode, and a membrane attached to the upper electrode and positioned between the lower electrode and the upper electrode. Anchors are connect to the membrane and the lower electrode such that a cavity is defined between the lower electrode and the membrane. One or more posts are positioned within the cavity, the posts partially buried within the membrane and extending towards the lower electrode. A method of producing a capacitive micromachined ultrasonic transducer includes forming an oxide growth layer on a device layer of undoped silicon and removing portions of the oxide growth layer to form anchors extending beyond the outer surface of the device layer and posts partially buried within post holes in the device layer and extending beyond the outer surface of the device layer. 1. A capacitive micromachined ultrasonic transducer comprising:a lower electrode;an upper electrode;a membrane attached to the upper electrode and positioned between the lower electrode and the upper electrode;anchors connected to the membrane and the lower electrode such that a cavity is defined between the lower electrode and the membrane; andone or more posts positioned within the cavity, the posts partially buried within the membrane and extending towards the lower electrode.2. The capacitive micromachined ultrasonic transducer of claim 1 , wherein the anchors are partially buried within the membrane.3. The capacitive micromachined ultrasonic transducer of claim 2 , wherein each of the anchors includes a central member partially buried within the membrane and extending to the lower electrode and a guard ring substantially buried within the membrane and surrounding the central member.4. The capacitive micromachined ultrasonic transducer of claim 1 , wherein each of the posts includes a central member partially buried within the membrane and extending towards the lower electrode and a guard ring ...

Подробнее
30-05-2019 дата публикации

METHOD FOR MANUFACTURING A MICROMECHANICAL SENSOR

Номер: US20190161347A1
Автор: CLASSEN Johannes
Принадлежит:

A method for manufacturing a micromechanical sensor, including the steps: providing a MEMS wafer that includes a MEMS substrate, a defined number of etching trenches being formed in the MEMS substrate in a diaphragm area, the diaphragm area being formed in a first silicon layer that is situated at a defined distance from the MEMS substrate; providing a cap wafer; bonding the MEMS wafer to the cap wafer; and forming a media access point to the diaphragm area by grinding the MEMS substrate. 19-. (canceled)10. A method for manufacturing a micromechanical sensor , comprising:providing a MEMS wafer that includes a MEMS substrate, a defined number of etching trenches being formed in the MEMS substrate in a diaphragm area, the diaphragm area being formed in a first silicon layer situated at a defined distance from the MEMS substrate;providing a cap wafer;bonding the MEMS wafer to the cap wafer; andforming a media access point to the diaphragm area by grinding the MEMS substrate.11. The method as recited in claim 10 , wherein the following steps are carried out in forming the diaphragm area:a) applying an oxide layer to the MEMS substrate;b) forming through openings in the oxide layer;c) forming etching trenches in the diaphragm area of the MEMS substrate via the through openings of the oxide layer;d) closing the through openings of the oxide layer with oxide material;e) applying a first silicon layer to the oxide layer;f) forming through openings in the diaphragm area of the first silicon layer;g) removing the oxide layer beneath the through openings in the diaphragm area of the first silicon layer; andh) closing the through openings of the diaphragm area of the first silicon layer, essentially free of topography.12. The method as recited in claim 11 , wherein after step d) claim 11 , the following steps are carried out in forming the diaphragm area:e1) removing the oxide material of the oxide layer in the area of the diaphragm area;f1) bonding a silicon function wafer to ...

Подробнее
15-06-2017 дата публикации

MEMS ANTI-PHASE VIBRATORY GYROSCOPE

Номер: US20170167878A1
Автор: Sun Chen, Yu Lian Zhong
Принадлежит:

A MEMS anti-phase vibratory gyroscope includes two measurement masses with a top cap and a bottom cap each coupled with a respective measurement mass. The measurement masses are oppositely coupled with each other in the vertical direction. Each measurement mass includes an outer frame, an inner frame located within the outer frame, and a mass located within the inner frame. The two measurement masses are coupled with each other through the outer frame. The inner frame is coupled with the outer frame by a plurality of first elastic beams. The mass is coupled with the inner frame by a plurality of second elastic beams. A comb coupling structure is provided along opposite sides of the outer frame and the inner frame. The two masses vibrate toward the opposite direction, and the comb coupling structure measures the angular velocity of rotation. 1. A fabrication technique for a gyroscope , the gyroscope having two measurement structures , a top cap and a bottom cap each coupled with a respective one of the measurement structures , each measurement structure including an outer frame , an inner frame located within the outer frame , and a mass located within the inner frame , wherein the two measurement structures are coupled with each other in the vertical direction through the outer frame , the inner frame is coupled with the outer frame by a plurality of first elastic beams , the mass is coupled with the inner frame by a plurality of second elastic beams , and a comb structure is provided along opposite sides of the outer frame and the inner frame , comprising the following steps:(i) growing an epilayer on the surface of a top silicon layer of a silicon on insulator silicon wafer;(ii) forming, by use of thermal oxidation or chemical deposition, a silicon dioxide layer on the surface of the epilayer;(iii) forming, by use of photolithography and etching, a plurality of holes with depth to the epilayer at outer and inner portions of the surface of the silicon dioxide layer ...

Подробнее
15-06-2017 дата публикации

SEMICONDUCTOR TYPE GAS SENSOR, METHOD OF MANUFACTURING SEMICONDUCTOR TYPE GAS SENSOR, AND SENSOR NETWORK SYSTEM

Номер: US20170167999A1
Автор: AKASAKA Shunsuke
Принадлежит: ROHM CO., LTD.

A semiconductor type gas sensor for detecting a COgas includes: a gas-sensitive body in which a surface of a tin oxide is coated with a thin film of a rare earth oxide; a pair of positive and negative electrodes tightly formed on the gas-sensitive body; and a micro-heater configured to heat the gas-sensitive body. 1. A semiconductor type gas sensor for detecting a COgas , the sensor comprising:a gas-sensitive body in which a surface of a tin oxide is coated with a thin film of a rare earth oxide;a pair of positive and negative electrodes tightly formed on the gas-sensitive body; anda micro-heater configured to heat the gas-sensitive body.2. The sensor of claim 1 , wherein a surface of a tin oxide grain is entirely coated with the thin film of the rare earth oxide.3. The sensor of claim 2 , wherein the pair of positive and negative electrodes are electrically connected by the tin oxide grain.4. The sensor of claim 1 , wherein a surface of an aluminum oxide grain is entirely coated with a thin film of the tin oxide and a surface of the thin film of the tin oxide is entirely coated with the thin film of the rare earth oxide.5. The sensor of claim 4 , wherein the pair of positive and negative electrodes are electrically connected by the thin film of the tin oxide.6. The sensor of claim 1 , wherein the surface of the tin oxide is uniformly entirely coated with the thin film of the rare earth oxide.7. The sensor of claim 1 , wherein the rare earth oxide is a lanthanum oxide or a gadolinium oxide.8. The sensor of claim 1 , further comprising a detection circuit configured to detect a COgas using a change in a resistance value made in the gas-sensitive body when a voltage is applied between the pair of positive and negative electrodes.9. The sensor of claim 1 , further comprising a substrate having a beam structure with an MEMS structure claim 1 ,wherein the beam structure has a vessel-shaped structure in which a cavity part of a vessel shape is formed in the substrate.10. ...

Подробнее
01-07-2021 дата публикации

MICRONEEDLE COATED WITH DRUG AND MANUFACTURING METHOD FOR SAME

Номер: US20210196899A1
Принадлежит:

The present invention relates to a drug-coated microneedle and a method of manufacturing the same, and more particularly to a drug-coated microneedle that delivers a drug by physically piercing the stratum corneum of the skin and a method of manufacturing the same. The drug-coated microneedle is represented by Chemical Formula 1 below, and is capable of releasing a drug through a redox reaction after penetration into the skin. The drug-coated microneedle according to the present invention is capable of effectively delivering a drug having excellent functionality but low skin permeability, and is thus useful as a material for functional cosmetics for whitening, wrinkle reduction, inflammation reduction and the like. [Chemical Formula 1] MN-S—S-D. In Chemical Formula 1, MN is a silica-(SiO)-containing microneedle, S—S is a disulfide bond, and D is a drug. 1. A method of manufacturing a drug-coated microneedle , which is represented by Chemical Formula 1 below and in which a drug is released through a redox reaction after penetration into a skin , comprising:{'sub': 2', '2, '(a) manufacturing a silica-(SiO)-containing microneedle having a sulfhydryl (—SH) functional group by modifying a surface of a silica-(SiO)-containing microneedle;'}(b) manufacturing a drug having a sulfhydryl (—SH) functional group by binding a drug with a linker having a sulfhydryl (—SH) functional group; and{'sub': '2', '(c) subjecting the silica-(SiO)-containing microneedle having the sulfhydryl (—SH) functional group and the drug having the sulfhydryl (—SH) functional group to an oxidation reaction[Chemical Formula 1]MN-S—S-D{'sub': '2', 'in Chemical Formula 1, MN is a silica-(SiO)-containing microneedle, S—S is a disulfide bond, and D is a drug.'}2. The method of claim 1 , wherein the silica-(SiO)-containing microneedle is an acicular spicule derived from a sponge.3. The method of claim 1 , wherein the modifying the surface of the silica-(SiO)-containing microneedle is performed by subjecting ...

Подробнее
01-07-2021 дата публикации

DEVICE AND METHOD OF FABRICATING SUCH A DEVICE

Номер: US20210198097A1
Принадлежит: THE UNIVERSITY OF MANCHESTER

There is disclosed a device and method for fabricating such a device. The device includes cavities formed in a transparent substrate. A laminated membrane is mounted to the substrate and spans the cavities. The laminated membrane includes a layer of a flexible material, typically a polymer, and a layer of a two-dimensional material that is typically graphene. 1. A device comprising:a substrate with at least one cavity therein, wherein the substrate is formed of a transparent material;a laminated membrane mounted to the substrate, wherein the laminated membrane spans at least part of the cavity, and wherein the laminated membrane includes at least one layer of a flexible material and at least one layer of a two-dimensional material; anda functional component, wherein the laminated membrane is arranged to deform with respect to a position of the functional component.2. The device of claim 1 , wherein the transparent material of the substrate is selected from a group comprising: a silicon-based dielectric material claim 1 , a polymer material and a ceramic material.3. The device of claim 1 , wherein the transparent material of the substrate is selected from a group comprising: silicon oxide claim 1 , doped silicon claim 1 , silicon nitride claim 1 , epoxy based photoresist claim 1 , an electron-beam resist claim 1 , polyethylene terephthalate claim 1 , polycarbonate claim 1 , polyamide claim 1 , Poly(methyl methacrylate) claim 1 , Polyvinylpyrrolidone claim 1 , Polyamide claim 1 , hafnium oxide and aluminium oxide.4. The device of claim 1 , wherein the substrate is formed of a flexible material.5. The device of claim 4 , wherein the flexible and transparent material of the substrate is a polymer material.6. The device of claim 4 , wherein the flexible and transparent material of the substrate is selected from a group comprising: epoxy based photoresist claim 4 , an electron-beam resist claim 4 , polyethylene terephthalate claim 4 , polycarbonate claim 4 , polyamide ...

Подробнее
22-06-2017 дата публикации

METHOD OF ADDRESSING FILM LIFTOFF IN MEMS FABRICATION

Номер: US20170174510A1
Принадлежит:

A method of fabricating a MEMS device. A first spacer is formed above a CMOS substrate containing circuitry. Vias are formed within the first spacer. A first metal is formed above the first spacer and vias and patterned to form a MEMS element. A second spacer is formed above the MEMS element and first spacer. A via is formed within the second spacer. A second metal is formed above the second spacer and the via. A capping layer is formed above the second metal. The second metal is patterned to form a second MEMS element. The device is cleaned using a developer solution while the capping layer protects the second MEMS element. The first and second spacers are removed to release the first and second MEMS elements. 1. A method of fabricating a digital micromirror device , the method comprising:forming a first spacer above a CMOS substrate containing circuitry;forming hinge vias within the first spacer;depositing a first metal above the first spacer and hinge vias and patterning the first metal to form a hinge;forming a second spacer above the hinge and first spacer;forming a mirror via within the second spacer;depositing a second metal above the second spacer and the mirror via;forming a sacrificial dielectric capping layer on a surface of the second metal;patterning the second metal to form a mirror;cleaning the device using a developer solution; andremoving the first and second spacer layers to release the hinge and mirror.2. A method of fabricating a digital micromirror device , the method comprising:forming a first spacer above a CMOS substrate containing circuitry and patterning the first spacer to form openings for the hinge vias;depositing a hinge liner metal above the first spacer and hinge vias to form a hinge via liner;depositing a hinge via plug material above the hinge via liner to form a hinge via plug;removing hinge via liner metal and hinge via plug material from above the first spacer;depositing a hinge metal above the first spacer and hinge vias and ...

Подробнее
06-06-2019 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20190169017A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A method of forming a Micro-Electro-Mechanical System (MEMS) , comprising:forming a lower electrode;forming a beam over the lower electrode;forming a first sacrificial layer on the beam;forming an insulator layer on the first sacrificial layer;forming a cavity via in the insulator layer, exposing a portion of the first sacrificial layer;forming an upper electrode on the insulator layer;forming a second sacrificial layer over the beam and in the cavity via;forming a lid material over the second sacrificial layer and the upper electrode;providing a vent hole in the lid material to expose at least the second sacrificial layer;venting the first sacrificial layer and the second sacrificial layer to form at least a lower cavity and an upper cavity; andsealing the vent hole with a material.2. The method of claim 1 , wherein the beam and the upper cavity are remote from the upper electrode.3. The method of claim 1 , wherein the vent hole is rounded or chamfered.4. The method of claim 1 , wherein the vent hole is octagonal.5. The method of claim 1 , wherein the first sacrificial layer and the second sacrificial layer are silicon material.6. The method of claim 1 , further comprising performing a hafnium clean to remove oxide and hydrogen on exposed surfaces of the second sacrificial layer prior to venting the first sacrificial layer and the second sacrificial layer.7. The method of claim 6 , wherein the venting the first sacrificial layer and the second sacrificial layer is selective ...

Подробнее
18-09-2014 дата публикации

Semiconductor Devices and Methods of Forming Thereof

Номер: US20140264651A1
Принадлежит: INFINEON TECHNOLOGIES AG

In accordance with an embodiment of the present invention, a method of forming a semiconductor device includes forming a sacrificial layer over a first surface of a workpiece having the first surface and an opposite second surface. A membrane is formed over the sacrificial layer. A through hole is etched through the workpiece from the second surface to expose a surface of the sacrificial layer. At least a portion of the sacrificial layer is removed from the second surface to form a cavity under the membrane. The cavity is aligned with the membrane.

Подробнее
28-05-2020 дата публикации

Micro check valve and system with multiple micro check valves and method for the production thereof

Номер: US20200166156A1
Автор: Marco CORDELAIR
Принадлежит: Microfab Service Gmbh

The invention further concerns a system having a plurality of micro check valves (10) and a method for the production thereof.

Подробнее
28-06-2018 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURES AND DESIGN STRUCTURES

Номер: US20180179052A1
Принадлежит:

Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming a Micro-Electro-Mechanical System (MEMS) beam structure by venting both tungsten material and silicon material above and below the MEMS beam to form an upper cavity above the MEMS beam and a lower cavity structure below the MEMS beam. 1. A method comprising: forming a sacrificial material, which is comprised of both tungsten material and semiconductor material, on a substrate;', 'forming the MEMS beam structure above the tungsten material and the semiconductor material;', 'forming both the tungsten material and the semiconductor material above the MEMS beam structure;', 'forming a lid over the tungsten material formed above the MEMS beam structure;', 'etching the semiconductor material formed above the MEMS beam structure while etching the tungsten material formed above the MEMS beam structure to form an upper cavity structure above the MEMS beam structure and below the lid; and', 'etching both the tungsten material and the semiconductor material below the MEMS beam structure to form a lower cavity structure above the substrate and below the MEMS beam structure,, 'forming a Micro-Electro-Mechanical System (MEMS) beam structure comprising{'sub': '2', 'wherein the etching comprises performing an XeFetching process.'}2. The method of claim 1 , wherein the etching and film thicknesses are controlled to ensure that all or substantially all of the tungsten material is removed above the MEMS beam structure claim 1 , prior to the semiconductor material above the MEMS beam structure.3. The method of claim 1 , wherein the semiconductor material is one of silicon material and germanium material.4. The method of claim 3 , wherein the semiconductor material is silicon material claim 3 , and wherein the tungsten material and silicon material below the MEMS beam structure comprises forming the tungsten material on the substrate and forming the ...

Подробнее
28-06-2018 дата публикации

STRESS COMPENSATION FOR PIEZOELECTRIC OPTICAL MEMS DEVICES

Номер: US20180179054A1
Принадлежит:

An apparatus includes a lens material forming a lens. The apparatus also includes a piezoelectric capacitor over the lens material, where the piezoelectric capacitor is configured to change a shape of the lens material in response to a voltage across the piezoelectric capacitor to thereby change a focus of the lens. The apparatus further includes at least one stress compensation ring over a portion of the lens material and over at least a portion of the piezoelectric capacitor. The at least one stress compensation ring is configured to at least partially reduce bending of the lens material caused by stress on or in the lens material. 1. An apparatus comprising:a lens formed from a lens material;a piezoelectric capacitor having a first electrode interfacing the lens material, and a second electrode having a first surface facing away from the first electrode;a first ring-shaped stress compensation structure extending over the lens material and covering an outer circumferential perimeter of the second electrode and a first portion of the first surface that forms a first edge with the outer circumferential perimeter; anda second ring-shaped stress compensation structure extending over the lens material and covering an inner circumferential perimeter of the second electrode and a second portion of the first surface that forms a second edge with the inner circumferential perimeter;wherein the first surface of the second electrode includes a third portion that is separate from the first and second portions and is not covered by the first and second ring-shaped stress compensation structures.2. The apparatus of claim 1 , wherein the first and second ring-shaped stress compensation structures are each ring-shaped and are arranged concentrically with respect to one another.3. The apparatus of claim 1 , wherein the first and second ring-shaped stress compensation structures are not connected to each other.4. The apparatus of claim 1 , wherein:the second electrode is ring- ...

Подробнее
04-06-2020 дата публикации

FABRICATING CALCITE NANOFLUIDIC CHANNELS

Номер: US20200171492A1
Принадлежит: Saudi Arabian Oil Company

A method for fabricating calcite channels in a nanofluidic device is described. A porous membrane is attached to a substrate. Calcite is deposited in porous openings in the porous membrane attached to the substrate. A width of openings in the deposited calcite is in a range from 50 to 100 nanometers (nm). The porous membrane is etched to remove the porous membrane from the substrate to form a fabricated calcite channel structure. Each channel has a width in the range from 50 to 100 nm. 1. A method , comprising:attaching a porous membrane to a substrate;depositing calcite in porous openings in the porous membrane attached to the substrate;wherein a width of openings in the deposited calcite is in a range from 50 to 100 nanometers (nm); andetching the porous membrane to remove the porous membrane from the substrate to form a fabricated calcite channel structure, wherein each channel has a width in the range from 50 to 100 nm.2. The method of claim 1 , wherein the porous membrane is an anodic aluminum oxide (AAO) membrane.3. The method of claim 1 , wherein attaching the porous membrane to the substrate includes using an adhesive to attach edges of the porous membrane to the substrate.4. The method of claim 1 , wherein depositing the calcite in the porous openings in the porous membrane included using atomic layer deposition (ALD) techniques for depositing the calcite.5. The method of claim 1 , wherein etching the porous membrane to remove the porous membrane from the substrate includes using chloroform claim 1 , sodium hydroxide (NaOH) claim 1 , hydrofluoric acid claim 1 , or phosphoric acid (HPO).6. The method of claim 1 , further comprising:injecting, inside a scanning electron microscope (SEM) chamber, a fluid through the nanofluidic calcite chip; andimaging the nanofluidic calcite chip using the SEM.7. The method of claim 6 , wherein passing a fluid through the nanofluidic calcite chip includes using an inlet line and an outlet line.8. The method of claim 1 , ...

Подробнее
30-06-2016 дата публикации

METHODS AND SYSTEMS FOR CHEMICALLY ENCODING HIGH-RESOLUTION SHAPES IN SILICON NANOWIRES

Номер: US20160190245A1

Methods of chemically encoding high-resolution shapes in silicon nanowires during metal nanoparticle catalyzed vapor-liquid-solid growth or vapor-solid-solid growth are provided. In situ phosphorus or boron doping of the silicon nanowires can be controlled during the growth of the silicon nanowires such that high-resolution shapes can be etched along a growth axis on the silicon nanowires. Nanowires with an encoded morphology can have high-resolution shapes with a size resolution of about 1,000 nm to about 10 nm and comprise geometrical shapes, conical profiles, nanogaps and gratings. 1. A method of chemically encoding high-resolution shapes in silicon (Si) nanowires (NWs) during metal nanoparticle catalyzed vapor-liquid-solid (VLS) growth or vapor-solid-solid (VSS) growth , the method comprising:growing Si NWs using VLS or VSS growth in a chemical vapor deposition system at a predetermined growth rate;controlling in situ phosphorus or boron doping of the Si NWs during the growth of the Si NWs; andetching the Si NWs to form high-resolution shapes along a growth axis on the Si NWs.2. The method of claim 1 , wherein growing Si NWs at a predetermined growth rate comprises growing the Si NWs at a temperature of about 200° C. to about 1 claim 1 ,000° C. claim 1 , a pressure of about 100.0 mTorr to about 500.0 Torr claim 1 , using a nanoparticle catalyst having a diameter of about 5 nm to about 500 nm claim 1 , using Si gas as the Si source at a flow of about 0.15 to about 10.00 standard cubic centimeters per minute claim 1 , and using hydrogen as a carrier gas at a flow of about 10.0 to about 400.0 standard cubic centimeters per minute.3. The method of claim 2 , wherein the temperature is about 420° C. claim 2 , the pressure about 40.0 Torr claim 2 , the nanoparticle catalyst about 100 nm in diameter claim 2 , the flow of Si gas about 2.0 standard cubic centimeters per minute claim 2 , and the flow of hydrogen gas about 200.0 standard cubic centimeters per minute.4. The ...

Подробнее
05-07-2018 дата публикации

DIELECTRIC CLADDING OF MICROELECTROMECHANICAL SYSTEMS (MEMS) ELEMENTS FOR IMPROVED RELIABILITY

Номер: US20180186625A1
Принадлежит:

In described examples, a method of forming a microelectromechanical device comprises: forming a first metallic layer comprising a conducting layer on a substrate; forming a first dielectric layer on the first metallic layer, wherein the first dielectric layer comprises one or more individual dielectric layers; forming a sacrificial layer on the first dielectric layer; forming a second dielectric layer on the sacrificial layer; forming a second metallic layer on the second dielectric layer; and removing the sacrificial layer to form a spacing between the second dielectric layer and the first dielectric layer. Removing the sacrificial layer enables movement of the second dielectric layer relative to the first dielectric layer in at least one direction. 1. A method of forming a microelectromechanical device , the method comprising:forming a first metallic layer comprising a conducting layer on a substrate;forming a first via in the conducting layer;forming a first dielectric layer on the first metallic layer, wherein the first dielectric layer comprises one or more individual dielectric layers;forming a sacrificial layer on the first dielectric layer;forming a second dielectric layer on the sacrificial layer;forming a second metallic layer on the second dielectric layer;forming a second via in the second metallic layer, wherein the second via extends to the first dielectric layer; andremoving the sacrificial layer to form a spacing between the second dielectric layer and the first dielectric layer, wherein removing the sacrificial layer enables movement of the second dielectric layer relative to the first dielectric layer about the second via.2. The method of claim 1 , further comprising forming the first dielectric layer via atomic layer deposition (ALD) or plasma-enhanced chemical vapor deposition (PECVD) claim 1 , and forming the second dielectric layer via atomic layer deposition (ALD) or plasma-enhanced chemical vapor deposition (PECVD).3. The method of claim 1 , ...

Подробнее
11-06-2020 дата публикации

CAPACITIVE MICRO STRUCTURE

Номер: US20200180943A1
Принадлежит:

A micro structure with a substrate having a top surface; a first electrode with a horizontal orientation parallel to the top surface of the substrate, wherein the first electrode is embedded within the substrate so that a top surface of the first electrode coincides with the top surface of the substrate; a dielectric layer arranged on the top surface of the first electrode; and a second electrode arranged above the dielectric layer. 1. A micro structure comprising:a substrate having a top surface;a first electrode with a horizontal orientation parallel to the top surface of the substrate, wherein the first electrode is embedded within the substrate so that a top surface of the first electrode coincides with the top surface of the substrate;a dielectric layer arranged on the top surface of the first electrode; anda second electrode arranged above the dielectric layer.2. The micro structure of claim 1 , wherein the top surface of the first electrode is polished.3. The micro structure of claim 1 , wherein thickness of the first electrode is more than 5 μm.4. The micro structure of comprising a microelectromechanical system (MEMS) switch claims 1 , and further comprising:a structural layer having a beam structure in which both ends thereof are fixed to the substrate, and the structural layer comprises the second electrode provided on a surface of the structural layer facing the substrate.5. The micro structure of claim 4 , further comprising:a lower drive electrode provided below the structural layer and an upper drive electrode provided on the surface of the structural layer facing the substrate, wherein when a potential difference is arranged between the upper drive electrode and the lower drive electrode, the structural layer is attracted towards the substrate by an electrostatic attractive force, so that the second electrode operating as an upper switch electrode and the first electrode operating as a lower switch electrode come in contact with each other.6. The ...

Подробнее
22-07-2021 дата публикации

Contoured electrode for capacitive micromachined ultrasonic transducer

Номер: US20210220873A1
Принадлежит: Leland Stanford Junior University

Aspects of this disclosure relate to a capacitive micromachined ultrasonic transducer (CMUT) with a contoured electrode. In certain embodiments, the CMUT has a contoured electrode. The electrode may be non-planar to correspond to a deflected shape of the outer plate. A change in distance between the electrode and the plate after deflection may be greater than a minimum threshold across the width of the CMUT.

Подробнее
22-07-2021 дата публикации

ENCAPSULATED MICROELECTROMECHANICAL STRUCTURE

Номер: US20210221678A1
Принадлежит:

A semiconductor layer having an opening and a MEMS resonator formed in the opening is disposed between first and second substrates to encapsulate the MEMS resonator. An electrical contact that extends from the opening to an exterior of the MEMS device is formed at least in part within the semiconductor layer and at least in part within the first substrate. 1. (canceled)2. An integrated circuit device comprising:a first substrate;a second substrate bonded relative to the first substrate, such that a chamber is defined therebetween;a microelectromechanical system (MEMS) structure free to move within the chamber; andan electrical pathway extending between an exterior surface of the integrated circuit device and the chamber, the electrical pathway to convey at least one of a signal to stimulate the MEMS structure to move or a signal dependent on sensed motion of the MEMS structure;wherein the MEMS structure and the electrical pathway each comprise respective, doped portions of a common semiconductor layer between the first substrate and the second substrate.3. The integrated circuit device of wherein the respective claim 2 , doped portions comprise a commonly-doped layer of silicon that is patterned so as to form part of the MEMS structure and part of the electrical pathway.4. The integrated circuit device of wherein the integrated circuit device comprises at least one of a pressure sensor claim 2 , a temperature sensor claim 2 , an accelerometer or a gyroscope claim 2 , and wherein the body is to move in a manner dependent on magnitude of a force that is to be sensed.5. The integrated circuit device of wherein the respective claim 2 , doped portions of the common semiconductor layer comprise a silicon layer of a silicon-on-insulator wafer claim 2 , heavily doped with at least one of phosphorus or antimony claim 2 , and wherein the chamber is at least partially formed by a volume corresponding to a section of insulator material that has been removed from the silicon-on- ...

Подробнее
11-06-2020 дата публикации

NANOCOMPOSITE GRAPHENE POLYMER MEMBRANE ASSEMBLY, AND MANUFACTURING METHOD THEREOF

Номер: US20200186938A1
Принадлежит:

A membrane hetero-structure includes a polymer layer and a single-layer or multi-layer graphene sheet disposed on the polymer layer. The membrane hetero-structure is tensioned across a frame having an opening such that both the polymer layer and the graphene sheet extend across the opening. An optional rigid member is provided in a center of the membrane to be spaced apart from edges of the opening. The assembly of the frame and membrane hetero-structure forms an electrostatically driven micro-electro-mechanical system (MEMS) or sound generation and recording apparatus. In one instance, when a voltage signal is applied between an electrode layer parallel to the membrane and contacts on the frame that are electrically connected to the graphene sheet, the membrane hetero-structure is actuated. 1. An assembly comprising:a frame having an opening; anda membrane hetero-structure contacting the frame and extending across the opening and including a polymer layer extending across the opening and a graphene layer disposed on the polymer layer and extending across the opening.2. The assembly of claim 1 , wherein the graphene layer comprises a graphene sheet extending fully across the opening of the frame.3. The assembly of claim 2 , wherein the graphene sheet is a single-layer graphene sheet.4. The assembly of claim 2 , wherein the graphene sheet is a multi-layer graphene sheet including a plurality of single-layer graphene sheets each extending fully across the opening of the frame.5. The assembly of claim 1 , further comprising:a rigid member disposed on the membrane hetero-structure at a location in the opening of the frame, wherein the rigid member is spaced apart from all edges of the opening in the frame.6. The assembly of claim 5 , wherein the rigid member includes a rigid material including at least one of ceramic claim 5 , glass claim 5 , metal claim 5 , metal oxide claim 5 , silicon claim 5 , silica claim 5 , quartz claim 5 , and sapphire.7. The assembly of claim 5 ...

Подробнее
19-07-2018 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURES AND DESIGN STRUCTURES

Номер: US20180201502A1
Принадлежит:

Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming a Micro-Electro-Mechanical System (MEMS) beam structure by venting both metal material and silicon material above and below the MEMS beam to form an upper cavity above the MEMS beam and a lower cavity structure below the MEMS beam. 1. A method of forming a Micro-Electro-Mechanical System (MEMS) beam structure comprising:forming metal material and semiconductor material on a substrate;forming a MEMS beam above the metal material and the semiconductor material;forming the metal material and the semiconductor material above the MEMS beam;forming a lid over the metal material and the semiconductor material formed above the MEMS beam; and{'sub': '2', 'venting, using an XeFetchant, both the metal material and the semiconductor material above the MEMS beam and below the MEMS beam to form an upper cavity structure above the MEMS beam and below the lid and to form a lower cavity structure below the MEMS beam and above the substrate.'}2. The method of claim 1 , wherein the venting and film thicknesses are controlled to ensure that all or substantially all of the metal material is removed above the MEMS beam claim 1 , prior to the removal of the semiconductor material above the MEMS beam.3. The method of claim 1 , wherein the semiconductor material above and below the MEMS beam is one of silicon material and germanium material.4. The method of claim 3 , wherein the semiconductor material above and below the MEMS beam is silicon material claim 3 , and wherein forming the metal material and silicon material below the MEMS beam comprises forming the metal material on a substrate and forming the silicon material over the metal material.5. The method of claim 4 , wherein forming the metal material and silicon material above the MEMS beam comprises forming the silicon material within a via in contact with the silicon material below the MEMS beam ...

Подробнее
19-07-2018 дата публикации

MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURES AND DESIGN STRUCTURES

Номер: US20180201503A1
Принадлежит:

Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming a Micro-Electro-Mechanical System (MEMS) beam structure by venting both tungsten material and silicon material above and below the MEMS beam to form an upper cavity above the MEMS beam and a lower cavity structure below the MEMS beam. 1. A method of forming a Micro-Electro-Mechanical System (MEMS) beam structure comprising:forming both tungsten material and semiconductor material above and below a MEMS beam; and{'sub': '2', 'etching both the tungsten material and the semiconductor material at least above and below the MEMS beam, using an XeFetchant.'}2. The method of claim 1 , wherein the etching is preformed by venting both the tungsten material and the semiconductor material at least above and below the MEMS beam to form an upper cavity structure above the MEMS beam and a lower cavity structure below the MEMS beam.3. The method of claim 2 , wherein the MEMS beam comprises a cantilevered beam structure.4. The method of claim 3 , wherein the cantilevered beam structure comprises a first cantilevered beam and a second cantilevered beam separated from one another by a via which connects the upper cavity structure and the lower cavity structure to one another.5. The method of claim 2 , wherein the venting and film thicknesses are controlled to ensure that all or substantially all of the tungsten material is removed claim 2 , prior to the semiconductor material.6. The method of claim 5 , wherein the venting comprises forming a vent hole to expose at least the semiconductor material above the MEMS beam and performing an XeFetching process.7. The method of claim 1 , wherein the semiconductor material is silicon material.8. The method of claim 7 , wherein forming the tungsten material and silicon material below the MEMS beam comprises forming the tungsten material on a substrate and forming the silicon material over the tungsten ...

Подробнее
19-07-2018 дата публикации

MEMS Device and Method of Manufacturing a MEMS Device

Номер: US20180201504A1
Принадлежит:

A method for manufacturing a MEMS device is disclosed. Moreover a MEMS device and a module including a MEMS device are disclosed. An embodiment includes a method for manufacturing MEMS devices includes forming a MEMS stack over a first main surface of a substrate, forming a polymer layer over a second main surface of the substrate and forming a first opening in the polymer layer and the substrate such that the first opening abuts the MEMS stack. 1. A method for manufacturing microelectromechanical systems (MEMS) devices , the method comprising:forming a MEMS stack over a first main surface of a substrate;forming a polymer layer over a second main surface of the substrate;forming a first opening in the polymer layer and the substrate such that the first opening abuts the MEMS stack;forming a second opening in the polymer layer while forming the first opening in the polymer layer;filling the second opening with an etch stop material; andafter filling the second opening with the etch stop material, etching the substrate to extend the first opening into the substrate.2. The method according to claim 1 , further comprising performing a release etch to form moveable components of the MEMS devices.3. The method according to claim 2 , performing the release etch comprising etching with HF based chemistry.4. The method according to claim 2 , wherein the MEMS stack comprises a sacrificial layer disposed between a backplate and a membrane claim 2 , and wherein the release etch removes all of the sacrificial layer.5. The method according to claim 2 , wherein the MEMS stack comprises a sacrificial layer disposed between a backplate and a membrane claim 2 , and wherein the release etch removes a substantial portion of the sacrificial layer leaving spacers.6. The method according to claim 1 , wherein the polymer layer is a negative photoresist.7. The method according to claim 1 , wherein the polymer layer is a positive photoresist.8. The method according to claim 1 , further ...

Подробнее
05-08-2021 дата публикации

Process for Producing a Base of an Analysis Cell for Analyzing a Biochemical Material, and Analysis Cell

Номер: US20210238029A1
Автор: Franz Laermer
Принадлежит: ROBERT BOSCH GMBH

The disclosure relates to a process for producing a base of an analysis cell for analyzing a biochemical material. Here, carbon-rich precursor molecules and low-carbon precursor molecules are deposited on a substrate in a defined mixing ratio in order to form a precursor layer, wherein the low-carbon precursor molecules have a defined size and a hydrophobic end group. In a further step, the precursor layer is post-treated in a suitable manner in order to produce the base as a layer with at least one pore having a pore size dependent on the defined size and a pore count dependent on the defined mixing ratio.

Подробнее
04-08-2016 дата публикации

METHOD FOR ACHIEVING GOOD ADHESION BETWEEN DIELECTRIC AND ORGANIC MATERIAL

Номер: US20160221823A1
Автор: Renault Mickael
Принадлежит:

The present invention generally relates to a method for forming a MEMS device and a MEMS device formed by the method. When forming the MEMS device, sacrificial material is deposited around the switching element within the cavity body. The sacrificial material is eventually removed to free the switching element in the cavity. The switching element has a thin dielectric layer thereover to prevent etchant interaction with the conductive material of the switching element. During fabrication, the dielectric layer is deposited over the sacrificial material. To ensure good adhesion between the dielectric layer and the sacrificial material, a silicon rich silicon oxide layer is deposited onto the sacrificial material before depositing the dielectric layer thereon. 1. A method of forming a MEMS device , comprising:depositing an organic based sacrificial layer over an electrode;depositing a silicon rich layer over the organic based sacrificial layer, wherein the silicon rich layer has an amount of silicon greater than a stoichiometric silicon layer; anddepositing a dielectric layer over the silicon rich layer.2. The method of claim 1 , wherein the silicon rich silicon is deposited by PECVD.3. The method of claim 2 , wherein depositing the silicon rich layer comprises introducing silane and an oxygen containing gas into the processing chamber.4. The method of claim 3 , wherein the dielectric layer comprises silicon oxide and wherein the dielectric layer is deposited by PECVD.5. The method of claim 4 , wherein a ratio of silicon containing gas to oxygen containing gas is higher when depositing the silicon rich layer as compared to depositing the dielectric layer.6. The method of claim 5 , wherein the oxygen containing gas comprises NO.7. The method of claim 6 , further comprising introducing Nduring depositing the silicon rich layer.8. The method of claim 7 , wherein the silicon rich layer comprises multiple layers.9. The method of claim 8 , wherein the silicon rich layer has a ...

Подробнее
02-07-2020 дата публикации

MEMS VIA WITH ENHANCED ELECTRICAL AND MECHANICAL INTEGRITY

Номер: US20200207609A1
Принадлежит:

Described examples include a micromechanical device having a substrate. The micromechanical device includes a MEMS element and a via between the MEMS element and the substrate, the via having a conductive layer extending from the substrate to the MEMS element and having a structural integrity layer on the conductive layer. 1. A micromechanical device comprising:a substrate;a MEMS element; anda via between the MEMS element and the substrate, the via having a conductive layer extending from the substrate to the MEMS element and having a structural integrity layer on the conductive layer.2. The micromechanical device of claim 1 , wherein the conductive layer is selected from a group of titanium nitride and titanium-aluminum.3. The micromechanical device of claim 1 , wherein the structural integrity layer is silicon dioxide.4. The micromechanical device of claim 1 , wherein the MEMS element is an electrically-actuated claim 1 , movable micromirror.5. The micromechanical device of claim 1 , further comprising a fill layer.6. The micromechanical device of claim 5 , wherein the fill layer is from a group including silicon dioxide claim 5 , silicon nitride claim 5 , titanium nitride claim 5 , titanium claim 5 , titanium-tungsten (TiW) claim 5 , photoresist claim 5 , polyimide claim 5 , germanium claim 5 , germanium oxide claim 5 , polycrystalline silicon claim 5 , phosphor-silicate-glass (PSG) claim 5 , borophosphosilicate glass (BPSG) and spin-on-glass (SOG).7. An integrated circuit comprising:a substrate; a mirror;', 'a torsion bar;', 'a first via between the torsion bar and the substrate, the first via having a first conductive layer extending from the substrate to the torsion bar and having a first structural integrity layer on the first conductive layer; and', 'a second via between the mirror and the torsion bar, the second via having a second conductive layer extending from the torsion bar to the mirror and having a second structural integrity layer on the second ...

Подробнее
10-08-2017 дата публикации

MICROMECHANICAL STRUCTURE

Номер: US20170225943A1
Автор: Bendes David
Принадлежит:

A micromechanical structure is described, including: at least one elastically deformable first area, which includes a defined piezoelectrically doped second area, at least in sections; at least one fourth area, into which the electrical charges generated in the second area may be conducted; and at least one third area connected electrically to the second and fourth area, in which an electrical current flowing through is convertible into thermal energy. 113.-. (canceled)14. A micromechanical structure , comprising:at least one elastically deformable first area that includes a defined piezoelectrically doped second area, at least in sections;at least one fourth area, into which electrical charges generated in a second area may be conducted; andat least one third area connected electrically to the second and fourth areas, and in which an electrical current flowing therethrough is convertible into thermal energy.15. The micromechanical structure as recited in claim 14 , wherein the third area is doped conductively using a defined ohmic resistance value.16. The micromechanical structure as recited in claim 14 , wherein the fourth area has a defined capacitance.17. The micromechanical structure as recited in claim 14 , wherein the second area and the fourth area are piezoelectrically doped and are situated at least partially in the elastically deformable first area.18. The micromechanical structure as recited in claim 14 , wherein the second area and the fourth area are piezoelectrically doped and are each situated at least partially in different deformable first areas.19. The micromechanical structure as recited in claim 14 , wherein the second and fourth areas are piezoelectrically doped and positioned in such a way that electrical charges generated by mechanical stresses in the second and fourth areas have opposite signs.20. The micromechanical structure as recited in claim 14 , wherein the second claim 14 , third claim 14 , and fourth areas are at least partially ...

Подробнее
20-08-2015 дата публикации

MEMS Device with Constant Capacitance

Номер: US20150235779A1
Принадлежит:

A MEMS apparatus has a substrate, an input node, an output node, and a MEMS switch between the input node and the output node. The switch selectively connects the input node and the output node, which are electrically isolated when the switch is open. The apparatus also has an input doped region in the substrate and an output doped region in the substrate. The input doped region and output doped region are electrically isolated through the substrate—i.e., the resistance between them inhibits non-negligible current flows between the two doped regions. The input doped region forms an input capacitance with the input node, while the output doped region forms an output capacitance with the output node. 1. A MEMS apparatus comprising:a substrate;an input node;an output node;a MEMS switch between the input node and the output node, the switch selectively connecting the input node and the output node, the input node and output node being electrically isolated when the switch is open; andan input doped region in the substrate, an output doped region in the substrate, the input doped region and output doped region being electrically isolated through the substrate,the input doped region forming an input capacitance with the input node,the output doped region forming an output capacitance with the output node.2. The MEMS apparatus as defined by wherein the input doped region has an outer periphery in the substrate claim 1 , the substrate forming a border region about the outer periphery claim 1 , the border region having a higher resistance than the input doped region.3. The MEMS apparatus as defined by wherein at least a portion of the input doped region is vertically aligned with the input node.4. The MEMS apparatus as defined by wherein at least a portion of the output doped region is vertically aligned with the output node.5. The MEMS apparatus as defined by further comprising:a plurality of output nodes;a plurality of MEMS switches configured to selectively electrically ...

Подробнее
02-07-2020 дата публикации

Method for Manufacturing MEMS Microphone

Номер: US20200213797A1
Автор: Liu Zhengyan, Meng Zhenkui
Принадлежит:

The invention provides a method for manufacturing a MEMS microphone, including the steps of: providing a base and preparing a first diaphragm on a first surface of the base; preparing a back plate on a surface of the first diaphragm opposite to the first surface; forming a first gap between the first diaphragm and the back plate; preparing a second diaphragm; forming a second gap between the second diaphragm and the back plate; preparing electrodes; forming a back cavity by etching the surface opposite to the first surface. 1. A method for manufacturing a MEMS microphone , comprising steps of:selecting a base, depositing a first oxidation layer on the first layer of the base;depositing a first polycrystalline silicon layer on the surface of the first oxidation layer and visualizing the first polycrystalline silicon layer to form a first vibrating diaphragm structure;depositing a second oxidation layer on the surface of the first vibrating diaphragm structure,depositing a material layer of back plate on the surface of the second oxidation layer,visualizing the material layer of back plate to form a back plate structure, wherein the back plate structure comprises multiple acoustic through holes;depositing a third oxidation layer on the back plate structure, and flattening the third oxidation layer;visualizing the third oxidation layer and the second oxidation layer, forming a deposition hole of supporting part between the acoustic through holes, wherein the deposition hole of supporting part is exposed from the first vibrating diaphragm structure;depositing the material layer of supporting part until it fills up the deposition hole of supporting part;flattening the material layer of supporting part until the surface of the third oxidation layer is exposed;depositing a second material layers of vibrating diaphragm, and visualizing the second vibrating diaphragm material layer to form the second diaphragm structure and to form releasing holes on the second diaphragm ...

Подробнее
09-07-2020 дата публикации

METHOD FOR MANUFACTURING DUAL-CAVITY STRUCTURE, AND DUAL-CAVITY STRUCTURE

Номер: US20200216307A1
Принадлежит:

A method for manufacturing a dual-cavity structure and a dual-cavity structure, including: etching on a semiconductor substrate to form a first trench array, tops of the first trench array being separated from each other and bottoms thereof being communicated with each other to form a first cavity; growing a first epitaxial layer on the semiconductor substrate on which the first trench array is formed, to cover the first trench array by the first epitaxial layer; etching on the first epitaxial layer to form a second trench array; tops of the second trench array being separated from each other and bottoms thereof being communicated with each other to form a second cavity; growing a second epitaxial layer on the first epitaxial layer on which the second trench array is formed; and etching the first epitaxial layer and the second epitaxial layer to form a straight groove. 1. A method for manufacturing a dual-cavity structure , comprising:etching on a semiconductor substrate to form a first trench array; wherein tops of the first trench array are separated from each other, and bottoms thereof are communicated with each other to form a first cavity;growing a first epitaxial layer on the semiconductor substrate on which the first trench array is formed, to cover the first trench array by the first epitaxial layer;etching on the first epitaxial layer to form a second trench array; wherein tops of the second trench array are separated from each other, and bottoms thereof are communicated with each other to form a second cavity;growing a second epitaxial layer on the first epitaxial layer on which the second trench array is formed; andetching the first epitaxial layer and the second epitaxial layer to form a straight groove communicated with the first cavity.2. The method of claim 1 , wherein prior to the step of growing the first epitaxial layer on the semiconductor substrate on which the first trench array is formed claim 1 , the method further comprises:washing the etched ...

Подробнее
17-08-2017 дата публикации

MICRO SENSOR AND MANUFACTURING METHOD THEREOF

Номер: US20170233248A1
Принадлежит:

A micro sensor including a first substrate and a second substrate is provided. The first substrate has a surface with a cavity. The second substrate has a sensing structure. The surface of the first substrate with the cavity is bonded to the second substrate to seal the cavity, such that a pressure value in the cavity is a constant value. A manufacturing method thereof is also provided. 1. A manufacturing method of a micro sensor , comprising:forming a cavity on a surface of a first substrate;bonding the surface of the first substrate with the cavity to a second substrate to seal the cavity, such that a pressure value in the cavity is a constant value; andforming a sensing structure in the second substrate.2. The manufacturing method of the micro sensor according to claim 1 , wherein before bonding the first substrate with the second substrate claim 1 , the manufacturing method of the micro sensor further comprises:forming an insulation layer on the first substrate or the second substrate, wherein at least part of the insulation layer is located between the first substrate and the second substrate after the first substrate is bonded to the second substrate, and the at least part of the insulation layer at least covers the surface with the cavity and the cavity.3. The manufacturing method of the micro sensor according to claim 2 , wherein a method of forming the sensing structure in the second substrate comprises:forming a plurality of connection portions in the second substrate; andforming a plurality of pressure sensing elements in the second substrate, wherein each of the pressure sensing elements is connected with two adjacent connection portions, and orthographic projections of the pressure sensing elements on the the first substrate fall within a range covered by the cavity.4. The manufacturing method of the micro sensor according to claim 3 , wherein the method of forming the sensing structure in the second substrate further comprises:forming at least one ...

Подробнее
17-08-2017 дата публикации

Waterproof member, manufacturing method of waterproof member, pressure sensor, and electronic module

Номер: US20170234754A1
Автор: Nobuyuki Tanaka
Принадлежит: Seiko Epson Corp

A waterproof member includes a laminated body including a second silicon layer and a second silicon oxide layer, and a through hole that is provided in the laminated body, prevents passing of liquid, and allows passing of gas, the through hole includes a first through hole that passes through the second silicon layer, and a second through hole passing through the second silicon oxide layer and communicating with the first through hole, and a width of the second through hole is smaller than a width of the first through hole.

Подробнее
16-07-2020 дата публикации

GAS SENSOR, SENSOR ARRAY, AND MANUFACTURING METHOD THEREOF

Номер: US20200225180A1

A gas sensor includes a silicon substrate, a detecting electrode, a first isolation film, a heating resistor, and a second isolation film that are successively stacked. The gas sensor has a base structure and a cantilever structure with a curled free end, and a gassensitive material is provided on the end of the cantilever structure. A sensor array composed of the gas sensor, and a method for manufacturing the gas sensor are also provided. The method includes (1) selecting a sacrificial layer; (2) preparing a detecting electrode; (3) preparing a first isolation film; (4) preparing a heating resistor; (5) preparing a second isolation film; (6) releasing the membrane; and (7) loading the gas sensitive material. 1. A gas sensor having a base structure and a cantilever structure , comprising the following parts that are successively stacked:a silicon substrate;a detecting electrode, wherein the detecting electrode comprises a first base part, one side of the first base part is provided with a first cantilever warped upward, and a free end of the first cantilever is provided with a first curled part; a first window is provided on a side of the first base part away from the first cantilever, a second window is provided on the first cantilever, the second window extends along the first cantilever to the first curled part, and the first curled part is divided by the second window; the second window is interconnected with the first window, and the detecting electrode is divided into two parts by the first window and the second window; first lead wires are respectively provided on the first base part at positions on both sides of the first window;a first isolation film, wherein the first isolation film is a silicon nitride layer and comprises a second base part, one side of the second base part is provided with a second cantilever warped upward, and the free end of the second cantilever is provided with a second curled part; a_first through hole is provided on the second base ...

Подробнее
25-08-2016 дата публикации

PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер: US20160244321A1
Принадлежит:

A method of forming a Micro-Electro-Mechanical System (MEMS) includes forming a lower electrode on a first insulator layer within a cavity of the MEMS. The method further includes forming an upper electrode over another insulator material on top of the lower electrode which is at least partially in contact with the lower electrode. The forming of the lower electrode and the upper electrode includes adjusting a metal volume of the lower electrode and the upper electrode to modify beam bending. 1. A Micro-Electro-Mechanical System (MEMS) structure comprising:a moveable beam comprising at least one insulator layer on a lower electrode such that a volume of the lower electrode is adjusted to modify beam bending characteristics;an upper electrode over the at least one insulator layer on top of the lower electrode,wherein:the lower electrode and the upper electrode are asymmetric or different, and a thickness of one of the lower electrode and the upper electrode with a lower pattern factor is thickened to balance a metal volume of the lower electrode with a metal volume of the upper electrode.2. The MEMS structure of claim 1 , wherein the upper electrode is deposited within tapered vias of the at least one insulator layer.3. The MEMS structure of claim 1 , wherein the lower electrode and the upper electrode are formed of a same material.4. The MEMS structure of claim 3 , wherein the lower electrode and the upper electrode are composed of Ti/AlCu/Ti/TiN.5. The MEMS structure of claim 4 , wherein a coefficient of thermal expansion (CTE) of the lower electrode and the upper electrode is approximated by AlCu.6. The MEMS structure of claim 1 , wherein the lower electrode and the upper electrode have identical layouts.7. The MEMS structure of claim 1 , wherein one of the lower electrode and the upper electrode is as a slotted or holed layout and one of the upper electrode and the lower electrode claim 1 , respectively claim 1 , has a thickness of the slotted or holed layout ...

Подробнее