Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 3663. Отображено 100.
12-01-2012 дата публикации

Azeotropic compositions comprising fluorinated compounds for cleaning applications

Номер: US20120010116A1
Принадлежит: EI Du Pont de Nemours and Co

The present invention relates to compositions comprising fluorinated olefins or fluorinated ketones, and at least one alcohol, halocarbon, hydrofluorocarbon, or fluoroether and combinations thereof. In one embodiment, these compositions are azeotropic or azeotrope-like. In another embodiment, these compositions are useful in cleaning applications as a degreasing agent or defluxing agent for removing oils and/or other residues from a surface.

Подробнее
01-03-2012 дата публикации

Cleaning Method for Wafer

Номер: US20120048296A1
Принадлежит: United Microelectronics Corp

A cleaning method for a wafer is provided. First, a first cleaning process is performed wherein the first cleaning process includes providing a cleaning solution having a first concentration. Next, a second cleaning process is performed, wherein the second cleaning process includes providing the cleaning solution having a second concentration. The second concentration is substantially greater than the first concentration. Next, a post-cleaning process is performed to provide dilute water.

Подробнее
01-03-2012 дата публикации

Cleaning solution and damascene process using the same

Номер: US20120052686A1
Автор: An-Chi Liu, Tien-Cheng Lan
Принадлежит: United Microelectronics Corp

A cleaning solution is provided. The cleaning solution includes a fluorine containing compound, an inorganic acid, a chelating agent containing a carboxylic group and water for balance. The content of the fluorine containing compound is 0.01-0.5 wt % of. The content of the inorganic acid is 1-5 wt %.

Подробнее
08-03-2012 дата публикации

Composition for removing a photoresist and method of manufacturing semiconductor device using the composition

Номер: US20120058636A1

Provided are a composition for removing a photoresist and a method of manufacturing a semiconductor device using the composition. The composition includes about 60-90 wt % of dimethyl sulfoxide, about 10-30 wt % of a polar organic solvent, about 0.5-1.5 wt % of hydroxy alkyl ammonium and about 1-10 wt % of an amine containing no hydroxyl group.

Подробнее
14-06-2012 дата публикации

Cleaning Compound and Method and System for Using the Cleaning Compound

Номер: US20120145202A1
Принадлежит: Lam Research Corp

A cleaning compound is provided. The cleaning compound includes about 0.1 weight percent to about 10 weight percent of a fatty acid dispersed in water. The cleaning compound includes an amount of a base sufficient to bring a pH of the fatty acid water solution to about a level where above about 50% of the dispersed fatty acid is ionized. A method for cleaning a substrate, a system for cleaning a substrate, and a cleaning solution prepared by a process are also provided.

Подробнее
21-06-2012 дата публикации

Azeotropic and azeotrope-like compositions of methyl perfluoroheptene ethers and heptane and uses thereof

Номер: US20120157362A1
Принадлежит: EI Du Pont de Nemours and Co

The present disclosure provides azeotropic and azeotrope-like compositions comprised of methylperfluoroheptene ethers and heptane. The present disclosure also provides for methods of use for the azeotropic and azeotrope-like compositions.

Подробнее
21-06-2012 дата публикации

Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Номер: US20120157367A1
Автор: Anh Duong, Indranil De
Принадлежит: Intermolecular Inc

A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.

Подробнее
19-07-2012 дата публикации

Resist stripping solution composition, and method for stripping resist by using same

Номер: US20120181248A1
Принадлежит: Dongwoo Fine Chem Co Ltd

Disclosed is a resist stripping solution composition, which is highly capable of removing a resist pattern and an etching residue after dry etching or wet etching, manifests excellent anticorrosive effects on metal wiring including aluminum and/or copper because a predetermined polyol compound is used, and also can process a number of substrates because a predetermined amide compound is used, thus greatly contributing to reducing the cost. A method of stripping a resist using the resist stripping solution composition is also provided.

Подробнее
01-11-2012 дата публикации

Benign, liquid chemical system-based back end of line (beol) cleaning

Номер: US20120276741A1

A back end of line cleaning process is performed using a liquid mixture containing at least two benign chemicals that can form a eutectic. In one embodiment, liquid mixtures of urea and choline chloride, at a molar ratio of 2:1, in the temperature range of 40° C. to 70° C. are used to remove etch residues on copper interconnects and dielectric layers created by g-line and DUV resists. In certain embodiments, eutectic, hypereutectic, and hypoeutectic compositions of the at least two benign chemicals are used.

Подробнее
29-11-2012 дата публикации

Photoresist removal

Номер: US20120302483A1
Принадлежит: Advanced Technology Materials Inc

Disclosed herein is a composition and method for semiconductor processing. In one embodiment, a wet-cleaning composition for removal of photoresist is provided. The composition comprises a strong base; an oxidant; and a polar solvent. In another embodiment, a method for removing photoresist is provided. The method comprises the steps of applying a wet-cleaning composition comprising about 0.1 to about 30 weight percent strong base; about one to about 30 weight percent oxidant; about 20 to about 95 weight percent polar solvent; and removing the photoresist.

Подробнее
21-02-2013 дата публикации

Liquid composition for cleaning semiconductor substrate and method of cleaning semiconductor substrate using the same

Номер: US20130045597A1
Принадлежит: Mitsubishi Gas Chemical Co Inc

[Problems] An object of the present invention is to provide a cleaning liquid composition which removes residual liquid and contaminants after chemical-mechanical polishing (CMP) of the surface of a semiconductor substrate in the production process of a semiconductor circuit device; and a cleaning method using the cleaning liquid composition. [Means for Solution] The cleaning liquid composition according to the present invention comprises a quaternary ammonium hydroxide, 1-ethinyl-1-cyclohexanol, a complexing agent, diethylenetriamine pentamethylene phosphonate and water and has a pH of 9 to 13. By cleaning a wiring material with the cleaning liquid composition according to the present invention, the wiring material can be protected against contamination, corrosion, oxidation and generation of foreign substance that are originated from the production process of a semiconductor circuit device or the environment, so that a clean wiring surface can be obtained.

Подробнее
14-03-2013 дата публикации

Cleaning Formulations and Method of Using the Cleaning Formulations

Номер: US20130061882A1
Принадлежит: Air Products and Chemicals Inc

A water-rich hydroxylamine formulation for photoresist and post-etch/post-ash residue removal in applications wherein a semiconductor substrate comprises aluminum. The cleaning composition comprises from about 2 to about 15% by wt. of hydroxylamine; from about 50 to about 80% by wt. of water; from about 0.01 to about 5.0% by wt. of a corrosion inhibitor; from about 5 to about 45% by wt. of a component selected from the group consisting of: an alkanolamine having a pKa<9.0, a water-miscible solvent, and a mixture thereof. Employment of such composition exhibits efficient cleaning capability for Al substrates, minimal silicon etch while protecting aluminum for substrates comprising both materials.

Подробнее
28-03-2013 дата публикации

Slurry for chemical-mechanical polishing of metals and use thereof

Номер: US20130078811A1
Принадлежит: International Business Machines Corp

A composition and a method for chemical mechanical polishing. The composition includes a surfactant anion an alkyl alcohol and a diluent. The composition further includes abrasive particles and an oxidizer. The method includes providing the composition on a surface to be polished and polishing the surface by contacting the surface with a polishing pad.

Подробнее
20-06-2013 дата публикации

Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material

Номер: US20130157472A1
Автор: Hua Cui
Принадлежит: EKC Technology Inc

A semiconductor processing composition and method for removing photoresist, polymeric materials, etching residues and copper oxide from a substrate comprising copper, low-k dielectric material and TiN, TiNxOy or W wherein the composition includes water, at least one halide anion selected from Cl − or Br − , and, where the metal hard mask comprises only TiN or TiNxOy, optionally at least one hydroxide source.

Подробнее
22-08-2013 дата публикации

Method of Fabricating Semiconductor Cleaners

Номер: US20130217232A1
Автор: Frank Weber
Принадлежит: INFINEON TECHNOLOGIES AG

A method of manufacturing cleaning solvents is provided. The method includes selecting a small plurality of test solvents from a large plurality of perspective solvents. The equilibrium composition of a multi-component solution is preferably described by the Hansen solubility model. A small plurality of test solvents is applied to solute samples and the degree of dissolution or swelling recorded. Based on the degree of dissolution or swelling, at least one solvent is selected from the large plurality of perspective solvents based on the Hansen parameters.

Подробнее
22-08-2013 дата публикации

Compositions containing fluorine substituted olefins and methods and systems using same

Номер: US20130217784A1
Принадлежит: Honeywell International Inc

Disclosed are the use of fluorine substituted olefins, including tetra- and penta-fluoropropenes, in a variety of applications, including in methods of depositing catalyst on a solid support, methods of sterilizing articles, cleaning methods and compositions, methods of applying medicaments, fire extinguishing/suppression compositions and methods, flavor formulations, fragrance formulations and inflating agents.

Подробнее
12-09-2013 дата публикации

Aluminum post-etch residue removal with simultaneous surface passivation

Номер: US20130237469A1
Автор: Chia-Yin Joyce Wei
Принадлежит: EKC Technology Inc

Al post-etch residue removal composition doped with an alkanoic acid of the formula R—COOH, where R can be a linear or branched alkyl group in the form of C n H 2n+1 , where n is from 4 to 19, simultaneously passivates exposed Al surfaces while removing post-etch residues.

Подробнее
24-10-2013 дата публикации

Cleaning Methods and Compositions

Номер: US20130276837A1

Methods and chemical solvents used for cleaning residues on metal contacts during a semiconductor device packaging process are disclosed. A chemical solvent for cleaning a residue formed on a metal contact may comprise a reactive inorganic component and a reactive organic component. The method may comprise spraying a semiconductor device with a chemical solvent at a first pressure, and spraying the semiconductor device with the chemical solvent at a second pressure less than the first pressure.

Подробнее
07-11-2013 дата публикации

Water-rich stripping and cleaning formulation and method for using same

Номер: US20130296215A1
Принадлежит: Air Products and Chemicals Inc

The present invention relates to water-rich formulations and the method using same, to remove bulk photoresists, post-etched and post-ashed residues, residues from Al back-end-of-the-line interconnect structures, as well as contaminations. The formulation comprises: hydroxylamine; corrosion inhibitor containing a mixture of alkyl dihydroxybenzene and hydroxyquinoline; an alkanolamine, a water-soluble solvent or the combination of the two; and at least 50% by weight of water.

Подробнее
02-01-2020 дата публикации

Cleaning agent composition for semiconductor device substrate, method of cleaning semiconductor device substrate, method of manufacturing semiconductor device substrate, and semiconductor device substrate

Номер: US20200002652A1
Принадлежит: Mitsubishi Chemical Corp

According to the present invention, there is provided a cleaning agent composition for a semiconductor device substrate including at least one of wiring and an electrode in which the wiring and the electrode contain cobalt or a cobalt alloy, the cleaning agent composition including a component (A): at least one compound selected from the group consisting of specific compounds; and a component (B): water.

Подробнее
07-01-2021 дата публикации

Composition having suppressed alumina damage and production method for semiconductor substrate using same

Номер: US20210002591A1
Принадлежит: Mitsubishi Gas Chemical Co Inc

The present invention pertains to: a composition capable of removing dry etching residue present on the surface of a semiconductor integrated circuit, while suppressing alumina damage in a production process for the semiconductor integrated circuit; a cleaning method for semiconductor substrates that use alumina; and a production method for a semiconductor substrate having an alumina layer. This composition is characterized by containing 0.00005%-1% by mass of a barium compound (A) and 0.01%-20% by mass of a fluorine compound (B) and having a pH of 2.5-8.0.

Подробнее
14-01-2016 дата публикации

Photoresist Layer and Method

Номер: US20160013041A1

A system and method for middle layers is provided. In an embodiment the middle layer comprises a floating component in order to form a floating region along a top surface of the middle layer after the middle layer has dispersed. The floating component may be a polymer with a floating group incorporated into the polymer. The floating group may comprise a fluorine atom.

Подробнее
03-02-2022 дата публикации

Cleaning liquid composition

Номер: US20220033744A1
Автор: Areji TAKANAKA
Принадлежит: Kanto Chemical Co Inc

An object of the present invention is to provide a cleaning liquid that effectively removes in a short time organic residues and abrasive grains derived from a slurry in a semiconductor substrate in which a Co contact plug and/or Co wiring are present.The present invention relates to a cleaning liquid composition for cleaning a substrate having a Co contact plug and/or Co wiring, which contains one or more reducing agents and water. Furthermore, the present invention relates to a cleaning liquid composition for cleaning a substrate having Co and not having Cu, which contains one or more reducing agents and water and has a pH of 3 or more and less than 12.

Подробнее
08-02-2018 дата публикации

Metal-compound-removing solvent and method in lithography

Номер: US20180039182A1

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9.

Подробнее
24-02-2022 дата публикации

Cleaning solvent compositions exhibiting azeotrope-like behavior and their use

Номер: US20220056368A1
Автор: Venesia L. Hurtubise
Принадлежит: ZYNON TECHNOLOGIES LLC

An azeotropic cleaning solvent composition has from about 96 to about 98 weight percent 1,1,1,3,3,3-hexafluoro-2-methoxypropane (“HFMOP”) and from about 2 to about 4 weight percent acetone, for example, about 97 weight percent HFMOP and about 3 weight percent acetone. Another composition of the invention has a weight ratio of HFMOP to acetone of about 24 to about 99, for example, about 24 to 49. Conventional additives such as surfactants, lubricants and co-solvents may be present in an amount not to exceed about 10 weight percent of the composition. A method of the invention comprises contacting an article of manufacture with the solvent composition in order to clean the article of manufacture and then removing the solvent composition from the article of manufacture.

Подробнее
15-02-2018 дата публикации

Separating method of fluorine-containing solvent, removing method of fluorine-containing solvent contaminant, and apparatus therefore

Номер: US20180043282A1
Принадлежит: Du Pont Mitsui Fluorochemicals Co Ltd

An object of the invention is to separate a fluorine-containing solvent in a short time and efficiently from a fluorine-containing solvent which contains alcohol. There is provided a method for separating a fluorine-containing solvent by filtering a mixed liquid composition containing the fluorine-containing solvent, alcohol and water with a membrane containing fluorine resin.

Подробнее
14-02-2019 дата публикации

Cleaning solution and cleaning method for a semiconductor substrate or device

Номер: US20190048293A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A cleaning solution and a cleaning method for a semiconductor substrate or device, which has particularly excellent cleaning performance for removing a residue or film including an inorganic substance that contains silicon atoms, and that has a high flash point. The cleaning solution contains a water miscible organic solvent, a quaternary ammonium hydroxide, and water. The water miscible organic solvent is a glycol ether based solvent or an aprotic polar solvent having a flash point of 60° C. or greater. The cleaning method includes using the cleaning solution to clean from the semiconductor substrate or the device a residue or film formed on the semiconductor substrate or adhered to the device, the residue or film including at least one of a resist and an inorganic substance that contains silicon atoms.

Подробнее
20-02-2020 дата публикации

Method for treating a semiconductor device

Номер: US20200055097A1
Принадлежит: Life Technologies Corp

A method of treating a sensor array including a plurality of sensors and an isolation structure, where a sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array and the isolation structure is disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors, comprises exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier; applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; and rinsing the acid solution from the sensor pad and the isolation structure.

Подробнее
04-03-2021 дата публикации

Treatment Liquid for Semiconductor Wafers, Which Contains Hypochlorite Ions

Номер: US20210062115A1
Принадлежит: Tokuyama Corp

A treatment liquid for cleaning a semiconductor wafer is a treatment liquid contains (A) a hypochlorite ion and (C) a solvent, in which pH at 25° C. is more than 7 and less than 12.0. A method for removing ruthenium and tungsten from a semiconductor wafer and cleaning the semiconductor wafer includes bringing the treatment liquid into contact with the semiconductor wafer containing ruthenium or tungsten is provided.

Подробнее
28-02-2019 дата публикации

Cleaning compositions

Номер: US20190062674A1
Принадлежит: Fujifilm Electronic Materials USA Inc

The present disclosure is directed to non-corrosive cleaning compositions that are useful, e.g., for removing residues (e.g., plasma etch and/or plasma ashing residues) and/or metal oxides from a semiconductor substrate as an intermediate step in a multistep manufacturing process.

Подробнее
09-03-2017 дата публикации

Semiconductor cleaning process system and methods of manufacturing semiconductor devices

Номер: US20170069513A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A semiconductor cleaning process system includes a process chamber configured to hold a semiconductor substrate, a cleaning solution supply unit configured to provide a cleaning solution to the process chamber, the cleaning solution including an organic fluoride, an organic acid and an organic solvent, a recycling unit configured to collect the cleaning solution discharged from the process chamber, a first concentration measuring unit configured to evaluate a fluorine concentration of a collected solution in the recycling unit, and a sub-cleaning solution supply unit configured to provide the organic fluoride to the cleaning solution supply unit based on the fluorine concentration evaluated by the first concentration measuring unit.

Подробнее
17-03-2016 дата публикации

Copper cleaning and protection formulations

Номер: US20160075971A1
Принадлежит: Advanced Technology Materials Inc

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include corrosion inhibitor(s) and surfactant(s). The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Подробнее
24-03-2022 дата публикации

Composition for surface treatment, method for producing the same, surface treatment method, and method for producing semiconductor substrate

Номер: US20220089981A1
Принадлежит: Fujimi Inc

The present invention provides a means capable of improving a residue removing effect and improving storage stability in a composition for surface treatment which is used for reducing residues on a surface of an object to be polished after being polished chemical mechanical polishing. The present invention relates to a composition for surface treatment, wherein the composition contains a solvent and a dissolved gas, a concentration of the dissolved gas is 0.01 mg/L or more and 10 mg/L or less with respect to a total volume of the composition and the composition is used for reducing residues on a surface of an object to be polished after being polished by chemical mechanical polishing.

Подробнее
19-03-2015 дата публикации

Methods for making foams using blowing agents comprising unsaturated fluorocarbons

Номер: US20150080485A1
Принадлежит: Chemours Co FC LLC

Disclosed herein are blowing agents comprising fluorocarbons and/or hydrofluorocarbons useful in foamable compositions. Also disclosed are methods for forming a foam comprising the aforementioned blowing agents.

Подробнее
16-03-2017 дата публикации

Tin pull-back and cleaning composition

Номер: US20170076939A1
Принадлежит: BASF SE

The present invention relates to a novel composition that may be used to control the etching rate of TIN with respect to W, and remove any residues from the surface, e.g. organic or inorganic residues that could contain fluorine (F), which composition comprises a) an aliphatic or aromatic sulfonic acid; b) one or more inhibitor(s); c) an aprotic solvent; d) a glycol ether; and e) water. The present invention also relates to a kit comprising said composition in combination with an oxidant and optionally a stabilizer of the oxidant, and the use thereof.

Подробнее
12-06-2014 дата публикации

Method and apparatus for cleaning a substrate using non-newtonian fluids

Номер: US20140158167A1
Принадлежит: Lam Research Corp

A method for cleaning a substrate is provided. In this method, a flow of non-Newtonian fluid is provided where at least a portion of the flow exhibits plug flow. To remove particles from a surface of the substrate, the surface of the substrate is placed in contact with the portion of the flow that exhibits plug flow such that the portion of the flow exhibiting plug flow moves over the surface of the substrate. Additional methods and apparatuses for cleaning a substrate also are described.

Подробнее
31-03-2022 дата публикации

Cleaning solution and inkjet printer cleaning method

Номер: US20220097376A1
Принадлежит: Nippon Kayaku Co Ltd

A cleaning solution containing a compound represented by formula (1), a compound represented by formula (2), and water; and an inkjet printer cleaning method using the same.R1—O(EO)m(PO)nH  (1)R2—O(EO)LH  (2)In formula (1), R1 represents a linear or branched C1-C30 alkyl group. EO represents an ethyleneoxy group, and PO represents a propyleneoxy group. m and n each independently represent an integer of 1-5. m:n, which is the ratio between m and n, is at least 1:2 and smaller than 3:2. In formula (2), R2 represents a linear or branched C1-C30 alkyl group, or an aryl group. EO represents an ethyleneoxy group. L represents an integer of at least 10. Formula (1): R1—O(EO)m(PO)nH. Formula (2): R2—O(EO)LH.

Подробнее
31-03-2022 дата публикации

Surface treatment composition, method for producing surface treatment composition, surface treatment method, and method for producing semiconductor substrate

Номер: US20220098523A1
Принадлежит: Fujimi Inc

Provided is a means capable of sufficiently removing organic residues on the surface of an object to be polished after polishing. A surface treatment composition includes a polymer having a building block represented by Formula (1) in [Chemical Formula 1], a chelating agent, and water and is used to treat the surface of an object to be polished after polishing, and the chelating agent has at least one of a phosphonic acid group and a carboxylic acid group. In Formula (1), R 1 is a hydrocarbon group having 1 to 5 carbon atoms; and R 2 is a hydrogen atom or a hydrocarbon group having 1 to 3 carbon atoms.

Подробнее
19-06-2014 дата публикации

Apparatus and method of cleaning wafers

Номер: US20140166055A1

An apparatus for cleaning wafers includes a chamber, a rotatable substrate holder inside the chamber, a nozzle above the rotatable substrate holder, a cover facing downward and fluidly coupled with the nozzle. The rotatable substrate holder is configured to mount one or more semiconductor wafers on the rotatable substrate holder. The nozzle is configured to spray a cleaning medium onto the one or more semiconductor wafers. The cover is of a shape having a top edge with a top cross-sectional area and a bottom edge with a bottom cross-sectional area.

Подробнее
31-03-2016 дата публикации

Cleaning composition and method for semiconductor device fabrication

Номер: US20160090565A1

Provided is a cleaning composition and its applications. The cleaning composition comprises a mixture of a halogen-containing compound and an organic solvent. In some embodiments, the halogen-containing compound is TetraButylAmmonium Fluoride (TBAF) and the organic solvent is either propylene glycol monomethylether acetate (PGMEA) or a mixture of PGMEA and propylene glycol monomethylether (PGME). The cleaning composition is effective in removing silicon-containing material off of a surface of an apparatus. In some embodiments, the apparatus comprises a pipeline for delivering the silicon-containing material in semiconductor spin-coating processes. In some embodiments, the apparatus comprises a drain for collecting waste fluid in semiconductor spin-coating processes. In some embodiments, the apparatus comprises a patterned substrate.

Подробнее
30-03-2017 дата публикации

Method and apparatus for treating substrate

Номер: US20170087602A1
Принадлежит: Semes Co Ltd

The present disclosure relates to a method for treating a substrate. A method for treating a substrate includes a chamber cleaning step. In the chamber cleaning step a treatment space is cleaned by supplying a cleaning fluid. The cleaning fluid is generated by chemical reaction of a first gas and a second gas by applying plasma while supplying the first gas and the second gas which is different from the first gas into the inside of the process chamber.

Подробнее
05-05-2022 дата публикации

Cleaning agent composition and cleaning method

Номер: US20220135914A1
Принадлежит: Nissan Chemical Corp

A cleaning agent composition for use in removal of an adhesive residue, the composition containing a quaternary ammonium salt and a solvent, wherein the solvent consists of an organic solvent, and the organic solvent includes an N,N,N′,N′-tetra(hydrocarbyl)urea.

Подробнее
05-05-2022 дата публикации

Cleaning composition and cleaning method using the same

Номер: US20220135915A1
Принадлежит: ENF Technology CO Ltd

A cleaning composition for removing post-etch or post-ash residues from a semiconductor substrate, and a cleaning method using the same are disclosed. The cleaning composition can comprise water; a fluorine compound; an alkanolamine compound; and a corrosion inhibitor. The corrosion inhibitor is a mixture of a first corrosion inhibitor and a second corrosion inhibitor. When using the cleaning composition, it is possible to efficiently remove the residues of various aspects existing on a surface of the substrate or the semiconductor device without damage to a substrate or a semiconductor device including various metal layers, insulating layers, etc. Accordingly, when a highly integrated and miniaturized semiconductor device is manufactured, it may be advantageously applied to the removal of residues generated on the surface of the substrate or the semiconductor device.

Подробнее
09-04-2015 дата публикации

Compositions for use in semiconductor devices

Номер: US20150097139A1
Автор: Donald L. Yates
Принадлежит: Micron Technology Inc

An improved composition and method for cleaning a surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of the wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying a fluorine ion component, and the amounts of the fluorine ion component and an acid component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute. The composition can also be formulated to selectively remove the photoresist layer, leaving the underlying low-k dielectric layer essentially intact.

Подробнее
12-05-2022 дата публикации

Cleaning Compositions

Номер: US20220145221A1
Принадлежит: Fujifilm Electronic Materials USA Inc

This disclosure relates to a cleaning composition that contains 1) hydroxylamine, 2) an amino alcohol, 3) hexylene glycol, and 4) water.

Подробнее
13-04-2017 дата публикации

Liquid composition for cleaning semiconductor device, method for cleaning semiconductor device, and method for fabricating semiconductor device

Номер: US20170101607A1
Принадлежит: Mitsubishi Gas Chemical Co Inc

[Problem] To provide a liquid cleaning composition for removing a titanium nitride hard mask while suppressing damage to copper, a copper alloy, cobalt or a cobalt alloy upon fabricating a semiconductor device, a cleaning method using the same, and a method for fabricating a semiconductor device. [Solution] A liquid cleaning composition of the present invention used for fabricating a semiconductor device comprises hydrogen peroxide at 1-30% by mass, potassium hydroxide at 0.01-1% by mass, aminopolymethylene phosphoric acid at 0.0001-0.05% by mass, a compound having a group 13 element at 0.00005-0.5% by mass and water.

Подробнее
21-04-2016 дата публикации

Compositions for removing residues and related methods

Номер: US20160108348A1
Принадлежит: Micron Technology Inc

Compositions for removing residues from a semiconductor structure. The compositions comprise water, a base, a polydentate chelator, a degasser, and a fluorine source. The compositions comprise greater than or equal to approximately 99 wt % of the water and are formulated to exhibit a pH of from approximately 10.0 to approximately 12.0. Methods of forming and using the compositions are also disclosed.

Подробнее
23-04-2015 дата публикации

Anti-Reflective Layer and Method

Номер: US20150111384A1

A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a floating component in order to form a floating region along a top surface of the anti-reflective layer after the anti-reflective layer has dispersed. The floating component may be a floating cross-linking agent, a floating polymer resin, or a floating catalyst. The floating cross-linking agent, the floating polymer resin, or the floating catalyst may comprise a fluorine atom. The anti-reflective layers are removed using a fluid.

Подробнее
09-04-2020 дата публикации

Photoresist pattern shrinking composition and pattern shrinking method

Номер: US20200110339A1
Принадлежит: Youngchang Chemical Co Ltd

Provided is a composition for shrinking a photoresist pattern, which is capable of shrinking a photoresist pattern using a photoresist during the fabrication of a semiconductor, and to a method of shrinking a pattern using the composition, whereby a pattern to be formed can be shrunken in a photoresist-patterning process, thus remarkably decreasing the number of steps of a semiconductor fabrication process and reducing the fabrication time and costs.

Подробнее
24-07-2014 дата публикации

Compositions containing fluorine substituted olefins and methods and systems using same

Номер: US20140202182A1
Принадлежит: Honeywell International Inc

Disclosed are the use of fluorine substituted olefins, including tetra- and penta-fluoropropenes, in a variety of applications, including in methods of depositing catalyst on a solid support, methods of sterilizing articles, cleaning methods and compositions, methods of applying medicaments, fire extinguishing/suppression compositions and methods, flavor formulations, fragrance formulations and inflating agents.

Подробнее
14-05-2015 дата публикации

Substrate cleaning method, substrate cleaning system, and memory medium

Номер: US20150128995A1
Принадлежит: Tokyo Electron Ltd

A method for cleaning a substrate, includes supplying to a substrate having a hydrophilic surface a film-forming processing liquid which includes a volatile component and forms a film on the substrate, vaporizing the volatile component in the film-forming processing liquid such that the film-forming processing liquid solidifies or cures on the substrate and forms a processing film on the hydrophilic surface of the substrate, and supplying to the substrate having the processing film a strip-processing liquid for stripping the processing film from the substrate.

Подробнее
16-04-2020 дата публикации

Composition for rinsing or cleaning a surface with ceria particles adhered

Номер: US20200115661A1
Принадлежит: Fujimi Inc

To provide a composition for rinsing or cleaning a surface to which ceria particles are attached and a surface treatment method for removing ceria particles from the surface using the same. The composition according to the present invention contains an anionic surfactant, an organic amine compound, and a protic organic acid molecule and has a pH of less than 6.

Подробнее
01-09-2022 дата публикации

Cleaning formulation for removing residues on surfaces

Номер: US20220275313A1
Принадлежит: Fujifilm Electronic Materials USA Inc

This disclosure relates to a cleaning composition that contains 1) hydroxylamine; 2) alkanolamine; 3) an alkylene glycol; 4) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate.

Подробнее
21-05-2015 дата публикации

Cleaning solution and method for manufacturing display device using the same

Номер: US20150140704A1
Принадлежит: Samsung Display Co Ltd

A cleaning solution and a method for manufacturing a display device, the cleaning solution including about 2 wt % to about 12 wt % of nitric acid; about 0.5 wt % to about 15 wt % of an organic acid; about 0.1 wt % to about 10 wt % of a salt compound; about 0.01 wt % to about 3 wt % of an inorganic salt that includes fluorine; and a balance of water, all amounts being based on a total weight of the cleaning solution.

Подробнее
07-05-2020 дата публикации

Method for fabricating semiconductor device

Номер: US20200144064A1
Принадлежит: United Microelectronics Corp

A method for fabricating semiconductor device includes the steps of: providing a substrate having a NMOS region and a PMOS region; forming a pad oxide layer on the substrate, wherein the pad oxide layer comprises a first thickness; performing an implantation process to inject germanium (Ge) into the substrate on the PMOS region; performing a first cleaning process to reduce the first thickness of the pad oxide layer on the PMOS region to a second thickness; performing an anneal process; and performing a second cleaning process to remove the pad oxide layer.

Подробнее
18-06-2015 дата публикации

Cleaning composition for removing organic material and method of forming semiconductor device using the composition

Номер: US20150166942A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided are a cleaning composition for removing an organic material remaining on an organic layer and a method of forming a semiconductor device using the composition. The cleaning composition includes 0.01-5 wt %.hydroxide based on a total weight of the cleaning composition and deionized water.

Подробнее
14-05-2020 дата публикации

Method of cleaning a semiconductor chip and apparatus for performing the same

Номер: US20200152487A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of and apparatus for cleaning a semiconductor chip, the method including applying a first polar composition to a protection layer on a surface of at least one semiconductor chip to remove a particle from the surface of the at least one semiconductor chip and suspend the particle in the first polar composition; and applying a second polar composition, having a surface tension that is lower than that of the first polar composition, to a central portion of the applied first polar composition to push the first polar composition and the particle toward an outskirt of the at least one semiconductor chip.

Подробнее
04-09-2014 дата публикации

Microwave-assisted heating of strong acid solution to remove nickel platinum/platinum residues

Номер: US20140248770A1
Принадлежит: Globalfoundries Inc

A method is provided for removing residual Ni/Pt and/or Pt from a semiconductor substrate in a post salicidation cleaning process using microwave heating of a stripping solution. Embodiments include depositing a Ni/Pt layer on a semiconductor substrate; annealing the deposited Ni/Pt layer, forming a nickel/platinum silicide and residual Ni/Pt and/or Pt; removing the residual Ni/Pt and/or Pt from the semiconductor substrate by: microwave heating a strong acid solution in a non-reactive container; exposing the residual Ni/Pt and/or Pt to the microwave heated strong acid solution; and rinsing the semiconductor substrate with water H 2 O.

Подробнее
04-09-2014 дата публикации

Microelectronic substrate cleaning compositions having copper/azole polymer inhibition

Номер: US20140249065A1
Автор: Chien-Pin Sherman Hsu
Принадлежит: Avantor Performance Materials LLC

Semi-aqueous, alkaline microelectronic cleaning composition of pH≧8 containing: (A) at least one secondary alkanolamine that generates hydroxides when in contact with water; (B) at least one organic alcohol ether solvent having an evaporation rate of 0.3 or less when n-butyl acetate's evaporation rate is taken as the baseline rate of 1.0; (C) at least one corrosion inhibiting cyclic amide compound; (D) at least one pH balancing azole metal corrosion inhibitor in an amount of 0.08% or less by weight of the composition; and (E) water; and optionally (F) at least one polyhydroxylated phenol compound corrosion inhibitor; and (G) at least one polyalcohol or polythiol surface modification agent containing vicinal hydroxyl or vicinal sulfhydryl groups to pair with the polyhydroxylated phenol compound corrosion inhibitor.

Подробнее
25-06-2015 дата публикации

Water-Repellent Protective Film, and Chemical Solution for Forming Protective Film

Номер: US20150179433A1
Принадлежит: Central Glass Co Ltd

A surface treatment was conducted by using a liquid chemical containing a water-repellent protective film forming agent represented by the following general formula [1], subsequent to a step of cleaning a metal-based wafer and prior to a step of drying the wafer. (R 1 represents a C 1 -C 18 monovalent hydrocarbon group the hydrogen elements of which may partially or entirely be replaced with a fluorine element(s). R 2 mutually independently represents a monovalent organic group having a C 1 -C 18 hydrocarbon group the hydrogen elements of which may partially or entirely be replaced with a fluorine element(s). “a” is an integer of from 0 to 2.)

Подробнее
30-06-2016 дата публикации

Stripping compositions for removing photoresists from semiconductor substrates

Номер: US20160186106A1
Принадлежит: Fujifilm Electronic Materials USA Inc

This disclosure relates to photoresist stripping compositions containing 1) at least one water soluble polar aprotic organic solvent; 2) at least one alcohol solvent; 3) at least one quaternary ammonium hydroxide; 4) water; 5) at least one copper corrosion inhibitor selected from 6-substituted-2,4-diamino-1,3,5-triazines; and 6) optionally, at least one defoaming surfactant.

Подробнее
28-06-2018 дата публикации

Method for cleaning bonding interface before bonding

Номер: US20180178257A1
Принадлежит: Shanghai Simgui Technology Co Ltd

The present disclosure provides a method for cleaning a bonding interface before bonding. The method includes: providing a first surface and a second surface for bonding, the first surface being a non-crystal surface and the second surface being a crystal surface; and cleaning the first surface and the second surface with ammonia respectively before bonding, wherein at least one of parameters of an ammonia concentration and a cleaning temperature for cleaning the first surface is higher than a counterpart of parameters for cleaning the second surface.

Подробнее
13-07-2017 дата публикации

Developer For Lithography

Номер: US20170199464A1

A method for lithography patterning includes forming a material layer over a substrate; exposing a portion of the material layer to a radiation; and removing the exposed portion of the material layer in a developer, resulting in a patterned material layer. The developer comprises water, an organic solvent, and a basic solute. In an embodiment, the basic solute is less than 30% of the developer by weight.

Подробнее
26-07-2018 дата публикации

Supercritical synthetic y-grade ngl

Номер: US20180207596A1
Принадлежит: Linde GmbH

Use of a synthetic form of Y-grade natural gas liquids when in a supercritical state for a variety of processes and across numerous industrial applications is described herein. The low viscosity, high density, and tunable solvent properties of synthetic supercritical Y-grade natural gas liquids are useful for example in control of chemical reactions and processes, and/or single or two-phase separations.

Подробнее
11-07-2019 дата публикации

Sulfoxide/Glycol Ether Based Solvents for Use in the Electronics Industry

Номер: US20190211286A1
Принадлежит: Dow Global Technologies LLC

Solvents useful for removing, among other things, photoresists and poly(amic acid)/polyimide from display/semiconductor substrates or electronic processing equipment, consist essentially of: (A) a first component consisting of a sulfoxide, e.g., DMSO; (B) a second component consisting of a glycol ether, e.g., ethylene glycol monobutyl ether; and (C) a third component consisting of at least one of N-formyl morpholine, N,N-dimethyl propionamide, 3-methoxy-N,N-dimethyl propanamide, triethyl phosphate, N,N-dimethyl acetamide; N,N-diethyl acetamide, N,N-diethyl propionamide, N-methyl acetamide, N-methyl propionamide, N-ethyl acetamide, and N-ethyl propionamide.

Подробнее
20-08-2015 дата публикации

Metal-Safe Solid Form Aqueous-Based Compositions and Methods To Remove Polymeric Materials in Electronics Manufacturing

Номер: US20150232792A1
Принадлежит: Individual

Compositions and methods useful for the removal of organic substances from substrates, for example, electronic device substrates, are provided. A method is presented which uses a minimum amount of solid form concentrate that is diluted into water, introduced into a manufacturing tool and heated, applied to said substrate for a sufficient time to allow penetration and removal of an organic substance, and immediately rinsed with water to achieve complete removal. These compositions and methods are particularly suitable for removing and completely dissolving photoresists of the positive variety most commonly used in the manufacture of a flat panel display (FPD) and other electronic substrates.

Подробнее
18-08-2016 дата публикации

Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below

Номер: US20160238944A9
Принадлежит: BASF SE

In a method of treating a substrate including patterns having line-space dimensions of 50 nm or below, the substrate is rinsed by an aqueous composition including at least one non-ionic surfactant A and at least one hydrophobizer B. The at least one surfactant A has an equilibrium surface tension of 10 mN/m to 35 mN/m, determined from a solution of the at least one surfactant A in water at the critical micelle concentration. The hydrophobizer B is selected so that the contact angle of water to the substrate is increased by contacting the substrate with a solution of the hydrophobizer B in water by 5-95° compared to the contact angle of water to the substrate before such contacting.

Подробнее
16-07-2020 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20200227288A1
Автор: Yukifumi Yoshida
Принадлежит: Screen Holdings Co Ltd

A substrate processing apparatus includes a first cleaning solution supply part for supplying a main surface of a substrate with an alkaline or acid first cleaning solution and a second cleaning solution supply part for supplying the main surface with a second cleaning solution containing a thickener and having a viscosity higher than that of the first cleaning solution. In a state where one cleaning solution out of the first cleaning solution and the second cleaning solution is present on the main surface, the other cleaning solution is supplied onto the main surface. It is thereby possible to more reliably remove unnecessary substances on the main surface of the substrate.

Подробнее
01-08-2019 дата публикации

Microemulsion removers for advanced photolithography

Номер: US20190233777A1

The present invention provides a microemulsion remover comprising, based on the total weight of the microemulsion remover, 1) from 10% to 60%, at least one organic solvent, ii) from 10% to 50%, at least one co-solvent, iii) from 0.1% to 10%, at least one base, iv) from 0.1% to 10%, at least one oxidizer, v) from 0.1% to 10%, at least one surfactant, and vi) water.

Подробнее
13-11-2014 дата публикации

Silicone solvent

Номер: US20140336093A1
Автор: Andreas Koellnberger
Принадлежит: Wacker Chemie AG

Cured or uncured silicones are effectively removed from substrates with minimized substrate corrosion by the use of a silicone dissolver containing a tetralkylammonium fluoride and an inorganic base, dissolved in a solvent.

Подробнее
22-08-2019 дата публикации

Cleaning solution and method for cleaning substrate

Номер: US20190256805A1
Автор: Kenji Seki, Tatsuo Goto
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A cleaning solution that is used, inter alia, for removal of residue of a photoresist pattern or etching residue, and has exceptional anticorrosion properties with respect to silicon nitride; and a method for cleaning a substrate using the cleaning solution. In a cleaning solution containing a hydrofluoric acid and a solvent, a polymer that includes units derived from a compound of a specific structure having a carboxylic acid amide bond (—CO—N<) and an unsaturated double bond is blended as an anticorrosive agent. Polyvinylpyrrolidone is preferred as the polymer used as the anticorrosive agent.

Подробнее
21-09-2017 дата публикации

Detergent containing at least one laccase as a dye-transfer inhibitor

Номер: US20170267947A1
Принадлежит: Henkel AG and Co KGaA

The present disclosure relates to the use of specific laccases as dye transfer-inhibiting active substances during the washing of textiles, and to detergents containing said laccases.

Подробнее
29-09-2016 дата публикации

Liquid composition for removing titanium nitride, semiconductor-element cleaning method using same, and semiconductor-element manufacturing method

Номер: US20160281038A1
Принадлежит: Mitsubishi Gas Chemical Co Inc

This invention provides a liquid composition that removes titanium nitride from a substrate without corroding tungsten or a low-k interlayer dielectric also present on said substrate. Said liquid composition has a pH between 0 and 4, inclusive, and contains the following: at least one oxidizing agent (A) selected from the group consisting of potassium permanganate, ammonium peroxodisulfate, potassium peroxodisulfate, and sodium peroxodisulfate; a fluorine compound (B); and a tungsten-corrosion preventer (C). The tungsten-corrosion preventer (C) either contains at least two different compounds selected from a group of compounds (C1) consisting of alkylamines, salts thereof, fluoroalkylamines, salts thereof, and the like or contains at least one compound selected from said group of compounds (C1) and at least one compound selected from a group of compounds (C2) consisting of polyoxyalkylene alkylamines, polyoxyalkylene fluoroalkylamines, and the like. The mass concentration of potassium permanganate in the abovementioned oxidizing agent (A) is between 0.001% and 0.1%, inclusive, and the mass concentration of the abovementioned fluorine compound (B) is between 0.01% and 1%, inclusive.

Подробнее
05-10-2017 дата публикации

Fluorinated surfactant containing compositions

Номер: US20170283740A1
Принадлежит: 3M Innovative Properties Co

A composition includes a fluorinated or perfluorinated organic solvent, and a fluorinated surfactant of the general formula (1): [Formula should be inserted here] Rf is a perfluoroalkyl group having 1-6 carbon atoms. Each occurrence of R 1 and R 2 is independently H or CH 3 , n is 1-3, and x is 1-3.

Подробнее
05-10-2017 дата публикации

Method for Cleaning Wafer, and Chemical Used in Such Cleaning Method

Номер: US20170287705A1
Принадлежит: Central Glass Co Ltd

Provided herein is a method for cleaning a wafer having a fine uneven surface pattern that at least partially contains a silicon element using a wafer cleaning device that includes a vinyl chloride resin as a liquid contacting member, the method including retaining a water-repellent protective film-forming chemical in at least a recessed portion of the uneven pattern to form a water-repellent protective film on a surface of the recessed portion, the water-repellent protective film-forming chemical containing: a monoalkoxysilane represented by the following general formula [1], (R 1 ) a Si(H) 3-a (OR 2 )  [1]; a sulfonic acid represented by the following general formula [2], R 3 —S(═O) 2 OH  [2]; and a diluting solvent, wherein the diluting solvent contains 80 to 100 mass % of alcohol with respect to the total 100 mass % of the diluting solvent.

Подробнее
12-09-2019 дата публикации

Composition for semiconductor process and semiconductor process

Номер: US20190276778A1
Принадлежит: SKC Co Ltd

Provided are a composition for a semiconductor process, which comprises a first component comprising an inorganic acid or an organic acid; and a second component comprising a silicon compound represented by Formula 1 or 2, and a semiconductor process, which comprises selectively cleaning and/or removing an organic substance or an inorganic substance using the composition.

Подробнее
12-09-2019 дата публикации

Photoresist Stripper

Номер: US20190278184A1
Принадлежит: Versum Materials US LLC

Improved stripper solutions for removing photoresists from substrates are provided that typically have flash points above about 95° C. and high loading capacities. The stripper solutions comprise diethylene glycol butyl ether, quaternary ammonium hydroxide, and an alkanolamine having at least two carbon atoms, at least one amino substituent and at least one hydroxyl substituent, the amino and hydroxyl substituents attached to two different carbon atoms. Some formulations can additionally contain a secondary solvent. The formulations do not contain DMSO. Methods for use of the stripping solutions are additionally provided.

Подробнее
24-11-2016 дата публикации

Post chemical mechanical polishing formulations and method of use

Номер: US20160340620A1

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of alkali hydroxides, alkaline earth metal hydroxides, and tetramethylammonium hydroxide. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Подробнее
29-11-2018 дата публикации

Tonneau system for use with a pickup truck

Номер: US20180339581A1
Принадлежит: Worksport Ltd

A tonneau system for use with a pickup truck. The tonneau system includes a tonneau cover, a frame, and a latch. The tonneau cover has a plurality of tonneau sections, including at least a first tonneau section and a second tonneau section. A first hinge system couples the first tonneau section to the second tonneau section, enabling stacking and expanding of the first tonneau section relative to the second tonneau section. The frame has a first side rail securable to a first side of a cargo box of a pickup truck and a second side rail securable to a second side of the cargo box. The latch releaseably secures the tonneau cover to the first and second side rails. When the latch is released, the stacked tonneau sections are permitted to depend into the cargo box.

Подробнее
29-10-2020 дата публикации

Interconnectable Tiling System

Номер: US20200340253A1
Автор: Joseph Rocco Pacione
Принадлежит: 2600302 Ontario Inc

A tile system for covering a surface. The tile system comprises a first tile assembly connected to a second tile assembly by a connector. Each tile assembly comprises a first tile stacked on a second tile, the first tile and the second tile joined to a reinforcing material disposed therebetween. The connector comprises a first component and a second component that is complementary to the first component. The first component is mounted to the first tile assembly, and the second component is mounted to the second tile assembly.

Подробнее
24-12-2015 дата публикации

Surfactants and methods of making and using same

Номер: US20150370171A1
Принадлежит: 3M Innovative Properties Co

Anionic surfactants have a formula: R f SO 2 N(H)—CH 2 CH(CH 3 )OH; or R f SO 2 N(H)—CH 2 CH 2 O) x H, where x is an integer from 2-6. Rf is a fluoroalkyl group having 3 to 8 carbon atoms. Neutral surfactants having a formula: (a) R f —SO 2 N[CH 2 CH(CH 3 )OH] 2 ; (b) R f SO 2 N[CH 2 CH(CH 3 )OH][(CH 2 CH 2 O) n H], where n is an integer from 1-6; (c) R f SO 2 N(R)[(CH 2 CH 2 O) p H], where p is an integer from 2-6, and R is an alkyl group having 1 to 4 carbon atoms; or (d) R f SO 2 N[(CH 2 CH 2 O) q H][(CH 2 CH 2 O) m H], where q is an integer from 1-6 and m is an integer from 3-6. R f is a fluoroalkyl group having 3 to 8 carbon atoms.

Подробнее
29-12-2016 дата публикации

Cleaner composition

Номер: US20160376532A1
Автор: Hyuncheol Jeong, Jun Heo
Принадлежит: ENF Technology CO Ltd

The present invention relates to a cleaner composition. Specifically, the present invention relates to a cleaner composition that can be used to remove metal oxides and metal abrasive particles arising during metal polishing, such as chemical mechanical planarization (CMP). The cleaner composition has an improved ability to complex with metal abrasive particles. In addition, the cleaner composition maintains its ability to reduce metal abrasive particles, achieving improved stability. Therefore, the cleaner composition can be used for cleaning the surface of a metal with an increased ability to prevent corrosion of the metal surface.

Подробнее
12-11-2020 дата публикации

Functional water producing apparatus and functional water producing method

Номер: US20200353431A1

A functional water producing apparatus in an embodiment includes: a water pressure regulator configured to regulate the water pressure of the ultrapure water, the water pressure regulator having a pressure regulating valve configured to regulate a water pressure of the ultrapure water to an almost constant pressure and a feed water pump configured to pressurize the ultrapure water; a dissolving device configured to dissolve functional gas imparting a specific function in the ultrapure water regulated the water pressure by the water pressure regulator; and a control device configured to control the feed water pump to regulate the water pressure of the functional water to a predetermined constant pressure based on a water pressure or a flow rate of the functional water flowing out of the dissolving device.

Подробнее
19-11-2020 дата публикации

Particle removal from electrochromic films using non-aqueous fluids

Номер: US20200362274A1
Принадлежит: Sage Electrochromics Inc

Several of the films that comprise various energy producing or control devices, for example, electrochromic devices, lithium batteries, and photovoltaic cells, are sensitive to moisture in some way. They may be especially vulnerable to moisture at particular stages during their fabrication. It may also be highly desirable during fabrication to be able to wash particulates from the surface. The particulates may be generated some aspect of the fabrication process, or they may arise from the environment in which the fabrication takes place. This invention shows ways to remove said particles from the surface without incurring the damage associated with typical washing processes, resulting in higher manufacturing yields and better device performance.

Подробнее
26-11-2020 дата публикации

Compositions for the Removal of Silicone Deposits

Номер: US20200369990A1
Принадлежит: NuGeneration Technologies LLC

A solvent composition has an oxygenated solvent and a siloxane solvent. In one embodiment, the oxygenated solvent is propylene glycol methyl ether and the siloxane solvent is hexamethyldisiloxane or octamethyltrisiloxane. In another embodiment, the solvent composition is an azeotrope of propylene glycol n-butyl ether and decamethyltetrasiloxane. The siloxane solvent can be used in any situation where one desires to remove a silicone deposit, e.g., conformal coatings, adhesives, sealants, greases, heat transfer fluids, paints, oils, etc.

Подробнее
03-12-2020 дата публикации

Cleaning formulation for removing residues on surfaces

Номер: US20200377829A1
Принадлежит: Fujifilm Electronic Materials USA Inc

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid; 3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; 4) at least one pH adjusting agent, the pH adjusting agent being a base free of a metal ion; and 5) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate.

Подробнее
19-12-2019 дата публикации

Composition for performing cleaning after chemical/ mechanical polishing

Номер: US20190382698A1
Принадлежит: Youngchang Chemical Co Ltd

Disclosed is a post-chemical-mechanical-polishing cleaning composition, which is capable of effectively removing impurities from the surface of a wafer substrate after chemical mechanical polishing and also of preventing the corrosion of metal line materials, and which includes choline hydroxide, tetrabutylammonium hydroxide, 1,2,4-triazole, 2-hydroxypyridine, and the remainder of ultrapure water.

Подробнее
27-08-2002 дата публикации

Cleaning agent for semiconductor parts and method for cleaning semiconductor parts

Номер: US6440856B1
Принадлежит: JSR Corp

Provided are a cleaning agent for semiconductor parts, which can decrease a load on the environment and has a high cleaning effect on CMP (chemical mechanical polishing) abrasive particles, metallic impurities and other impurities left on the semiconductor parts such as semiconductor substrates after the CMP, comprising a (co)polymer having at least one kind of group selected from the group consisting of sulfonic acid (salt) groups and carboxylic acid (salt) groups, the cleaning agent further containing a phosphonic acid (salt) group-containing (co)polymer, a phosphonic acid compound or a surfactant as needed; and a method for cleaning semiconductor parts with the above cleaning agent.

Подробнее
26-01-2012 дата публикации

Aqueous alkaline cleaning compositions and methods of their use

Номер: WO2012011020A2
Принадлежит: Basf (China) Company Limited, BASF SE

Aqueous alkaline cleaning composition free from organic solvents and metal ion-free silicates, the said compositions comprising (A) a thioamino acid having at least one primary amino group and at least one mercapto group, (B) a quaternary ammonium hydroxide, (C) a chelating and/or corrosion inhibiting agent selected from the group consisting of aliphatic and cycloaliphatic amines having at least two primary amino groups, and aliphatic and cycloaliphatic amines having at least one hydroxy group, (D) a nonionic surfactant selected from the group of acetylenic alcohols, alkyloxylated acetylenic alcohols and alkyloxylated sorbitan monocarboxylic acid mono esters; the use of the alkaline cleaning composition for the processing of substrates useful for fabricating electrical and optical devices; and a method for processing substrates useful for fabricating electrical and optical devices making use of the said aqueous alkaline cleaning composition.

Подробнее
31-12-2008 дата публикации

세정 분야를 위한 플루오르화 화합물을 포함하는 공비 조성물

Номер: KR20080114757A

본 발명은 퍼플루오로부틸 에틸렌과, 1종 이상의 할로카본, 히드로플루오로카본 또는 플루오로에테르를 포함하는 조성물에 관한 것이다. 일 실시양태에서, 이들 조성물은 공비물 또는 공비유사물이다. 또다른 실시양태에서, 이들 조성물은 세정 분야에서 표면으로부터 오일 및/또는 다른 잔류물을 제거하기 위한 디그리싱제 또는 디플럭싱제로서 유용하다. 플루오르화 올레핀, 퍼플루오로부틸 에틸렌, 디그리싱제, 디플럭싱제, 공비 조성물

Подробнее
20-02-2006 дата публикации

씨엠피 프로세스용 연마액 및 연마방법

Номер: KR20060015723A

연마재, 수용성 용매 및 첨가제로 구성되는, 입자직경 20~80nm의 연마재입자를 연마액의 중량 대비 15 중량% 이상 함유하는 것을 특징으로 하는 CMP 프로세스용 연마액 및 상기 연마액을 사용하여 연마하는 연마방법이며, 적어도 산화규소막이 형성된 디바이스 웨이퍼의 표면 평탄화가공에 적합하고 평탄화특성, 저흠집특성, 고세정특성 등 우수한 연마특성을 안정하게 발휘할 수 있는 효과를 얻을 수 있어 반도체산업 등에서의 층간절연막 또는 소자분리막으로 구성되는 반도체디바이스나 자기헤드 및 액정디스플레이용 기판의 표면 평탄화가공에 최적이다.

Подробнее
27-11-2018 дата публикации

用于铜后化学机械平坦化的含水清洗组合物

Номер: CN105264117B
Автор: C-Y.柯
Принадлежит: Cabot Microelectronics Corp

提供用于铜后化学机械平坦化的含水清洗组合物。所述组合物包含有机碱、铜蚀刻剂、有机配体、腐蚀抑制剂、及水,其中该有机碱的浓度为至少200ppm,该铜蚀刻剂的浓度为至少200ppm,该有机配体的浓度为至少50ppm,且该腐蚀抑制剂的浓度为至少10ppm。当用于铜后化学机械平坦化清洗程序时,所述含水清洗组合物可有效地从晶片表面去除残余污染物和降低晶片表面上的缺陷数,同时赋予晶片以更好的表面粗糙度。

Подробнее
30-01-2002 дата публикации

化学机械抛光用淤浆及其形成方法和半导体器件制造方法

Номер: CN1333319A
Принадлежит: Toshiba Corp

CMP用淤浆,它具有:液体;包含于此液体中的多种研磨粒子,此研磨粒子包含至少一种以上的有机粒子和至少一种以上的无机粒子,而此有机与无机粒子则通过热结合一体化。

Подробнее
10-06-2003 дата публикации

Slurry for CMP, method of forming thereof and method of manufacturing semiconductor device including a CMP process

Номер: US6576554B2
Принадлежит: Toshiba Corp

A slurry for CMP having a liquid and a plurality of polishing particles, wherein the polishing particle contains an organic particle and a plurality of inorganic particles, and the organic particle and the inorganic particles are unified by thermocompression bonding.

Подробнее
12-04-2018 дата публикации

Cleaning formulations for removing residues on semiconductor substrates

Номер: WO2018067763A1

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers; 3) at least one metal-containing additive; and 4) water.

Подробнее
19-08-2004 дата публикации

Cleaning solution for semiconductor substrate

Номер: US20040161933A1

A cleaning solution for semiconductor substrates comprising a nonionic surface active agent of the formula (1) and/or the formula (2), a chelating agent and a chelating accelerator: CH 3 —(CH 2 ) l —O—(C m H 2m O) n —X   (1) wherein l, m and n independently represent a positive number, and X represents a hydrogen atom or a hydrocarbon group; CH 3 —(CH 2 ) a —O—(C b H 2b O) d —(C x H 2x O) y —X   (2) wherein a, b, d, x and y independently represent a positive number, b and x are different, and X represents a hydrogen atom or a hydrocarbon group.

Подробнее