Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1586. Отображено 196.
16-12-1986 дата публикации

ELECTRON LITHOGRAPHY MASK MANUFACTURE

Номер: CA0001215481A1
Автор: WARD RODNEY
Принадлежит:

Подробнее
04-01-2019 дата публикации

RESIST COMPOSITIONS

Номер: CN0109154772A
Принадлежит:

Подробнее
18-10-2013 дата публикации

PROCESS OF CORRECTION OF THE EFFECTS OF ELECTRONIC PROXIMITY USING OF THE FUNCTIONS OF DIFFUSION OF THE TYPE VOIGT

Номер: FR0002989513A1
Принадлежит: ASELTA NANOGRAPHICS

L'invention s'applique à un procédé de projection d'un faisceau électronique utilisé notamment en lithographie par écriture directe ou indirecte ainsi qu'en microscopie électronique. Notamment pour les dimensions critiques ou résolutions inférieures à 50 nm, les effets de proximité créés par la diffusion vers l'avant et vers l'arrière des électrons du faisceau en interaction avec la cible doivent être corrigés. On utilise traditionnellement pour ce faire la convolution d'une fonction d'étalement de point avec la géométrie de la cible. Dans l'art antérieur, ladite fonction d'étalement de point utilise des lois de distribution gaussiennes. Selon l'invention, au moins une des composantes de la fonction d'étalement de point est une combinaison linéaire de fonctions de Voigt et/ou de fonctions approchant des fonctions de Voigt, telles que les fonctions de Pearson VII. Dans certains modes de réalisation, certaines des fonctions sont centrées sur les pics de diffusion du rayonnement vers l'arrière ...

Подробнее
24-12-2020 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: KR0102195329B1
Автор:
Принадлежит:

Подробнее
07-05-2010 дата публикации

METHOD OF CURING A COLOR FILTER FOR AN ELECTRONIC DISPLAY USING ELECTRON-BEAM AND METHOD FOR MANUFACTURING A COLOR FILTER FOR THE ELECTRONIC DISPLAY USING THE SAME

Номер: KR1020100047029A
Принадлежит:

PURPOSE: A method of curing a color filter for an electronic display and a method for manufacturing a color filter for the electronic display using the same are provided, which can improve polymerization degree of a color filter. CONSTITUTION: A resist for the color filter pattern or black matrix pattern formation is applied on a substrate(S300). A preliminary baking is performed at the temperature less than 100 deg.C for 1-2 minutes(S310). The exposure is performed by using electronic beam(S320). A resist which was not hardened is removed by using alkali developing solution. A color filter pattern or a black matrix pattern is formed(S330). COPYRIGHT KIPO 2010 ...

Подробнее
17-05-2016 дата публикации

NOVEL ONIUM SALT COMPOUND, RESIST COMPOSITION COMPRISING SAME, AND METHOD FOR FORMING PATTERN USING ONIUM SALT COMPOUND

Номер: KR1020160055074A
Принадлежит:

The present invention relates to an onium compound represented by general formula (1). In general formula (1), R^11, R^22, R^33, R^44, R^55, and R^01 represent hydrogen atoms or monovalent hydrocarbon groups; j represents 0 or 1; and Z^+ represents a sulfonium cation represented by general formula (a) or an iodonium cation represented by general formula (b). In the general formula (a) and (b), R^100, R^200 and R^300 represent monovalent hydrocarbon groups, and R^400 and R^500 represent monovalent hydrocarbon groups. The onium salt compound contained in a resist composition: properly functions as an acid diffusion control agent, thereby having low mask error factor (MEF) and line width roughness (LWR); and has excellent depth of focus, thereby establishing a pattern profile with high resolution. COPYRIGHT KIPO 2016 ...

Подробнее
10-03-2020 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: KR1020200026126A
Принадлежит:

Подробнее
19-01-2016 дата публикации

시제 및 레지스트의 조성물

Номер: KR1020160006721A
Принадлежит:

... 광산 발생제의 산 발생을 향상시키는 시제 및 그런 시제를 함유하는 조성물이 설명된다.

Подробнее
13-03-2015 дата публикации

Номер: KR1020150028336A
Автор:
Принадлежит:

Подробнее
26-03-2020 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: KR1020200032659A
Принадлежит:

Подробнее
11-04-2021 дата публикации

Номер: TWI723995B
Принадлежит: FUJIFILM CORP, FUJIFILM CORPORATION

Подробнее
02-09-1974 дата публикации

PROCEDE DE FORMATION D'UNE COUCHE D'OXYDE DE FER SUIVANT UN DESSIN

Номер: BE814714A
Автор:
Принадлежит:

Подробнее
16-08-2018 дата публикации

Aperture set for multi-beam and multi-charged particle beam writing apparatus

Номер: TW0201830452A
Принадлежит:

In one embodiment, an aperture set for a multi-beam includes a shaping aperture array in which a plurality of first openings are formed, a region including the plurality of first openings is irradiated with a charged particle beam discharged from a discharge unit, and portions of the charged particle beam pass through the plurality of respective first openings to form a multi-beam, a first shield plate in which a plurality of second openings is formed, through which a corresponding beam in the multi-beam, which passes through the plurality of first openings, passes, and a blanking aperture array in which a plurality of third openings is formed, through which a corresponding beam in the multi-beam, which passes through the plurality of first openings and the plurality of second openings, passes. The second openings are wider than the first openings.

Подробнее
16-07-2018 дата публикации

Resist underlayer film forming composition containing novolac resin having triaryl diamine

Номер: TW0201825544A
Принадлежит:

To provide a material for forming a resist underlayer film that is used in a lithography process and has heat resistance, planarization properties and etching resistance at the same time. A resist underlayer film forming composition which contains a polymer that comprises a unit structure represented by formula (1). (In formula (1), R1 represents an organic group that contains at least two amines and at least three aromatic rings having 6 to 40 carbon atoms; each of R2 and R3 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, an aryl group having 6 to 40 carbon atoms, a heterocyclic group or a combination of these groups, and the alkyl group, the aryl group and the heterocyclic group may be substituted by a halogen group, a nitro group, an amino group, a formyl group, an alkoxy group or a hydroxy group; or alternatively, R2 and R3 may combine with each other to form a ring.) The above-described composition wherein R1 is a divalent organic group derived from N, N'-diphenyl ...

Подробнее
16-06-2020 дата публикации

Preparation of polymer and polymer

Номер: TW0202021989A
Принадлежит:

A polymer comprising recurring units derived from a monomer (A) adapted to be decomposed to generate an acid upon light exposure, recurring units derived from a monomer (B) having an acid labile group, and recurring units derived from a monomer (C) having a phenolic hydroxyl group, an amount of residual monomer (A) in the polymer being up to 1.0 wt%, is prepared by feeding a monomer solution containing monomer (A), (B), and (C) in a solvent (S) to reactor and effecting polymerization reaction in the reactor. The monomer solution has a monomer concentration of at least 35 wt%. The solvent (S) contains a compound having formula (S-1) or (S-2).

Подробнее
15-11-2012 дата публикации

POSITIVE RESIST COMPOSITION, AND RESIST FILM, RESIST-COATED MASK BLANK, RESIST PATTERN FORMING METHOD AND PHOTOMASK EACH USING THE COMPOSITION

Номер: WO2012153869A1
Принадлежит:

A positive resist composition contains: (A) a polymer compound having a structure where a hydrogen atom of a phenolic hydroxyl group is replaced by an acid labile group represented by the following formula (I): wherein R represents a monovalent organic group; A represents a group having a polycyclic hydrocarbon ring structure or a group having a polycyclic heterocyclic structure; and * represents a bonding position to an oxygen atom of the phenolic hydroxyl group.

Подробнее
27-12-2001 дата публикации

METHOD OF REDUCING POST-DEVELOPMENT DEFECTS IN AND AROUND OPENINGS FORMED IN PHOTORESIST BY USE OF NON-PATTERNED EXPOSURE

Номер: WO2001098836A2
Принадлежит:

In the exposure and development of available deep ultraviolet (DUV) sensitive photoresist it has been observed that following the standard prior art methods of exposure and development results in a high density of undesirable pieces of components of the photoresist material, Blob Defects, remaining on the semiconductor substrate (body). A method of exposing and developing the photoresist material which results in a reduced incidence of these Blob Defects consists of introducing a low level uniform flood exposure of light in addition to the commonly used exposure to patterned light, followed by standard development. The flood exposure is in the range of 5 to 50 % of the dose-to-clear for a non-patterned exposure.

Подробнее
03-01-2008 дата публикации

METHOD FOR FORMING PREDETERMINED PATTERNS ON A WAFER BY DIRECT ETCHING WITH NEUTRAL PARTICLE BEAMS

Номер: WO000002008002045A1
Принадлежит:

There is provided a method for forming a pattern on a wafer by direct etching with neutral particle beam, comprising a) penetrating the neutral particle beam through a mask into which the pattern is formed, b) directly colliding the neutral particle beam passed through the mask with the wafer onto which no photo-resist is coated, and c) removing wafer-forming material of a region that comes in contact with the neutral particle beam to form negative pattern on the wafer. The method makes it possible to form the pattern on the wafer by direct etching, even without coating of the photo-resist, exposure, developing or removal of the photo-resist. And it avoids disadvantages caused by direct contact of shields with the wafer, such as difficulty in the organized arrangement of the shields onto the wafer and damage to the wafer by the shields.

Подробнее
24-09-2015 дата публикации

CHEMICALLY-AMPLIFIED NEGATIVE RESIST COMPOSITION AND RESIST PATTERNING PROCESS USING THE SAME

Номер: US20150268556A1
Принадлежит:

The present invention provides a chemically-amplified negative resist composition including a sulfonium salt capable of providing a pattern having an extremely high resolution with reduced line edge roughness, and also provides a resist patterning process using the same. The present invention was accomplished by a chemically-amplified negative resist composition including (A) a salt represented by the following general formula (1) and (B) a resin containing one or more kinds of repeating unit represented by the following general formulae (UN-1) and (UN-2) and a resist patterning process using the same.

Подробнее
13-10-2020 дата публикации

Resist composition and patterning process

Номер: US0010802400B2

A resist composition comprising a polymer-bound acid generator, i.e., a polymer comprising recurring units derived from a sulfonium or iodonium salt having a brominated linker between a polymerizable unsaturated bond and a fluorosulfonic acid offers a high sensitivity and reduced LWR or improved CDU independent of whether it is of positive or negative tone.

Подробнее
08-08-2017 дата публикации

Method to define multiple layer patterns with a single exposure by charged particle beam lithography

Номер: US0009726983B2

The present disclosure provides a method that includes forming a first patternable material layer on a substrate; forming a second patternable material layer over the first patternable material layer; and performing a charged particle beam lithography exposure process to the first patternable material layer and the second patternable material layer, thereby forming a first latent feature in the first patternable material layer.

Подробнее
28-02-2019 дата публикации

Random Copolymer, Laminate, and Method for Forming Pattern

Номер: US20190064671A1
Принадлежит:

Provided are a random copolymer for forming a neutral layer promoting directed self-assembly pattern formation, a laminate for forming a pattern including the same, and a method for forming a high-quality pattern using the same. 5. The random copolymer of claim 1 , wherein in Chemical Formulae 1 and 2 claim 1 ,{'sub': 1', '3', '1', '10, 'Rand Rare independently of one another hydrogen or a Cto Calkyl group,'}{'sub': 2', '1', '10, 'Ris a Cto Calkyl group, and'}{'sub': 4', '8, 'Rto Rare independently of one another hydrogen or halogen.'}8. The random copolymer of claim 1 , wherein in Chemical Formulae 1 and 2 claim 1 ,{'sub': 1', '3', '1', '5, 'Rand Rare independently of one another hydrogen or a Cto Calkyl group,'}{'sub': 2', '1', '5, 'Ris a Cto Calkyl group, and'}{'sub': 4', '8, 'Rto Rare independently of one another hydrogen or halogen.'}9. The random copolymer of claim 1 , wherein the random copolymer includes 0.1 to 20 mol % of a monomer of the structural unit represented by Chemical Formula 3 claim 1 , based on total 100 mol % of the monomers forming the random copolymer.10. The random copolymer of claim 1 , whereinthe random copolymer has a number average molecular weight of 1,000 to 500,000 g/mol, anda polydispersity index of 1.0 to 2.0.11. A laminate for forming a pattern claim 1 , comprising:a substrate, and{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'a neutral layer including the random copolymer of , formed on the substrate.'}13. A method for forming a pattern claim 1 , using the random copolymer of claim 1 , and a block copolymer.14. The method of claim 13 , comprising:a) applying a random copolymer solution including the random copolymer on a substrate,b) subjecting the applied random copolymer solution to heat treatment to form a neutral layer,c) applying a block copolymer solution including the block copolymer on the neutral layer, andd) subjecting the applied block copolymer solution to heat treatment to form a pattern.15. The method of claim 14 ...

Подробнее
08-11-2016 дата публикации

Fluorine-containing sulfonic acid salt, fluorine-containing sulfonic acid salt resin, resist composition, and pattern forming method using same

Номер: US0009488914B2

Disclosed is a fluorine-containing sulfonic acid salt resin having a repeating unit represented by the following general formula (3). In the formula, each A independently represents a hydrogen atom, a fluorine atom or a trifluoromethyl group, and n represents an integer of 1-10. W represents a bivalent linking group, R01represents a hydrogen atom or a monovalent organic group, and M+ represents a monovalent cation. A resist composition containing this resin is further superior in sensitivity, resolution and reproducibility of mask pattern and is capable of forming a pattern with a low LER.

Подробнее
09-03-2017 дата публикации

EBEAM NON-UNIVERSAL CUTTER

Номер: US20170069461A1
Принадлежит: Intel Corporation

Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. In an example, a blanker aperture array (BAA) for an e-beam tool is described. The BAA is a non-universal cutter. 1. A blanker aperture array (BAA) for an e-beam tool , the BAA comprising:a first array of openings comprising a first column of openings along a first direction and a second column of openings along the first direction and staggered from the first column of openings, the first array of openings having a first pitch;a second array of openings comprising a third column of openings along the first direction and a fourth column of openings along the first direction and staggered from the third column of openings, the second array of openings having a second pitch; anda third array of openings comprising a fifth column of openings along the first direction and a sixth column of openings along the first direction and staggered from the fifth column of openings, the third array of openings having a third pitch, wherein a scan direction of the BAA is along a second direction, orthogonal to the first direction, wherein all of the openings of the BAA are aligned in the second direction with a unidirectional grid having a pitch of half of the smallest of the first, second and third pitches, and wherein the first, second, and third pitches are integer multiples of the pitch of the grid.2. The BAA of claim 1 , wherein the first column of openings is a first single column of openings aligned in the first direction claim 1 , the second column of openings is a second single column of openings aligned in the first direction claim 1 , the third column of openings is a third single column of openings aligned in the first direction claim 1 , the fourth column of openings is a fourth single column of openings aligned in the first direction claim 1 , the fifth column of openings is a fifth single column of openings aligned in the first direction claim 1 ...

Подробнее
18-02-2020 дата публикации

Exposure apparatus, manufacturing method of flat-panel display, device manufacturing method, and exposure method

Номер: US0010564548B2
Принадлежит: NIKON CORPORATION, NIKON CORP

An exposure apparatus that scans and exposes each of a plurality of areas on a glass substrate, by irradiating the substrate with an illumination light via a projection optical system and relatively driving the substrate with respect to the illumination light, is equipped with: a substrate holder that levitates and supports a first area of the substrate; a substrate carrier that holds the glass substrate levitated and supported by the substrate holder; an X coarse movement stage that drives the substrate holder; an X voice coil motor that drives the substrate carrier; and a controller that controls the X coarse movement stage and the X voice coil motor so that the substrate holder and the substrate carrier are driven, respectively, in scanning exposure. Accordingly, an exposure apparatus with improved position controllability of an object can be provided.

Подробнее
16-07-2014 дата публикации

Номер: JP0005548487B2
Автор:
Принадлежит:

Подробнее
29-06-1983 дата публикации

ELECTRON LITHOGRAPHY MASK MANUFACTURE

Номер: GB0008314435D0
Автор:
Принадлежит:

Подробнее
15-06-1984 дата публикации

EINRICHTUNG ZUR BESTRAHLUNG VON ROLLENMATERIAL MIT ELEKTRONEN

Номер: ATA593479A
Автор:
Принадлежит:

Подробнее
28-11-2017 дата публикации

Exposure apparatus, flat-panel-display production method, device production method, and exposure method

Номер: CN0107407893A
Автор: AOKI YASUO
Принадлежит:

Подробнее
04-05-2001 дата публикации

PROCESS OF LITHOGRAPHY IONIC, EQUIPMENT OF IMPLEMENTATION, AND RETICULATES FOR SUCH A EQUIPMENT

Номер: FR0002800477A1
Принадлежит:

L'invention vise à réaliser une lithographie ionique à haute résolution et haute fiabilité. Pour ce faire, l'invention combine l'utilisation d'ions moyennement chargés et fortement décélérés et d'un réticule multicouche plein, apte à réfléchir sélectivement ces ions. L'équipement de mise en oeuvre comporte une source d'ions (10) de type comportant un réacteur de production d'ions sous vide de type ECR, couplée à des moyens de sélection (20, 30) en charge, en direction, en densité, en vitesse et parallélisme des ions, et à des moyens de décélération (50) des ions à l'approche du réticule (40) monté sur des moyens mobiles (41) selon une direction (D). Des moyens d'accélération (70) du faisceau sélectivement rétrodiffusé (F3) par une couche du réticule (40) projette ce faisceau, après concentration par des moyens de focalisation (80), sur la tranche à insoler (60) montée sur des moyens mobiles (61).

Подробнее
05-09-2018 дата публикации

비화학 증폭형 레지스트 조성물, 비화학 증폭형 레지스트막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법

Номер: KR0101895241B1
Автор: 히라노 슈지
Принадлежит: 후지필름 가부시키가이샤

... 고립 라인 패턴 또는 고립 스페이스 패턴에 있어서의 해상력이 우수한 비화학 증폭형 레지스트 조성물과, 그것을 이용한 비화학 증폭형 레지스트막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법을 제공한다. 상기 비화학 증폭형 레지스트 조성물은, 금속염 구조를 갖는 수지 (Ab)를 함유한다.

Подробнее
20-07-2018 дата публикации

METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE

Номер: KR1020180083027A
Принадлежит:

Provided is a method for forming patterns of a semiconductor device. The method for forming patterns of a semiconductor device comprises: forming an upper mask layer on a substrate; forming a pair of preliminary mask patterns adjacent to each other on the upper mask layer, wherein a preliminary opening is defined between the pair of preliminary mask patterns; and patterning the upper mask layer using the pair of preliminary mask patterns as an etch mask to form a first upper mask pattern and a pair of second upper mask patterns. The forming of the first and second upper mask patterns may include performing an etching process using an ion beam. The pair of second upper mask patterns are formed under the pair of preliminary mask patterns, and the first upper mask pattern is formed between the pair of second upper mask patterns. Accordingly, the process of forming target patterns having fine pitch can be simplified. COPYRIGHT KIPO 2018 ...

Подробнее
22-01-2019 дата публикации

레지스트 조성물, 레지스트 패턴 형성 방법 및 고분자 화합물

Номер: KR1020190007389A
Принадлежит:

... 노광에 의해 산을 발생하고, 산의 작용에 의해 현상액에 대한 용해성이 변화하는 레지스트 조성물로서, 하기 일반식 (a0-1) 로 나타내는 화합물로부터 유도되는 구성 단위 (a0) 과, 하기 일반식 (a10-1) 로 나타내는 화합물로부터 유도되는 구성 단위 (a10) 을 갖고, 또한 하기 일반식 (1) 로 나타내는 구성 단위를 갖지 않는 고분자 화합물 (A1) 을 함유하는, 레지스트 조성물. 식 중, Rax0, Rax1 은 중합성기 함유기이다. Wax0, Wax1 은, (nax0+1) 가 또는 (nax1+1) 의 방향족 탄화수소기이다. nax0, nax1 은, 1 ∼ 3 의 정수이다. Z2 는 Fe, Co, Ni, Cr, 또는 Ru 이다. [화학식 1] ...

Подробнее
01-07-2019 дата публикации

Resist composition, method of forming resist pattern, polymeric compound, and compound

Номер: TW0201925252A
Принадлежит:

A resist composition including a resin component having a structural unit derived from a compound represented by formula (a0-1), wherein W represents a polymerizable group-containing group; Ra01 represents an alkyl group or an aromatic heterocyclic group containing an oxygen atom or a sulfur atom; in the case where Ra01 is an aromatic heterocyclic group containing an oxygen atom or a sulfur atom, Ra02 is a group which forms an aliphatic cyclic group together with the tertiary carbon atom (*C) to which Ra01 is bonded, provided that the aliphatic cyclic group contains an electron-withdrawing group as a substituent; and in the case where Ra01 is an alkyl group, Ra02 is a group in which an aliphatic cyclic group forms a condensed ring together with an aromatic heterocyclic group containing an oxygen atom or a sulfur atom, provided that the aliphatic cyclic group is formed together with the tertiary carbon atom (*C) to which Ra01 ...

Подробнее
16-08-2020 дата публикации

Resist composition and method of forming resist pattern

Номер: TW0202030552A
Принадлежит:

The present invention provides a resist composition which generates an acid upon exposure and whose solubility in a developing solution is changed due to an action of the acid, the resist composition including a base material component (A) whose solubility in a developing solution is changed due to the action of an acid, an acid generator component (B) which generates an acid upon exposure, and an organic acid which contains at least one carboxy group, in which the acid generator component (B) contains a compound (B1) represented by Formula (b1). In the formula, R2011 to R2031 represent an aryl group, an alkyl group, or an alkenyl group. Here, R2011 to R2031 have a total of four or more substituents containing fluorine atoms, Xn- represent an n-valent anion, and n represents an integer of 1 or greater.

Подробнее
04-03-2010 дата публикации

METHOD FOR OPTICAL PROXIMITY CORRECTION, DESIGN AND MANUFACTURING OF A RETICLE USING CHARACTER PROJECTION LITHOGRAPHY

Номер: WO2010025031A3
Принадлежит:

A method and system for manufacturing a surface having a multiplicity of slightly different patterns is disclosed. The method comprises using a stencil mask having a set of characters for forming the patterns on the surface and reducing shot count or total write time by use of a character varying technique. Application of such a method to fracturing, mask data preparation, or proximity effect correct is also disclosed. A method for optical proximity correction of a design of a pattern on a surface is also disclosed, comprising inputting desired patterns for the substrate and inputting a set of characters, some of which are complex characters, that may be used to form the pattern on the surface. A method of creating glyphs is also disclosed.

Подробнее
24-01-2002 дата публикации

Circuit pattern design method,exposure method, charged-particle beam exposure system

Номер: US20020010906A1
Принадлежит: KABUSHIKI KAISHA TOSHIBA

A charged-particle beam exposure system includes a charged-particle beam exposure apparatus for forming a pattern on a sample by charged-particle beam exposure using both a character projection exposure method and a variable shaped beam exposure method, a standard cell library which stores information of a plurality of standard cells that optimize circuit patterns for function units, an aperture mask which is set in the charged-particle beam exposure apparatus, bears characters corresponding to the standard cells, and has an aperture block falling within the irradiation range of the charged-particle beam exposure apparatus, a CP aperture mask management table which stores an index unique to each aperture block that is formed on the aperture mask and bears the characters corresponding to the standard cells, information of the standard cells corresponding to the characters laid out on each aperture block, and the number of charged-particle beam shots necessary to expose all the characters ...

Подробнее
28-11-2000 дата публикации

Charged-particle-beam microlithography methods and reticles for same exhibiting reduced space-charge and proximity effects

Номер: US0006153340A1
Автор: Nakasuji; Mamoru
Принадлежит: Nikon Corporation

Methods and reticles are provided for performing charged-particle-beam microlithography in which degradations in transfer accuracy arising from the space-charge effect and/or resist heating are reduced. A reticle is divided into multiple exposure units (e.g., subfields) each having at least one pattern feature, and each exposure unit is divided into multiple subunits. Certain features include non-exposed regions having dimensions larger than the resolution limit of the projection-optical system used to project the reticle pattern onto the substrate. Also, the non-exposed regions are desirably smaller than the dimensional limit at which resolution is impossible due to the proximity effect. With stencil reticles, the non-exposed regions are preferably provided at boundaries between complimentary pairs of large-dimension features inside exposure units having different feature densities. The non-exposed regions absorb backscattered electrons from the exposure doses received by surrounding portions ...

Подробнее
21-05-2019 дата публикации

Resist composition and patterning process

Номер: US0010295904B2

A resist composition comprising a base polymer and a sulfonium salt of iodized benzoic acid offers a high sensitivity and minimal LWR independent of whether it is of positive or negative tone.

Подробнее
02-07-2015 дата публикации

PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE USING THE SAME, AND ELECTRONIC DEVICE

Номер: US20150185610A1
Принадлежит: FUJIFILM Corporation

There is provided a pattern forming method comprising, in order, (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing (Ab) a resin having specific repeating units, (2) a step of exposing the film by using an electron beam or an extreme-ultraviolet ray, and (3) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.

Подробнее
16-01-2001 дата публикации

Block mask and charged particle beam exposure method and apparatus using the same

Номер: US0006175121B1
Принадлежит: Fujitsu Limited, FUJITSU LTD, FUJITSU LIMITED

A block mask for making a charged particle beam exposure using block exposure includes a plurality of block mask patterns respectively including repeating patterns, where the block mask patterns are arranged in an order dependent on an exposure sequence, at least one first block mask pattern group made up of arbitrary ones of the block mask patterns which are arranged in a predetermined direction, and at least one second block mask pattern group made up of the arbitrary ones of the block mask patterns which are arranged in a direction opposite to the predetermined direction. The second block mask pattern group is arranged adjacent to the first block mask pattern group.

Подробнее
12-10-2021 дата публикации

Material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography and production method thereof, pattern forming method, resin, and purification method

Номер: US0011143962B2

The present embodiment provides a material for forming an underlayer film for lithography, containing at least any of a compound represented by following formula (1) or a resin including a structural unit derived from a compound represented by the following formula (1),wherein R1 represents a 2n-valent group having 1 to 60 carbon atoms, or a single bond, each R2 independently represents a halogen atom, a straight, branched or cyclic alkyl group having 1 to 10 carbon atoms, an aryl group having 6 to 10 carbon atoms, an alkenyl group having 2 to 10 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a thiol group, a hydroxyl group, or a group where a hydrogen atom of a hydroxyl group is substituted with an acid-dissociable group, and may be the same or different in the same naphthalene ring or benzene ring, in which at least one R2 represents a group where a hydrogen atom of a hydroxyl group is substituted with an acid-dissociable group, n is an integer of 1 to 4, and structural formulae ...

Подробнее
13-09-2022 дата публикации

Charged particle beam writing method and charged particle beam writing apparatus

Номер: US0011443918B2
Автор: Kenichi Yasui, Yasuo Kato
Принадлежит: NuFlare Technology, Inc.

In one embodiment, a charged particle beam writing method includes virtually dividing a writing region of the substrate into a plurality of first mesh regions in a first mesh size, calculating an area density of the pattern for each of the plurality of first mesh regions to generate first mesh data, converting a mesh size of the first mesh data into a second mesh size greater than the first mesh size to generate second mesh data, performing a convolution operation between the second mesh data and a proximity effect correction kernel to generate third mesh data, converting a mesh size of the third mesh data into the first mesh size to generate fourth mesh data, performing a convolution operation between the first mesh data and a middle range effect correction kernel to generate fifth mesh data, and adding the fourth mesh data and the fifth mesh data together to calculate an irradiation amount of the charged particle beam for each of the plurality of first mesh regions.

Подробнее
05-01-2023 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: US20230004083A1
Принадлежит:

Organometallic solutions have been found to provide high resolution radiation based patterning using thin coatings. The patterning can involve irradiation of the coated surface with a selected pattern and developing the pattern with a developing agent to form the developed image. The patternable coatings may be susceptible to positive-tone patterning or negative-tone patterning based on the use of an organic developing agent or an aqueous acid or base developing agent. The radiation sensitive coatings can comprise a metal oxo/hydroxo network with organic ligands. A precursor solution can comprise an organic liquid and metal polynuclear oxo-hydroxo cations with organic ligands having metal carbon bonds and/or metal carboxylate bonds.

Подробнее
15-02-2018 дата публикации

Dualbelichtungsstrukturierung einer Fotomaske zum Drucken eines Kontakts, einer Durchkontaktierung oder einer gekrümmten Gestalt auf einer integrierten Schaltung

Номер: DE102017209814A1
Принадлежит:

Ein Verfahren und ein System zum: Bilden einer ersten rechteckigen Gestalt mit einer Fotomaskenschreibeinrichtung unter Verwendung einer ersten Unterschwellendosis auf einer Fotolackschicht eines Fotomaskensubstrats; Bilden einer überlappenden zweiten rechteckigen Gestalt mit der Fotomaskenschreibeinrichtung unter Verwendung einer zweiten Unterschwellendosis auf der Fotolackschicht, wobei die zweite rechteckige Gestalt relativ zur ersten rechteckigen Gestalt gedreht ist, um eines zu bilden aus: einer hexagonalen Überlappfläche und einer oktagonalen Überlappfläche, die die Fotolackschicht wenigstens einer Schwellendosis aussetzt; und Bilden einer Fotomaske basierend auf einer Entwicklung der belichteten Fotolackschicht, um eine optische Transmission entsprechend einem bereitzustellen aus: der hexagonalen Überlappfläche von wenigstens der Schwellendosis und der oktagonalen Überlappfläche von wenigstens der Schwellendosis zur Verwendung durch ein Fotolithografiesystem, um irgendeinen aus einem ...

Подробнее
08-12-1999 дата публикации

Mask carrier for particle beam lithography supporting a plurality of separate, replaceable masks

Номер: GB0002338084A
Принадлежит:

The mask carrier assembly 6 for particle beam lithography supports a set of separate replaceable masks 12. The masks comprise a mask design field 15 and also reference marks used to align the masks to the substrate 11. Individual mask patterns corresponding to individual sub-fields can be combined on the substrate to give the total design required, and this design can be repeated by step and repeat exposure over the substrate (Fig 2). Different embodiments are described: these include a mask carrier with eight masks (Fig 3), sixteen masks (Fig 4), and masks formed on a single wafer (Figs 5,6). In this last embodiment the single wafer may be placed behind an aperture (32, Fig 10) in a screen (31,Fig 10) allowing any particular mask to be selected. The selected mask and the exposure aperture are aligned using alignment marks present around both the mask (24, Fig 9) and the aperture (34, Fig 10).

Подробнее
21-09-2000 дата публикации

A COMPACT PHOTOEMISSION SOURCE, FIELD AND OBJECTIVE LENS ARRANGEMENT FOR HIGH THROUGHPUT ELECTRON BEAM LITHOGRAPHY

Номер: CA0002329539A1
Принадлежит:

An improved compact tandem photon and electron beam lithography system includes a field lens adjacent the photoemission source which is utilized in combination with an objective lens to minimize field aberrations in the usable emission pattern and minimize the interaction between electrons to improve the throughput of the system. If desired, a demagnifying lens can be utilized between the field lens and the objective lens to increase the demagnification ratio of the system.

Подробнее
06-09-2019 дата публикации

Protective agent composition and method for forming protective agent pattern

Номер: CN0106133604B
Автор:
Принадлежит:

Подробнее
31-10-1975 дата публикации

Method and masking structure for configurating thin layers

Номер: FR0002266955A1
Автор:
Принадлежит:

Подробнее
18-07-2002 дата публикации

METHOD FOR FORMING PATTERN USING CRYSTAL STRUCTURE OF MATERIAL AND FUNCTIONAL DEVICE HAVING THE STRUCTURE

Номер: KR20020060380A
Автор: KIM, KI BUM
Принадлежит:

PURPOSE: A method for forming a pattern using a crystal structure of a material and a functional device having the structure are provided to form the pattern of the quantum dots and the quantum lines by using the crystal structure of the material having the uniform size and density and capable of precisely controlling the distribution. CONSTITUTION: The material(13) having the crystal structure processed with a thickness of several tens nm in order to penetrate the electron beam(11) is placed on a chamber. The electron beam is divided into a penetration beam and a diffraction beam by the material having the crystal structure. The penetration beam and the diffraction beam pass through an object lens(15) and an aperture(17) of the object lens and form a lattice image of the material having the crystal structure by interfering with each other in the space. The image formed on an image plane is enlarged by a middle lens(19). © KIPO 2003 ...

Подробнее
25-06-2019 дата публикации

Номер: KR0101992661B1
Автор:
Принадлежит:

Подробнее
23-10-2013 дата публикации

METHOD OF CORRECTING ELECTRON PROXIMITY EFFECTS USING VOIGT TYPE SCATTERING FUNCTIONS

Номер: KR1020130116201A
Автор:
Принадлежит:

Подробнее
30-04-2019 дата публикации

Номер: KR0101974322B1
Автор:
Принадлежит:

Подробнее
07-09-2017 дата публикации

감활성광선성 또는 감방사선성 수지 조성물, 그것을 사용한 레지스트 막, 패턴형성방법, 전자 디바이스의 제조방법, 및 전자 디바이스

Номер: KR0101776048B1
Принадлежит: 후지필름 가부시키가이샤

... (A) 제 1 특정식으로 표시되는 반복단위 및 제 2 특정식으로 표시되는 반복단위를 함유하는 수지를 포함하는 감활성광선성 또는 감방사선성 수지 조성물로서, 제 1 특정식으로 표시되는 반복단위의 함유량이 수지(A) 중의 전체 반복단위에 대해서 35몰% 이상인 수지를 포함하는 감활성광선성 또는 감방사선성 수지 조성물, 이 감활성광선성 또는 감방사선성 수지 조성물을 사용하여 형성된 레지스트 막을 제공한다.

Подробнее
01-04-2016 дата публикации

Non-chemically amplified resist composition, non-chemically amplified resist film, pattern forming method, and method for manufacturing electronic device

Номер: TW0201612629A
Принадлежит:

The invention provides a non-chemically amplified resist composition having excellent resolution in an isolated line pattern or isolated space pattern, and a non-chemically amplified resist film using the non-chemically amplified resist composition, a pattern forming method and a method for manufacturing an electronic device. The non-chemically amplified resist composition contains a resin (Ab) having a metal salt structure.

Подробнее
16-01-2014 дата публикации

Oxime ester photoinitiators

Номер: TW0201402550A
Принадлежит:

Oxime ester compounds of the formula I, II, III, IV or V wherein Z is for example; Z1 for example is NO2, unsubstituted or substituted C7-C20aroyl or unsubstituted or substituted C4-C20heteroaroyl; provided that at least one Z1 is other than NO2; Z2 is for example unsubstituted or substituted C7-C20aroyl; R1, R2, R3, R4, R5 and R6 for example are hydrogen, halogen, or unsubstituted or substituted C1-C20alkyl, unsubstituted or substituted C6-C20aryl, or unsubstituted or substituted C4-C20heteroaryl; R9, R10, R11, R12 and R13 for example are hydrogen, halogen, OR16, un-substituted or substituted C1-C20alkyl; provided that R9 and R13 are neither hydrogen nor fluorine; R14 is for example unsubstituted or substituted C6-C20aryl or C3-C20heteroaryl Q is for example C6-C20arylene or C3-C20heteroarylene; Q1 is -C1-C20alkylene-CO-; Q2 is naphthoylene; Q3 is for example phenylene; L is for example O-alkylene-O-; R15 is for example hydrogen or C1-C20alkyl; R20 is for example hydrogen, or unsubstituted ...

Подробнее
01-05-2013 дата публикации

Pattern-forming method, electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition, resist film, manufacturing method of electronic device using them and electronic device

Номер: TW0201317712A
Принадлежит:

A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation and (C) a solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of forming a negative pattern by development of the film with a developer containing an organic solvent after the exposing of the film, wherein a content of the compound (B) is 21% by mass to 70% by mass on the basis of all solids content of the composition.

Подробнее
01-06-2017 дата публикации

Resist underlayer film forming composition containing epoxy adduct having long-chain alkyl group

Номер: TW0201719289A
Принадлежит:

To provide a composition for forming a resist underlayer film for the formation of a highly smooth coating on a substrate. A composition for forming a resist underlayer film and comprising an epoxy adduct (C) obtained by reacting an epoxy group-containing compound (A), and an epoxy adduct-forming compound (B), wherein an alkyl group having a carbon number of at least 3 and optionally having a branch is included in compound (A) and/or compound (B). The epoxy adduct-forming compound (B) is one selected from the group consisting of a carboxylic acid (B1), a carboxylic acid anhydride (B2), a phenol compound (B3), a hydroxyl group-containing compound (B4), a thiol compound (B5), an amino compound (B6), and an imide compound (B7). The alkyl group having a carbon number of at least 3 and optionally having a branch can be included in the epoxy adduct-forming compound (B). The alkyl group optionally having a branch can have a carbon number of 3-19.

Подробнее
01-11-2017 дата публикации

Tetracarboxylic acid diester compound, polyimide precursor polymer and method for producing the same, negative photosensitive resin composition, positive photosensitive resin compostiton, patterning process, and method for forming cured film

Номер: TW0201738198A
Принадлежит:

The present invention provides a tetracarboxylic acid diester compound shown by the following general formula (1), wherein X1 represents a tetravalent organic group; and R1 represents a group shown by the following general formula (2), wherein the dotted line represents a bond; Y1 represents an organic group with valency of k+1; "k" represents 1 or 2; and "n" represents 0 or 1. There can be provided a tetracarboxylic acid diester compound that can give a polyimide precursor polymer soluble in a safe organic solvent widely used as a solvent of a composition and usable as a base resin of a photosensitive resin composition.

Подробнее
11-04-2002 дата публикации

ELECTRON BEAM EXPOSURE SYSTEM AND METHOD FOR CALIBRATING IRRADIATING POSITION OF ELECTRON BEAM

Номер: WO0000229866A1
Автор: TAKAKUWA, Masaki
Принадлежит:

An electron beam exposure system comprising an electron gun generating first and second electron beams, a first mark member (202) having a first width w1 in a direction substantially perpendicular to the irradiating directions of the first and second electron beams, a second mark member (204) having a second width w2, a section for deflecting the first and second electron beams independently, a section for controlling the deflecting section such that the time for the first electron beam to scan the first width w1 of the first mark member (202) is deviated from the time for the second electron beam to scan the second width w2 of the second mark member (204), and a section (210) for detecting electrons emitted or scattered from the first and second mark members (202, 204).

Подробнее
15-03-1994 дата публикации

Extended source e-beam mask imaging system including a light source and a photoemissive source

Номер: US0005294801A
Автор:
Принадлежит:

An electron beam imaging system (10) includes a photoemitter plate (12). An optical image beam (15) is directed through a pattern mask (18), which is imaged onto the photoemitter (12). The photoemitter (12) emits electrons from those unmasked regions illuminated by the optical image beam, emitting an extended-source electron beam that carries the mask image. The extended-source electron beam is focused (34) onto a device under fabrication (40), providing a single-stage electron lithographic patterning function. The optical source (16) is chosen so that optical image beam energy is nearly identical to the work function for the photoemissive coating (14) of the photoemitter (12). As a result, the photoemitter (12) emits electrons with substantially zero kinetic energy, allowing the emitted electrons to be accelerated through the electron beam focusing elements (34) with very nearly identical electron velocities, thereby minimizing chromatic aberrations. In one embodiment, an aperture (85) ...

Подробнее
27-12-2018 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20180373150A1
Принадлежит: Shin-Etsu Chemical Co., Ltd.

A resist composition comprising a polymer-bound acid generator, i.e., a polymer comprising recurring units derived from a sulfonium or iodonium salt having a brominated linker between a polymerizable unsaturated bond and a fluorosulfonic acid offers a high sensitivity and reduced LWR or improved CDU independent of whether it is of positive or negative tone.

Подробнее
12-03-2020 дата публикации

IODONIUM SALT, RESIST COMPOSITION, AND PATTERN FORMING PROCESS

Номер: US20200081341A1
Принадлежит: Shin-Etsu Chemical Co., Ltd.

A novel carboxylic acid iodonium salt and a resist composition comprising the same as a quencher are provided. When resist composition is processed by photolithography using KrF or ArF excimer laser, EB or EUV, there is formed a resist pattern which is improved in rectangularity, MEF, LWR, and CDU.

Подробнее
25-05-2017 дата публикации

MATERIAL FOR FORMING FILM FOR LITHOGRAPHY, COMPOSITION FOR FORMING FILM FOR LITHOGRAPHY, FILM FOR LITHOGRAPHY, PATTERN FORMING METHOD AND PURIFICATION METHOD

Номер: US20170144954A1
Принадлежит:

... wherein, each R0 independently represents a monovalent group having an oxygen atom, a monovalent group having a sulfur atom, a monovalent group having a nitrogen atom, a hydrocarbon group or a halogen atom, and each p is independently an integer of 0 to 4.

Подробнее
17-11-2022 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: US20220365429A1
Принадлежит:

Organometallic solutions have been found to provide high resolution radiation based patterning using thin coatings. The patterning can involve irradiation of the coated surface with a selected pattern and developing the pattern with a developing agent to form the developed image. The patternable coatings may be susceptible to positive-tone patterning or negative-tone patterning based on the use of an organic developing agent or an aqueous acid or base developing agent. The radiation sensitive coatings can comprise a metal oxo/hydroxo network with organic ligands. A precursor solution can comprise an organic liquid and metal polynuclear oxo-hydroxo cations with organic ligands having metal carbon bonds and/or metal carboxylate bonds.

Подробнее
30-03-1984 дата публикации

Устройство для облучения электронами

Номер: SU812151A1
Принадлежит:

... 1. УСТРОЙСТВО ДЛЯ ОБЛУЧЕНИЯ ЭЛЕКТРОНАМИ рулонированных мате- ригшов типа текстильных полотен.полимерных пленок, содержащее ускоритель электронов, радиационную защиту и транспортную систему для подачи материала в зону облучений и вывода из нее,-о т л и ч а ю щ е- е с я тем, что, с целью упрсяцения конструкции устройства, уменьшения ,его габаритов и повышения качества облучаемого материала транспортная система устройства выполнена в виде вращающегося барабана, 'установленного на подшипниковых опорах в кольцевой цилиндрической полости, образованной блоками ргщиационной защиты, коаксиально с этой полостью.2.Устройство по п. 1, о т л и~ чающееся тем, что, с целью локального облучения материалас использованием накладных шаблонов на оЪечайке барабана выполнены отверстия, конфигурация которых соответствует заданной форме локального облучения, а источник облучения расположен в барабане.3.Устройство по пп. 1.и 2, о т- л и'чающееся тем, что обечайка барабана выполнена съемной.4.Устройство по пп. 1 ...

Подробнее
15-09-2011 дата публикации

PROCEDURE FOR THE PRODUCTION OF A STRUCTURED LAYER ON A SUBSTRATE

Номер: AT0000521915T
Принадлежит:

Подробнее
15-04-1977 дата публикации

Method and masking structure for configurating thin layers

Номер: FR0002266955B1
Автор:
Принадлежит:

Подробнее
10-08-2001 дата публикации

RETICULATE ACTIVE, METHOD FOR REALIZATION AND Control Of SUCH a RETICLE, IONIC PROCESS OF LITHOGRAPHY USING SUCH a RETICLE AND EQUIPMENT OF IMPLEMENTATION

Номер: FR0002804764A1
Принадлежит:

L'invention vise à réaliser une lithographie ionique à haute résolution et haute fiabilité. Pour ce faire, l'invention combine l'utilisation d'ions fortement décélérés et d'un réticule actif multicouche, apte à réfléchir sélectivement ces ions en fonction de potentiels appliqués à différentes couches ou parties de couche. Selon un exemple de réalisation, le réticule (40) comporte, sur un substrat de quartz fondu (400), une couche superficielle conductrice (404), une couche sous-jacente conductrice (402) et une couche isolante (403) disposée entre les deux couches conductrices. La couche superficielle (404) et la couche isolante (403) sont préalablement gravées selon les motifs (4A, 4B, 4C) à insoler. La couche sous-jacente (402) est portée à un niveau de potentiel (V1) apte à neutraliser le faisceau incident (F2) et la couche superficielle (404) à un niveau de potentiel (V2) apte à rétrodiffuser le faisceau d'insolation (F3).

Подробнее
28-07-1978 дата публикации

PROJECTEUR PHOTOELECTRONIQUE D'IMAGES

Номер: FR0002376510A
Автор:
Принадлежит:

L'invention concerne un projecteur photoélectronique d'images. Ce projecteur destiné à former l'image d'un masque 4 sur une préparation 5 comporte une photocathode 3 pouvant être éclairée par des lampes à ultraviolets 9, le support 2 de la préparation 5 étant relié à un potentiel fortement négatif, tandis qu'une électrode auxiliaire 6 placée entre un marque 4 de la photocathode 3 et la préparation 5 sert d'électrode positive pour le champ électrique. Application notamment à la réalisation de modèles sur des pastilles semi-conductrices pour circuits intégrés.

Подробнее
15-11-2018 дата публикации

포지티브형 감광성 수지 조성물, 광경화성 드라이 필름 및 그 제조 방법, 패턴 형성 방법, 및 적층체

Номер: KR0101919226B1

... 본 발명은, Cu나 Al과 같은 금속 배선, 전극, 기판 상, 특히 SiN과 같은 기판 상에서 발생하는 박리의 문제를 개선할 수 있고, 범용적으로 이용되는 2.38% TMAH 수용액을 현상액에 이용하여, 패턴 바닥부, 기판 상에 스컴이나 푸팅을 발생시키지 않고 순테이퍼의 형상으로 미세한 패턴을 형성할 수 있는 포지티브형 감광성 수지 조성물을 제공하는 것을 목적으로 한다. 상기 목적은, (A) 하기 일반식 (1)로 표시되는 반복 단위를 갖고, 중량 평균 분자량이 3,000∼500,000인 실록산 사슬을 갖는 고분자 화합물, (B) 광에 의해 산을 발생시키고 알칼리 수용액에 대한 용해 속도가 증대되는 감광재, (C) 가교제, 및 (D) 용제를 함유하는 포지티브형 감광성 수지 조성물로 달성된다.

Подробнее
20-03-2019 дата публикации

Номер: KR0101960604B1
Автор:
Принадлежит:

Подробнее
30-01-2018 дата публикации

감활성 광선성 또는 감방사선성 조성물, 그것을 사용한 레지스트막, 레지스트 도포 마스크 블랭크스, 레지스트 패턴 형성 방법, 및 포토마스크

Номер: KR0101812082B1
Принадлежит: 후지필름 가부시키가이샤

... 고감도, 고해상성, 높은 경시 안정성, 스컴의 발생이 적고 및 양호한 드라이에칭 내성을 동시에 만족하는 패턴을 형성할 수 있는 감활성 광선성 또는 감방사선성 조성물, 그것을 사용한 레지스트막, 레지스트 도포 마스크 블랭크스, 레지스트 패턴 형성 방법, 및 포토마스크를 제공한다. (A) 하기 일반식 (αI) 또는 (I)로 나타내어지는 화합물, 및 (B) 활성 광선 또는 방사선의 조사에 의해 산을 발생시키는 화합물을 함유하는 감활성 광선성 또는 감방사선성 조성물. 상기 일반식 (αI) 및 (I) 중, R1∼R6의 각각은 수소 원자 또는 치환기를 나타낸다. A는 1가의 유기기를 나타낸다.

Подробнее
09-02-2018 дата публикации

패턴 형성 방법, 감활성 광선성 또는 감방사선성 수지 조성물, 레지스트막, 전자 디바이스의 제조 방법, 및 전자 디바이스

Номер: KR0101827776B1
Принадлежит: 후지필름 가부시키가이샤

... 고감도, 고해상성(고해상력 등), 막 감소 저감 성능을 매우 고차원으로 동시에 만족하는 패턴 형성 방법을 이용한다. (1) 감활성 광선성 또는 감방사선성 수지 조성물을 이용하여 막을 형성하는 공정과, (2) 막을 활성 광선 또는 방사선으로 노광하는 공정과, (3) 유기 용제를 포함한 현상액을 이용하여 노광된 막을 현상하는 공정을 포함한 패턴 형성 방법으로서, 감활성 광선성 또는 감방사선성 수지 조성물은, (A) 산의 작용에 의하여 분해하여, 극성기를 발생하는 기를 갖는 수지를 함유하고, 수지 (A)가, 페놀성 수산기 및/또는 산의 작용에 의하여 탈리하는 기로 보호된 페놀성 수산기를 가지며, 추가로, 유기 용제를 포함하는 현상액이, 극성기와 이온 결합, 수소 결합, 화학 결합 및 쌍극자 상호 작용 중 적어도 하나의 상호 작용을 형성하는 첨가제를 함유하는, 패턴 형성 방법.

Подробнее
06-03-2020 дата публикации

RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN

Номер: KR1020200024107A
Принадлежит:

Подробнее
25-01-2017 дата публикации

블록이소시아네이트 구조를 포함하는 폴리머를 포함하는 리소그래피용 레지스트 하층막 형성 조성물

Номер: KR1020170009813A
Принадлежит:

... [과제] 상층으로부터의 패턴전사나 기판의 가공시에 드라이에칭이 가능하고, 기판 가공 후에는 알칼리수용액으로 제거가 가능한 레지스트 하층막을 형성하기 위한 레지스트 하층막 형성 조성물을 제공한다. [해결수단] 아크릴아미드 구조 또는 아크릴산에스테르 구조를 갖는 폴리머(A)와, 블록이소시아네이트 구조를 갖는 폴리머(B)와, 용제(C)를 포함하는 리소그래피용 레지스트 하층막 형성 조성물. 폴리머(A)가 식(1): 의 단위구조를 포함하는 폴리머이다. 폴리머(B)가 식(2): 의 단위구조를 포함하는 폴리머이다. 레지스트 패턴을 형성하는 공정, 레지스트 패턴에 의해 무기 하드마스크층을 에칭하는 공정, 패턴화된 무기 하드마스크층에 의해 레지스트 하층막을 에칭하는 공정, 및 패턴화된 레지스트 하층막에 의해 반도체기판을 가공하는 공정을 포함하는, 반도체장치의 제조방법.

Подробнее
01-10-2014 дата публикации

Pattern forming method, composition kit, resist film, method for manufacturing electronic device using the same and electronic device

Номер: TW0201437747A
Принадлежит:

A pattern forming method, a composition kit, a resist film using the same, a method for manufacturing an electronic device and an electronic device are provided in the invention, which have excellent sensitivity, resolution, LWR and a pattern shape in forming a fine pattern with a linewidth of 60 nm or less. The pattern forming method includes (i) a step of forming a film on a substrate by using an electron beam-sensitive or an EUV-sensitive resin composition; (ii) a step of forming a top coating layer on the film by using a top coating composition containing a resin (T) having at least one of repeating units represented by the following formula (I-1) to formula (I-5); (iii) a step of using an electron beam or EUV to expose the film having the top coating layer; and (iv) a step of developing the film having the top coating layer after the exposure step to form a pattern.

Подробнее
01-05-2018 дата публикации

Dual exposure patterning of a photomask to print a contact, a via or a curvilinear shape on an integrated circuit

Номер: TW0201816506A
Принадлежит:

A method and system for: forming a first rectangular shape with photomask writing equipment, using a first sub-threshold dosage on a photoresist layer of a photomask substrate; forming an overlapping second rectangular shape with the photomask writing equipment using a second sub-threshold dosage on the photoresist layer, the second rectangular shape being rotated relative to the first rectangular shape to form one of: a hexagonal overlap area and an octagonal overlap area, that exposes the photoresist layer to at least a threshold dosage; and forming a photomask, based on developing the exposed photoresist layer, to provide optical transmission corresponding to the one of: the hexagonal overlap area of at least the threshold dosage and the octagonal overlap area of at least the threshold dosage, for use by a photolithography system to write any of a contact, a via, or a curvilinear shape on an integrated circuit substrate.

Подробнее
03-01-2013 дата публикации

METHOD AND SYSTEM FOR FORMING PATTERNS WITH CHARGED PARTICLE BEAM LITHOGRAPHY

Номер: WO2013003102A1
Принадлежит:

In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (ßf). At least some shots in the plurality of shots overlap other shots. In some embodiments, ßf is reduced by controlling the amount of shot overlap in the plurality of shots, either during initial shot determination, or in a post-processing step. The reduced sensitivity to ßf expands the process window for the charged particle beam lithography process.

Подробнее
18-06-2015 дата публикации

SULFONIUM SALT, RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS

Номер: US20150168829A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A sulfonium salt of formula (1) is provided wherein A1 is a divalent hydrocarbon group, A2 is a divalent hydrocarbon group, A3 is hydrogen or a monovalent hydrocarbon group, B1 is an alkylene or arylene group, k is 0 or 1, R1, R2 and R3 are alkyl, alkenyl, oxoalkyl, aryl, aralkyl or aryloxoalkyl. A resist composition comprising the sulfonium salt as PAG exhibits a very high resolution when processed by EB and EUV lithography. A pattern with minimal LER is obtainable.

Подробнее
21-05-2019 дата публикации

Resist underlayer film-forming composition for lithography containing polymer having acrylamide structure and acrylic acid ester structure

Номер: US0010295907B2

A resist underlayer film-forming composition for lithography capable of being dry-etched during pattern transfer from the upper layer or during substrate processing and capable of being removed with an alkaline aqueous solution after the substrate processing. A resist underlayer film-forming composition for lithography includes a polymer (A) including a unit structure of Formula (1) and a unit structure of Formula (2); a crosslinkable compound (B) having at least two groups selected from blocked isocyanate groups, methylol group, or C1-5alkoxy methyl groups; and a solvent (C), characterized in that the polymer (A) is a polymer in which the unit structure of Formula (1) and the unit structure of Formula (2) are copolymerized in a mol % ratio of the unit structure of Formula (1):the unit structure of Formula (2)=25 to 60:75 to 40.

Подробнее
08-03-2018 дата публикации

EXPOSURE APPARATUS, MANUFACTURING METHOD OF FLAT-PANEL DISPLAY, DEVICE MANUFACTURING METHOD, AND EXPOSURE METHOD

Номер: US20180067397A1
Автор: Yasuo AOKI
Принадлежит: NIKON CORPORATION

An exposure apparatus that scans and exposes each of a plurality of areas on a glass substrate, by irradiating the substrate with an illumination light via a projection optical system and relatively driving the substrate with respect to the illumination light, is equipped with: a substrate holder that levitates and supports a first area of the substrate; a substrate carrier that holds the glass substrate levitated and supported by the substrate holder; an X coarse movement stage that drives the substrate holder; an X voice coil motor that drives the substrate carrier; and a controller that controls the X coarse movement stage and the X voice coil motor so that the substrate holder and the substrate carrier are driven, respectively, in scanning exposure. Accordingly, an exposure apparatus with improved position controllability of an object can be provided. 1. An exposure apparatus that scans and exposes each of a plurality of areas on an object by irradiating the object with an illumination light via an optical system and relatively moving the object with respect to the illumination light , the apparatus comprising:a support section that levitates and supports at least a first area of the plurality of areas;a holding section that holds the object levitated and supported by the support section;a first drive system that drives the support section;a second drive system that drives the holding section; anda control system that controls the first and the second drive systems to move the support section and the holding section, respectively, in scanning exposure with respect to the first area.2. The exposure apparatus according to claim 1 , whereinin the scanning exposure, the control system controls the first and the second drive systems to adjust a position of the object with respect to the illumination light by relatively moving the holding section with respect to the support section.3. The exposure apparatus according to claim 1 , whereinthe holding section can be ...

Подробнее
06-06-2019 дата публикации

Silsesquioxane Resin and Oxaamine Composition

Номер: US20190171106A1
Принадлежит:

A silsesquioxane-containing composition comprising a silsesquioxane resin and an oxaamine of formula (II) (see description), products prepared therefrom, photoresist compositions comprising the silsesquioxane-containing composition and a photoacid generator, products prepared therefrom, methods of making and using same, and manufactured articles and semiconductor devices containing same.

Подробнее
28-05-2015 дата публикации

RESIST COMPOSITION AND PATTERN FORMING PROCESS

Номер: US20150147697A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a polymer comprising recurring units (a) of formula (1) and having a Mw of 1,000-500,000 as base resin is provided. Ris H or methyl, X is a single bond or —C(═O)—O—R—, Ris a single bond or C-Calkylene, Ris C-Calkylene, Ris an acid labile group, Ris a single bond or C-Calkylene, and 0 Подробнее

16-02-2012 дата публикации

Method, device, and system for forming circular patterns on a surface

Номер: US20120040279A1
Принадлежит: D2S Inc

A stencil for character projection (CP) charged particle beam lithography and a method for manufacturing the stencil is disclosed, where the stencil contains two circular characters, where each character is capable of forming patterns on a surface in a range of sizes by using different dosages, and where the size ranges for the two characters is continuous. A method for forming circular patterns on a surface using variable-shaped beam (VSB) shots of different dosages is also disclosed. A method for forming circular patterns on a surface using a set of shots, where all of the shots comprise dosages, is also disclosed.

Подробнее
30-08-2012 дата публикации

Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Номер: US20120219886A1
Принадлежит: D2S Inc

A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed.

Подробнее
02-05-2013 дата публикации

Radiation-sensitive composition

Номер: US20130108965A1
Принадлежит: JSR Corp

A radiation-sensitive composition includes a polymer component, a radiation-sensitive acid generator and a solvent component. The polymer component includes a first polymer that includes an acidic group, a group in which an acidic group is protected by an acid-dissociable group, or a both thereof. The solvent component includes a first solvent which is a solvent shown by a general formula (C1-a), a solvent shown by a general formula (C1-b), a solvent shown by a general formula (C1-c), or a mixture thereof.

Подробнее
17-10-2013 дата публикации

Method of correcting electron proximity effects using voigt type scattering functions

Номер: US20130275098A1
Принадлежит: Aselta Nanographics SA

A method for projecting an electron beam used notably in lithography by direct or indirect writing as well as in electron microscopy, is provided. Notably for critical dimensions or resolutions of less than 50 nm, the proximity effects created by the forward and backward scattering of the electrons of the beam in interaction with the target must be corrected. This is traditionally done using the convolution of a point spread function with the geometry of the target. In the prior art, said point spread function uses Gaussian distribution laws. At least one of the components of the point spread function is a linear combination of Voigt functions and/or of functions approximating Voigt functions, such as the Pearson VII functions. In certain embodiments, some of the functions are centered on the backward scattering peaks of the radiation.

Подробнее
07-01-2021 дата публикации

Cyclic sulfonate compounds as photoacid generators in resist applications

Номер: US20210002213A9
Принадлежит: Heraeus Epurio LLC

Novel photoacid generator compounds are provided. Compositions that include the novel photoacid generator compounds are also provided. The present disclosure further provides methods of making and using the photoacid generator compounds and compositions disclosed herein. The compounds and compositions are useful as photoactive components in chemically amplified resist compositions for various microfabrication applications.

Подробнее
02-01-2020 дата публикации

OXIME ESTER PHOTOINITIATORS

Номер: US20200004146A1
Принадлежит: BASF SE

Oxime ester compounds of the formula I, II, III, IV or V 119-. (canceled)23. A photopolymerizable composition comprising(a) at least one ethylenically unsaturated photopolymerizable compound and{'claim-ref': {'@idref': 'CLM-00020', 'claim 20'}, '(b) as photoinitiator, at least one compound of .'}24. The photopolymerizable composition according to claim 23 , wherein (a) is a resin obtained by the reaction of a saturated or unsaturated polybasic acid anhydride with a product of the reaction of an epoxy resin and an unsaturated monocarboxylic acid.25. The photopolymerizable composition according to claim 23 , further comprising at least one photoinitiator (c) claim 23 , and/or other additives (d).26. The photopolymerizable composition according to claim 25 , comprising further additive (d) claim 25 , additive (d) comprising a pigment or a mixture of pigments or a mixture of one or more pigments with one or more dyes.27. The photopolymerizable composition according to claim 25 , comprising further additive (d) claim 25 , additive (d) comprising a dispersant or a mixture of dispersants.28. The photopolymerizable composition according to claim 23 , comprising 0.05 to 25% by weight of photoinitiator (b).29. The photopolymerizable composition according to anyone of claims 25 , comprising further additive (d) claims 25 , additive (d) comprising at least one photosensitizer compound selected from the group consisting of benzophenone claims 25 , benzophenone derivatives claims 25 , thioxanthone claims 25 , thioxanthone derivatives claims 25 , anthraquinone claims 25 , anthraquinone derivatives claims 25 , coumarin and coumarine derivatives.30. The photopolymerizable composition according to claim 23 , further comprising a binder polymer (e).32. A process for the photopolymerization of compounds containing ethylenically unsaturated double bonds claim 23 , which comprises irradiating the composition according to with electromagnetic radiation in the range from 150 to 600 nm ...

Подробнее
02-01-2020 дата публикации

OXIME ESTER PHOTOINITIATORS

Номер: US20200004147A1
Принадлежит: BASF SE

Oxime ester compounds of the formula I, II, III, IV or V 119-. (canceled)23. A photopolymerizable composition comprising(a) at least one ethylenically unsaturated photopolymerizable compound and{'claim-ref': {'@idref': 'CLM-00020', 'claim 20'}, '(b) as photoinitiator, at least one compound of .'}24. The photopolymerizable composition according to claim 23 , wherein (a) is a resin obtained by the reaction of a saturated or unsaturated polybasic acid anhydride with a product of the reaction of an epoxy resin and an unsaturated monocarboxylic acid.25. The photopolymerizable composition according to claim 23 , further comprising at least one further photoinitiator (c) claim 23 , and/or at least one other additive (d).26. The photopolymerizable composition according to claim 25 , comprising said at least one further additive (d) claim 25 , wherein said at least one further additive (d) comprises a pigment or a mixture of pigments or a mixture of one or more pigments with one or more dyes.27. The photopolymerizable composition according to claim 25 , comprising said at least one further additive (d) claim 25 , wherein said at least one further additive (d) comprises a dispersant or a mixture of dispersants.28. The photopolymerizable composition according to claim 23 , comprising 0.05 to 25% by weight of the photoinitiator (b).29. The photopolymerizable composition according to claim 25 , comprising said at least one further additive (d) claim 25 , wherein said at least one further additive (d) comprises at least one photosensitizer compound selected from the group consisting of benzophenone claim 25 , benzophenone derivatives claim 25 , thioxanthone claim 25 , thioxanthone derivatives claim 25 , anthraquinone claim 25 , anthraquinone derivatives claim 25 , coumarin and coumarine derivatives.30. The photopolymerizable composition according to claim 23 , further comprising a binder polymer (e).32. A process for the photopolymerization of compounds containing ethylenically ...

Подробнее
02-01-2020 дата публикации

Oxime ester photoinitiators

Номер: US20200004148A1
Принадлежит: BASF SE

Z1 for is NO2, unsubstituted or substituted C7-C20aroyl or unsubstituted or substituted C4-C20heteroaroyl; provided that at least one Z1 is other than NO2; Z2 is for example unsubstituted or substituted C7-C20aroyl; R1, R2, R3, R4, R5 and R6 for example are hydrogen, halogen, or unsubstituted or substituted C1-C20alkyl, unsubstituted or substituted C6-C20aryl, or unsubstituted or substituted C4-C20heteroaryl; R9, R10, R11, R12 and R13 for example are hydrogen, halogen, OR16, unsubstituted or substituted C1-C20alkyl; provided that R9 and R13 are neither hydrogen nor fluorine; R14 is for example unsubstituted or substituted C6-C20aryl or C3-C20heteroaryl Q is for example C6-C20arylene or C3-C20heteroarylene; Q1 is —C1-C20alkylene-CO—; Q2 is naphthoylene; Q3 is for example phenylene; L is for example O-alkylene-O—; R15 is for example hydrogen or C1-C20alkyl; R20 is for example hydrogen, or unsubstituted or substituted C1-C20alkyl; are effective photoinitiators.

Подробнее
08-01-2015 дата публикации

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE COMPOSITION, AND RESIST FILM, RESIST-COATED MASK BLANKS, RESIST PATTERN FORMING METHOD AND PHOTOMASK EACH USING THE COMPOSITION

Номер: US20150010855A1
Принадлежит: FUJIFILM Corporation

There is provided an actinic ray-sensitive or radiation-sensitive composition containing (α) a compound represented by the formula (αI) capable of generating an acid having a size of 200 Åor more in volume and (β) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and the formula (αI) is defined as herein, 2. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 ,wherein A has a ring structure.3. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 ,{'sup': '3', 'wherein the size of the acid generated from the compound (α) is 300 Åor more in volume.'}4. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 3 ,{'sup': '3', 'wherein the size of the acid generated from said compound (α) is 400 Åor more in volume.'}5. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which further contains (γ) a resin having a group capable of decomposing by an action of acid to produce an alkali-soluble group and is used for positive pattern formation.7. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which further contains (δ) a crosslinking agent and is used for negative pattern formation.8. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 7 ,wherein the crosslinking agent (δ) is a compound having two or more hydroxymethyl groups or alkoxymethyl groups in the molecule.9. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which further contains (ε) a compound having a phenolic hydroxyl group and is used for negative pattern formation.11. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which is used for electron beam or extreme-ultraviolet exposure.12. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 ,{'sup': '3', 'wherein the compound (β) is a compound ...

Подробнее
14-01-2021 дата публикации

NEGATIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM, ELEMENT AND DISPLAY APPARATUS THAT INCLUDE CURED FILM, PRODUCTION METHOD FOR THE SAME

Номер: US20210011381A1
Принадлежит: Toray Industries, Inc.

To provide an alkaline developable negative-type photosensitive resin composition from which a cured film that has a high-resolution and low-taper pattern shape and that are excellent in heat resistance and light blocking property can be obtained. A negative-type photosensitive resin composition is characterized by containing an (A1) first resin, a (A2) second resin, a (C) photopolymerization initiator, and a (D) coloring agent, wherein the (A1) first resin is an (A1-1) polyimide and/or an (A1-2) polybenzo-oxazole, and wherein the (A2) second resin is one or more species selected from a (A2-1) polyimide precursor, a (A2-2) polybenzo-oxazole precursor, a (A2-3) polysiloxane, a (A2-4) cardo based resin, and an (A2-5) acrylic resin, and wherein a content ratio of the (A1) first resin in a total of 100 mass % of the (A1) first resin and the (A2) second resin is within the range of 25 to 90 mass %. 1. A cured film obtained by curing the negative-type photosensitive resin composition comprising an (A1) first resin , a (A2) second resin , a (C) photopolymerization initiator , and a (D) coloring agent ,wherein the (A1) first resin is an (A1-1) polyimide and/or an (A1-2) polybenzo-oxazole, andwherein the (A2) second resin is one or more species selected from a (A2-2) polybenzo-oxazole precursor, a (A2-3) polysiloxane, a (A2-4) cardo based resin, and an (A2-5) acrylic resin, andwherein a content ratio of the (A1) first resin in a total of 100 mass % of the (A1) first resin and the (A2) second resin is within a range of 25 to 90 mass %.2. The cured film according to claim 1 , wherein the (D) coloring agent contains a (D1) pigment and the (D1) pigment content ratio in the entire solid content of the negative photosensitive resin composition is within a range of 5 to 70 mass %.3. The cured film according to claim 1 , wherein the (D) coloring agent contains a (Da) black coloring agent and the (Da) black coloring agent contains a (D1a) black pigment.5. The cured film according to ...

Подробнее
14-01-2021 дата публикации

STABILIZED INTERFACES OF INORGANIC RADIATION PATTERNING COMPOSITIONS ON SUBSTRATES

Номер: US20210011383A1
Принадлежит:

A method is described for stabilizing organometallic coating interfaces through the use of multilayer structures that incorporate an underlayer coating. The underlayer is composed of an organic polymer that has crosslinking and adhesion-promoting functional groups. The underlayer composition may include photoacid generators. Multilayer structures for patterning are described based on organometallic radiation sensitive patterning compositions, such as alkyl tin oxo hydroxo compositions, which are placed over a polymer underlayer. 1. A multilayer structure comprising:a substrate with a surface, an underlayer coating over at least a portion of the substrate surface, and an organometallic resist coating that is radiation sensitive, over at least a portion of the underlayer coating, wherein the underlayer coating comprises a polymer composition with crosslinking moieties and/or adhesion-promoting moieties.2. The multilayer structure of wherein the adhesion between the underlayer coating and the organometallic resist coating is sensitive to radiation.3. The multilayer structure of wherein the polymer composition comprises repeat units with side-chain crosslinking moieties and/or polymers with end-chain crosslinking moieties claim 1 , wherein the repeat units include functionalized acrylates claim 1 , functionalized vinyl ketones claim 1 , functionalized acrylamides claim 1 , other functionalized vinyl or non-vinyl repeat units claim 1 , or mixtures thereof claim 1 , wherein the crosslinking moieties may be terminally functionalized with a hydroxide claim 1 , an ether claim 1 , a glycidyl claim 1 , an epoxide claim 1 , a methoxymethyl urea claim 1 , an acrylate claim 1 , or combinations thereof claim 1 , and wherein the polymer composition has suitable film forming properties from solution.4. The multilayer structure of wherein the repeat units have a structure of formula (1) wherein Ris a hydrogen atom claim 3 , a fluorine atom claim 3 , a methyl group claim 3 , or a ...

Подробнее
21-01-2016 дата публикации

PATTERN FORMING METHOD, COMPOSITION KIT AND RESIST FILM, AND METHOD FOR PRODUCING ELECTRONIC DEVICE USING THEM, AND ELECTRONIC DEVICE

Номер: US20160018734A1
Принадлежит:

There is provided a pattern forming method comprising (a) a step of forming a film on a substrate using an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition, (b) a step of forming a top coat layer on the film using a top coat composition containing a resin (T) containing at least any one of repeating units represented by formulae (I-1) to (I-5) shown below, (c) a step of exposing the film having the top coat layer using an electron beam or an extreme ultraviolet radiation, and (d) a step of developing the film having the top coat layer after the exposure to form a pattern.

Подробнее
18-01-2018 дата публикации

PATTERN-FORMING METHOD

Номер: US20180017864A9
Принадлежит: JSR Corporation

A pattern-forming method includes applying a radiation-sensitive composition on a substrate to provide a film on the substrate. The film is exposed. The film exposed is developed. The radiation-sensitive composition includes a metal-containing component that is a metal compound having a hydrolyzable group, a hydrolysis product of the metal compound having a hydrolyzable group, a hydrolytic condensation product of the metal compound having a hydrolyzable group, or a combination thereof. A content of a transition metal atom in the metal-containing component with respect to total metal atoms in the metal-containing component is no less than 50 atomic %. 1. A pattern-forming method comprising:applying a radiation-sensitive composition on a substrate to provide a film on the substrate;exposing the film; anddeveloping the film exposed,wherein:the radiation-sensitive composition comprises a metal-containing component that is a metal compound having a hydrolyzable group, a hydrolysis product of the metal compound having a hydrolyzable group, a hydrolytic condensation product of the metal compound having a hydrolyzable group, or a combination thereof; anda content of a transition metal atom in the metal-containing component with respect to total metal atoms in the metal-containing component is no less than 50 atomic %.2. The pattern-forming method according to claim 1 , wherein the metal compound having a hydrolyzable group comprises a compound represented by formula (1):{'br': None, 'i': L', 'MX, 'sub': a', 'b, '(1)'}wherein in the formula (1),M represents a transition metal atom,L represents a ligand, and a is 1 or 2, wherein in a case where a is 2, a plurality of Ls are identical or different, andX represents a hydrolyzable group selected from a halogen atom, an alkoxy group and a carboxylate group, and b is an integer of 2 to 6, wherein in a case where b is no less than 2, a plurality of Xs are identical or different, whereinthe ligand represented by L does not fall ...

Подробнее
18-01-2018 дата публикации

PATTERN FORMING METHOD, PHOTO MASK MANUFACTURING METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20180017865A1
Принадлежит: FUJIFILM Corporation

A pattern forming method including a step of coating a substrate with an actinic ray-sensitive or radiation-sensitive resin composition and forming an actinic ray-sensitive or radiation-sensitive film; a step of simultaneously irradiating the actinic ray-sensitive or radiation-sensitive film with a plurality of electron beams; and a step of developing the actinic ray-sensitive or radiation-sensitive film after the irradiation with electron beams is provided. The composition contains a resin (A), a photoacid generator (B), and an acid diffusion control agent (C) and a molar ratio (Qp) between the photoacid generator (B) and the acid diffusion control agent (C), which is represented by Equation (1) is 0.3 or greater. 1. A pattern forming method comprising:a step of coating a substrate with an actinic ray-sensitive or radiation-sensitive resin composition which contains a resin (A), a photoacid generator (B), and an acid diffusion control agent (C) and in which a molar ratio (Qp) between the photoacid generator (B) and the acid diffusion control agent (C), which is represented by Equation (1) is 0.3 or greater and forming an actinic ray-sensitive or radiation-sensitive film;a step of simultaneously irradiating the actinic ray-sensitive or radiation-sensitive film with a plurality of electron beams; and {'br': None, 'i': 'Qp', '(molar ratio)=Acid diffusion control agent (C)/Photoacid generator (B) \u2003\u2003(1)'}, 'a step of developing the actinic ray-sensitive or radiation-sensitive film after the irradiation with electron beams.'}2. The pattern forming method according to claim 1 ,wherein the molar ratio (Qp) between a photoacid generator (B) and the acid diffusion control agent (C), which is represented by Equation (1) in the actinic ray-sensitive or radiation-sensitive resin composition is 0.5 or greater.3. The pattern forming method according to claim 1 ,wherein a content of the photoacid generator (B) in the actinic ray-sensitive or radiation-sensitive resin ...

Подробнее
17-01-2019 дата публикации

RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMER COMPOUND

Номер: US20190018319A1
Принадлежит:

A resist composition which generates an acid when exposed and whose solubility in a developer is changed by an action of an acid, the resist composition including a polymer compound (A1) which has a constitutional unit (a0) derived from a compound represented by Formula (a0-1) and a constitutional unit (a10) derived from a compound represented by Formula (a10-1) and does not have a constitutional unit represented by Formula (1). In the formulas, Raand Rarepresent a polymerizable group-containing group; Waand Warepresent an (n+1)-valent or (n+1)-valent aromatic hydrocarbon group; nand nrepresent an integer of 1 to 3; and Zrepresents Fe, Co, Ni, Cr, or Ru. 7. The resist composition according to claim 1 , wherein a proportion of the constitutional unit (a0) in the polymer compound (A1) is in a range of 5% to 95% by mole with respect to the total amount (100% by mole) of all constitutional units constituting the polymer compound (A1).8. The resist composition according to claim 1 , wherein a proportion of the constitutional unit (a10) in the polymer compound (A1) is in a range of 5% to 95% by mole with respect to the total amount (100% by mole) of all constitutional units constituting the polymer compound (A1).9. A method of forming a resist pattern claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'forming a resist film on a support using the resist composition according to ;'}exposing the resist film; anddeveloping the exposed resist film to form a resist pattern.16. The polymer compound according to claim 10 , wherein a proportion of the constitutional unit (a0) in the polymer compound is in a range of 5% to 95% by mole with respect to the total amount (100% by mole) of all constitutional units constituting the polymer compound.17. The polymer compound according to claim 10 , wherein a proportion of the constitutional unit (a10) in the polymer compound is in a range of 5% to 95% by mole with respect to the total amount (100% by mole) of all ...

Подробнее
21-01-2021 дата публикации

Random Copolymer for Forming Neutral Layer, Laminate for Forming Pattern Including the Same, and Method for Forming Pattern Using the Same

Номер: US20210018843A1
Принадлежит:

The present invention provides a random copolymer including structural units represented by the following Chemical Formulae 1 to 3 2. The method of claim 1 , comprising:a) applying a random copolymer solution including the random copolymer on a substrate,b) subjecting the applied random copolymer solution to heat treatment to form a neutral layer,c) applying a block copolymer solution including a block copolymer on the neutral layer, andd) subjecting the applied block copolymer solution to the heat treatment to form a pattern.3. The method of claim 2 , wherein in step a) claim 2 , the random copolymer solution has a concentration of 0.1 to 5 wt %.4. The method of claim 2 , wherein in step b) claim 2 , the heat treatment is performed at 230 to 300° C.6. The method of claim 2 , wherein after the heat treatment in step d) claim 2 , the block copolymer is partially etched. This application is a division of U.S. patent application Ser. No. 16/106,444, filed Aug. 21, 2018, which claims priority to Korean Patent Application No. 10-2017-0105964 filed Aug. 22, 2017, the disclosures of each of which are hereby incorporated in their entirety by reference.The following disclosure relates to pattern formation technology by directed self-assembly, and more particularly, to a random copolymer for forming a neutral layer promoting directed self-assembly pattern formation, a laminate for forming a pattern including the same, and a method for forming a high-quality pattern using the same.As a semiconductor device is miniaturized and integrated, the fineness of the circuit pattern is required. For this, a method of improving light exposure equipment, or improving a pattern formation method has been studied. Among them, in the case of improving light exposure equipment, initial investment costs occur, and the usage of the conventional equipment is lowered, and thus, studies on improvement of the method for forming a pattern have received attention.An improved method for forming a ...

Подробнее
26-01-2017 дата публикации

Plasmonic Nanohole Arrays on Hybrid Substrate For Highly Sensitive Label-Free Biosensing

Номер: US20170023476A1
Принадлежит:

A biosensor device including a metal layer, a transparent substrate layer, and a dielectric layer, wherein the metal layer includes a plurality of sub-wavelength apertures, and wherein the dielectric layer is located between the metal layer and the transparent substrate layer to form a spectrally isolated and well-defined optical transmission resonance through the extraordinary optical transmission (EOT) phenomenon. 1. A biosensor device comprising:a metal layer;a transparent substrate layer; anda dielectric layer;wherein the metal layer includes a plurality of sub-wavelength apertures, andwherein the dielectric layer is located between the metal layer and the transparent substrate layer to form a spectrally isolated and well-defined optical transmission resonance through the extraordinary optical transmission (EOT) phenomenon.2. A biosensor system including a microfluidic channel and a biosensor device according to .3. The biosensor device according to claim 1 , wherein the dielectric layer has a refractive index value higher than the substrate layer.4. A method for carrying out bio-sensing claim 1 , the method comprising the steps of:providing the biosensor device, the biosensor device including a metal layer, a transparent substrate layer, and a dielectric layer, the metal layer having a plurality of sub-wavelength apertures, and the dielectric layer located between the metal layer and the transparent substrate layer to form a spectrally isolated and well-defined optical transmission resonance through the extraordinary optical transmission (EOT) phenomenon;providing at least one substance to be identified on the plurality of sub-wavelength apertures of the metal layer; andmeasuring an optical transmission spectrum of the at least one substance to be identified.5. The method according to claim 4 , further comprising the step of:monitoring changes of a resonance wavelength of the optical transmission spectrum.6. The method according to claim 4 , further comprising ...

Подробнее
26-01-2017 дата публикации

METHOD AND SYSTEM FOR FORMING PATTERNS WITH CHARGED PARTICLE BEAM LITHOGRAPHY

Номер: US20170023862A1
Автор: Bork Ingo, Fujimura Akira
Принадлежит:

In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (β). In some embodiments, the sensitivity to changes in βis reduced by varying the charged particle surface dosage for a portion of the pattern. Methods for forming patterns on a surface, and for manufacturing an integrated circuit are also disclosed, in which pattern sensitivity to changes in βis reduced. 1. A method for manufacturing a surface using a charged particle beam lithographic process comprising a beam blur (β) , the method comprising:determining a plurality of charged particle beam shots that will form a pattern on the surface by producing a charged particle dosage on the surface, wherein the formed pattern comprises a perimeter, and wherein the determining is performed using one or more computing hardware processors;{'sub': 'f', 'reducing a sensitivity of the pattern to a variation in the β; and'}forming the pattern on the surface with the plurality of shots.2. The method of wherein the pattern sensitivity to βis reduced by varying the charged particle surface dosage for a portion of the pattern.3. The method of wherein the sensitivity comprises critical dimension sensitivity.4. The method of wherein the reducing comprises using charged particle beam simulation.5. The method of wherein the charged particle beam simulation includes at least one of a group consisting of forward scattering claim 4 , backward scattering claim 4 , resist diffusion claim 4 , Coulomb effect claim 4 , etching claim 4 , fogging claim 4 , loading and resist charging.6. A method for manufacturing an integrated circuit using an optical lithographic process claim 4 , the optical lithographic process using a reticle claim 4 , wherein the reticle is manufactured using a charged particle beam ...

Подробнее
23-01-2020 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20200025688A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:capturing, by way of a single shot of a panoramic camera configured for use within an extreme ultraviolet (EUV) vessel, an image of an interior of the EUV vessel, wherein the panoramic camera includes two opposing fish-eye camera lenses;comparing the image to another image that conforms to a defined specification; andbased on the comparing, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the captured image includes an image of an entirety of the interior of the EUV vessel.3. The method of claim 1 , further comprising:while capturing the image, providing a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the captured image includes a first image of a collector region of the EUV vessel and a second image of a lower cone region of the EUV vessel.5. The method of claim 4 , wherein the first image is captured by a first lens of the two opposing fish-eye camera lenses claim 4 , and wherein the second image is captured by a second lens of the two opposing fish-eye camera lenses.6. The method ...

Подробнее
28-01-2021 дата публикации

METHODS OF FORMING A PATTERN AND METHODS OF FABRICATING A SEMICONDUCTOR DEVICE

Номер: US20210026245A1
Принадлежит:

Disclosed are methods of forming a pattern and methods of fabricating a semiconductor device. A method of fabricating a semiconductor device may include providing a substrate comprising a resist layer on the substrate and coating a compound on the resist layer to form a charge dissipation layer. The charge dissipation layer may include a conductive polymer and a metal complex. 1. A method of fabricating a semiconductor device , comprising:providing a substrate comprising a resist layer on the substrate; andcoating a compound on the resist layer to form a charge dissipation layer,wherein the charge dissipation layer comprises a conductive polymer and a metal complex.2. The method of claim 1 , wherein the metal complex includes a material of Chemical Formula 1:{'br': None, 'sub': n', 'm, 'M(L1)(L2), \u2003\u2003[Chemical Formula 1]'}wherein:M is a transition metal;{'sub': 2', '2, 'L1 and L2 are each independently a halogen element, —OR, OH, —SR, SH, —NH, NR, or —NRH, and R is a linear or branched alkyl having 1 to 5 carbon atoms;'}n and m are each independently an integer from 0 to 10; anda sum of n and m is an integer between 2 and 10.3. The method of claim 1 , wherein the metal complex comprises at least one of Mo claim 1 , Sn claim 1 , or Ti.4. The method of claim 1 , further comprising performing an exposure process and a developing process on the charge dissipation layer to pattern the resist layer.5. The method of claim 4 , wherein the exposure process is performed using ultraviolet light claim 4 , electron beam claim 4 , or extreme ultraviolet claim 4 , and at a temperature ranging from 90° C. to 250° C.6. The method of claim 1 , wherein the conductive polymer comprise a hetero element.7. The method of claim 1 , wherein the conductive polymer comprises at least one of a poly aniline or a derivative thereof.8. The method of claim 1 , wherein a chemical bond or an intermolecular attractive force is provided between the conductive polymer and the metal complex.9. ...

Подробнее
04-02-2016 дата публикации

SILICONE STRUCTURE-BEARING POLYMER, NEGATIVE RESIST COMPOSITION, PHOTO-CURABLE DRY FILM, PATTERNING PROCESS, AND ELECTRIC/ELECTRONIC PART-PROTECTING FILM

Номер: US20160033865A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A silicone structure-bearing polymer comprising recurring units derived from a bis(4-hydroxy-3-allylphenyl) derivative and having a Mw of 3,000-500,000 is provided. A chemically amplified negative resist composition comprising the polymer overcomes the stripping problem that a coating is stripped from metal wirings of Cu or Al, electrodes, and SiN substrates. 3. The polymer of wherein in formula (1) claim 1 , 0.1≦a≦0.8 claim 1 , 0.1≦b≦0.8 claim 1 , 0≦c claim 1 , 0≦d claim 1 , 0 Подробнее

01-05-2014 дата публикации

Method for Forming a Device Having Nanopillar and Cap Structures

Номер: US20140116981A1

A method for forming a device having nanopillar and cap structures on a substrate in which the substrate is first coated with a first resist having a first exposure dose to electron beam radiation, and that after coating the first resist with a second resist having a second exposure dose less than the first resist. Electron beam lithography is then used sequentially to form the nanopillars and cap structures or, alternatively, a template for the nanopillar and cap structures. 1. A method of forming a device having nanopillar and cap structures on a substrate comprising the steps of:coating the substrate with a first negative electron beam resist having a first exposure dose to electron beam radiation,coating the first resist with a second negative electron beam resist having a second exposure dose to electron beam radiation which is less than said first exposure dose,performing electron beam lithography at a high level dose in the areas of the nanopillars to thereby expose the first resist,performing electron beam lithography at a low level dose in the areas of the caps to thereby expose the second resist,thereafter developing both the first and second resist.2. A method of forming a device having nanopillar and cap structures on a substrate comprising the steps of:coating the substrate with a first positive electron beam resist having a first exposure dose to electron beam radiation,coating the first resist with a second positive electron beam resist having a second exposure dose to electron beam radiation which is more than said first exposure dose,performing electron beam lithography at a high level dose in the areas between the caps to thereby expose both resists,performing electron beam lithography at a low level dose in the areas of the caps where the nanopillars do not exist to thereby expose the first resist,thereafter developing both the first and second resist.3. The method of and further comprising the steps of:depositing a tunneling magnetoresistive ...

Подробнее
17-02-2022 дата публикации

METASURFACE PRIMARY LENS AND METASURFACE SECONDARY LENS, MANUFACTURING METHOD THEREOF, AND OPTICAL SYSTEM

Номер: US20220050225A1
Принадлежит:

Provided are a metasurface primary mirror, a metasurface secondary mirror, a method for manufacturing a metasurface primary mirror, a method for manufacturing a metasurface secondary mirror, and an optical system. The metasurface primary mirror, manufactured by using the method for manufacturing a metasurface primary mirror, includes a transparent substrate which includes a primary mirror metasurface pattern on the transparent substrate. The primary mirror metasurface is configured to satisfy a primary mirror phase distribution such that incident light reflected by a metasurface secondary mirror onto the metasurface primary mirror is reflected and focused. 1. A method for manufacturing a metasurface primary mirror , comprising:providing a transparent substrate; andforming, on the transparent substrate, a primary mirror metasurface functional unit pattern satisfying a primary mirror phase distribution such that incident light reflected by a metasurface secondary mirror onto the primary mirror is reflected and focused.2. The method for manufacturing a metasurface primary mirror of claim 1 , wherein the primary mirror phase distribution is determined according to a set parameter combined with ray optics and a general law of reflection claim 1 , and the set parameter comprises a focal length of a system claim 1 , an aperture of the metasurface primary mirror claim 1 , an aperture of the metasurface secondary mirror claim 1 , a distance between the metasurface primary mirror and the metasurface secondary mirror claim 1 , an operating wavelength of the system claim 1 , and a mapping relationship between a position where incident light arrives on the metasurface secondary mirror and a position where the incident light reflected by the metasurface secondary mirror arrives on the metasurface primary mirror; orthe primary mirror phase distribution is determined according to a geometric shape of a curved primary mirror in a set curved reflective objective, wherein the curved ...

Подробнее
31-01-2019 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20190033225A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:providing a panoramic camera adapted for use within an extreme ultraviolet (EUV) vessel;capturing, by way of a single shot of the panoramic camera, an image of an interior of the EUV vessel; andbased on the image of the interior of the EUV vessel, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the captured image includes an image of an entirety of the interior of the EUV vessel.3. The method of claim 1 , further comprising:while capturing the image, providing, by an illuminator disposed adjacent to the panoramic camera, a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the panoramic camera includes two opposing fish-eye camera lenses.5. The method of claim 4 , further comprising:capturing, by a first lens of the two opposing fish-eye camera lenses, a first image of the interior of the EUV vessel that includes a collector region; andcapturing, by a second lens of the two opposing fish-eye camera lenses, a second image of the interior of the EUV vessel that includes a lower cone ...

Подробнее
31-01-2019 дата публикации

Radiation-sensitive composition and pattern-forming method

Номер: US20190033713A1
Принадлежит: CORNELL UNIVERSITY, JSR Corp

A radiation-sensitive composition includes a metal-containing component and an organic solvent. The metal-containing component includes particles including a metal oxide as a principal component. The metal-containing component includes at least two metal atoms which are different from one another, and a percentage content of the at least two metal atoms with respect to an entirety of metal atoms and metalloid atoms in the composition is no less than 50 atom %. The metal-containing component preferably includes: a first metal atom that is at least one selected from a titanium atom, a zirconium atom, a hafnium atom, a zinc atom, a tin atom and an indium atom; and a second metal atom that is at least one selected from a lanthanum atom and an yttrium atom.

Подробнее
31-01-2019 дата публикации

RESIST COMPOSITION AND PATTERN FORMING PROCESS

Номер: US20190033715A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A polymer comprising units having a highly fused homoadamantane skeleton at side chain end bonded to the polymer backbone via a linking group has an appropriate solvent solubility and is capable of suppressing acid diffusion. A resist composition comprising the polymer and a specific photoacid generator exhibits a good DOF margin, CD uniformity, and a minimal CD change during PPD, and is quite effective in precise micropatterning. 4. The resist composition of wherein the photoacid generator contains at least two compounds selected from the formulae (B-1) and (B-2) claim 1 , at least one of which is a compound of the formula (B-2).6. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate claim 1 , prebaking to form a resist film claim 1 , exposing the resist film to ArF excimer laser claim 1 , EB or EUV claim 1 , baking claim 1 , and developing the exposed film in a developer.7. The process of wherein the exposing step is by immersion lithography wherein a liquid having a refractive index of at least 1.0 is interposed between the resist film and a projection lens.8. The process of claim 6 , further comprising the step of forming a protective film on the resist film claim 6 , and in the immersion lithography claim 6 , the liquid is interposed between the protective film and the projection lens. This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2017-148008 filed in Japan on Jul. 31, 2017, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition and a pattern forming process.Miniaturization is in rapid progress to meet the demand for higher integration density and operating speed of LSIs. As the advanced miniaturization technology, microelectronic devices are manufactured in a mass scale by the ArF immersion lithography involving exposure with a liquid like water interposed between the projection lens and the ...

Подробнее
11-02-2016 дата публикации

PATTERN FORMING METHOD, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE RESIN COMPOSITION, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE

Номер: US20160041465A1
Принадлежит: FUJIFILM Corporation

The pattern forming method includes (1) forming a film using an active light sensitive or radiation sensitive resin composition, (2) exposing the film to active light or radiation, and (3) developing the exposed film using a developer including an organic solvent, in which the active light sensitive or radiation sensitive resin composition contains a resin (A) having a group which generates a polar group by being decomposed due to the action of an acid, the resin (A) has a phenolic hydroxyl group and/or a phenolic hydroxyl group protected with a group leaving due to the action of an acid, and the developer including the organic solvent contains an additive which forms at least one interaction of an ionic bond, a hydrogen bond, a chemical bond, and a dipole interaction, with the polar group. 1. A pattern forming method , comprising:(1) forming a film using an active light sensitive or radiation sensitive resin composition;(2) exposing the film to active light or radiation; and(3) developing the exposed film using a developer including an organic solvent,wherein the active light sensitive or radiation sensitive resin composition contains a resin (A) having a group which generates a polar group by being decomposed due to the action of an acid,wherein the resin (A) has at least one of a phenolic hydroxyl group and a phenolic hydroxyl group protected with a group leaving due to the action of an acid, andwherein the developer including the organic solvent contains an additive which forms at least one interaction of an ionic bond, a hydrogen bond, a chemical bond, and a dipole interaction, with the polar group.5. The pattern forming method according to claim 4 ,{'sub': '3', 'wherein Rin General Formula (3) is a group having 2 or more carbon atoms.'}8. The pattern forming method according to claim 7 ,{'sub': 11', '12, 'wherein Rand Rin General Formula (II-1) are connected to each other to form a ring.'}9. The pattern forming method according to claim 2 ,{'sub': 4', '4, ' ...

Подробнее
09-02-2017 дата публикации

Chemically Amplified Positive Resist Composition and Pattern Forming Process

Номер: US20170038684A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A chemically amplified positive resist composition is provided comprising (A) a polymer adapted to tarn soluble in alkaline aqueous solution under the action, of acid, (B) a photoacid generator, (C) a car boxy lie acid, and (D) a benzotriazole compound and/or an imidazole compound. When the resist composition is coated on a copper substrate as a thick film of 5-250 μm thick and lithographically processed into a pattern, a high resolution is available and the pattern is of rectangular profile. 2. The resist composition of wherein the carboxylic acid is at least one C-Ccarboxylic acid selected from the group consisting of a saturated or unsaturated aliphatic carboxylic acid claim 1 , alicyclic carboxylic acid claim 1 , oxy carboxylic acid claim 1 , alkoxy carboxylic acid claim 1 , keto carboxylic acid claim 1 , and aromatic carboxylic acid.3. The resist composition of wherein the carboxylic acid is a dicarboxylic acid.4. The resist composition of wherein the dicarboxylic acid is a dicarboxylic acid having a saturated aliphatic alkyl chain.6. The resist composition of claim 1 , further comprising (E) an organic solvent.7. A dry film comprising a support film and a layer formed thereon from the chemically amplified positive resist composition of .8. A pattern forming process comprising the steps of forming a coating of the chemically amplified positive resist composition of or the layer of the chemically amplified positive resist composition of on a substrate claim 1 , optionally prebaking claim 1 , exposing the coating or layer to radiation or electron beam through a photomask claim 1 , optionally baking claim 1 , and developing in a developer.9. The process of wherein the step of exposing the coating or layer to radiation uses radiation with a wavelength of longer than 300 nm.10. The process of claim 8 , further comprising the step of forming a metal plating on the substrate by electroplating or electroless plating claim 8 , subsequent to the developing step. This non ...

Подробнее
08-02-2018 дата публикации

NEGATIVE RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS

Номер: US20180039175A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A negative resist composition comprising (A) a sulfonium compound of betaine type and (B) a polymer is provided. The resist composition is effective for controlling acid diffusion during the exposure step, exhibits a very high resolution during pattern formation, and forms a pattern with minimal LER. 6. The negative resist composition of wherein the base polymer (B) further contains another polymer comprising recurring units having the formula (B1) and recurring units having the formula (B5) claim 4 , but free of recurring units having the formulae (a1) claim 4 , (a2) claim 4 , and (a3).7. The negative resist composition of claim 1 , further comprising (C) a crosslinker.8. The negative resist composition of claim 3 , which is free of a crosslinker.10. The negative resist composition of claim 1 , further comprising (E) an acid generator.11. A resist pattern forming process comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the negative resist composition of onto a processable substrate to form a resist film thereon,'}exposing the resist film patternwise to high-energy radiation, anddeveloping the resist film in an alkaline developer to form a resist pattern.12. The process of wherein the high-energy radiation is KrF excimer laser radiation claim 11 , EUV or EB.13. The process of wherein the processable substrate is a photomask blank. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2016-154694 filed in Japan on Aug. 5, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a negative resist composition and a process for forming a resist pattern.To meet the recent demand for higher integration in integrated circuits, pattern formation to a finer feature size is required. Acid-catalyzed chemically amplified resist compositions are most often used in forming resist patterns with a feature size of 0.2 μm or less. High-energy radiation such as UV, ...

Подробнее
08-02-2018 дата публикации

POSITIVE RESIST COMPOSITION, RESIST PATTERN FORMING PROCESS, AND PHOTOMASK BLANK

Номер: US20180039177A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist composition comprising a polymer adapted to be decomposed under the action of acid to increase its solubility in alkaline developer and a sulfonium compound of specific structure has a high resolution. When the resist composition is processed by lithography, a pattern with minimal LER can be formed. 6. The positive resist composition of claim 1 , further comprising (D) an organic solvent.7. The positive resist composition of claim 1 , further comprising (E) a photoacid generator.8. A resist pattern forming process comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the positive resist composition of onto a processable substrate to form a resist film thereon,'}exposing the resist film patternwise to high-energy radiation, anddeveloping the resist film in an alkaline developer to form a resist pattern.9. The process of wherein the high-energy radiation is EUV or EB.10. The process of wherein the processable substrate has an outermost surface of silicon-containing material.11. The process of wherein the processable substrate is a photomask blank.12. A photomask blank having coated thereon the positive resist composition of . This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2016-154628 filed in Japan on Aug. 5, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a positive resist composition, a resist pattern forming process, and a photomask blank.To meet the recent demand for higher integration in integrated circuits, pattern formation to a finer feature size is required. Acid-catalyzed chemically amplified resist compositions are most often used in forming resist patterns with a feature size of 0.2 μm or less. High-energy radiation such as UV, deep-UV or electron beam (EB) is used as the light source for exposure of these resist compositions. In particular, while EB lithography is utilized as the ultra-fine microfabrication ...

Подробнее
12-02-2015 дата публикации

DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE

Номер: US20150044614A1
Принадлежит:

The present invention provides a drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams, the apparatus comprising a blanker array including a plurality of blankers and configured to individually blank the plurality of charged particle beams, a plurality of deflectors configured to individually deflect a plurality of charged particle beam groups constituting the plurality of charged particle beams, and a controller configured to individually control positions of the plurality of charged particle beam groups by the plurality of deflectors, and individually control blanking of the plurality of charged particle beams by the blanker array, based on information of a region on the substrate where a shot region exists. 1. A drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams , the apparatus comprising:a blanker array including a plurality of blankers and configured to individually blank the plurality of charged particle beams;a plurality of deflectors configured to individually deflect a plurality of charged particle beam groups constituting the plurality of charged particle beams; anda controller configured to individually control positions of the plurality of charged particle beam groups by the plurality of deflectors, and individually control blanking of the plurality of charged particle beams by the blanker array, based on information of a region on the substrate where a shot region exists.2. The apparatus according to claim 1 , wherein if the shot region exists on the substrate with a rotation angle claim 1 , the controller is configured to control the blanker array based on the rotation angle.3. The apparatus according to claim 1 , wherein if the shot region exists on the substrate with a magnification claim 1 , the controller is configured to control the blanker array based on the magnification.4. The apparatus according to claim 1 , whereinthe apparatus is configured to ...

Подробнее
12-02-2015 дата публикации

DRAWING DATA GENERATING METHOD, PROCESSING APPARATUS, STORAGE MEDIUM, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE

Номер: US20150044615A1
Автор: OZAWA Kimitaka, SETO Isamu
Принадлежит:

A method generates drawing data for performing drawing on a substrate with a plurality of charged particle beams based on pattern data representing a pattern to be drawn on the substrate. The method includes: a grouping step of grouping the plurality of charged particle beams into a plurality of groups based on a displacement amount of an irradiation position of each of the plurality of charged particle beams from target position thereof; and a generating step of generating the drawing data by changing the pattern data with respect to each of the plurality of groups based on the displacement amount of each of the plurality of charged particle beams. 1. A method of generating drawing data for performing drawing on a substrate with a plurality of charged particle beams based on pattern data representing a pattern to be drawn on the substrate , the method comprising:a grouping step of grouping the plurality of charged particle beams into a plurality of groups based on a displacement amount of an irradiation position of each of the plurality of charged particle beams from a target position thereof; anda generating step of generating the drawing data by changing the pattern data with respect to each of the plurality of groups based on the displacement amount of each of the plurality of charged particle beams.2. The method according to claim 1 , wherein the generating step changes the pattern data based on an average value of a plurality of the displacement amount with respect to each of the plurality of groups.3. The method according to claim 1 , wherein the grouping step groups the plurality of charged particle beams into the plurality of groups based on a target drawing precision.4. The method according to claim 1 , wherein number of groups constituting the plurality of groups is not greater than half of number of charged particle beams constituting the plurality of charged particle beams.5. A processing apparatus for generating drawing data for performing drawing on a ...

Подробнее
12-02-2015 дата публикации

Resist underlayer film forming composition containing phenylindole-containing novolac resin

Номер: US20150044876A1
Принадлежит: Nissan Chemical Corp

A composition for forming a resist underlayer film having heat resistance, which is used for a lithography process of semiconductor device production. A resist underlayer film forming composition including a polymer having a unit structure of Formula (1): Preferably, both rings A and B are benzene rings, n1, n2, and n3 are 0, R 4 and R 6 are hydrogen atoms, or R 5 is naphthyl. A method for producing a semiconductor device including: forming an underlayer film by use of the resist underlayer film forming composition onto a semiconductor substrate; forming a hard mask on the underlayer film; forming a resist film on the hard mask; forming a resist pattern by irradiation with light or an electron beam and development; etching the hard mask using the resist pattern; etching the underlayer film by use of the patterned hard mask; and processing the semiconductor substrate by use of the patterned underlayer film.

Подробнее
07-02-2019 дата публикации

MATERIAL FOR FORMING UNDERLAYER FILM FOR LITHOGRAPHY, COMPOSITION FOR FORMING UNDERLAYER FILM FOR LITHOGRAPHY, UNDERLAYER FILM FOR LITHOGRAPHY AND PRODUCTION METHOD THEREOF, PATTERN FORMING METHOD, RESIN, AND PURIFICATION METHOD

Номер: US20190041750A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

The present embodiment provides a material for forming an underlayer film for lithography, containing at least any of a compound represented by following formula (1) or a resin including a structural unit derived from a compound represented by the following formula (1), 5. The material for forming the underlayer film for lithography according to claim 4 , wherein q in the formula (1-3) is 1.7. The material for forming the underlayer film for lithography according to claim 1 , wherein the compound has a group including an iodine atom.9. A composition for forming an underlayer film for lithography claim 1 , comprising the material for forming the underlayer film for lithography according to claim 1 , and a solvent.10. The composition for forming the underlayer film for lithography according to claim 9 , further comprising an acid generator.11. The composition for forming the underlayer film for lithography according to claim 9 , further comprising a crosslinking agent.12. An underlayer film for lithography claim 9 , formed from the composition for forming the underlayer film for lithography according to .13. A method for producing an underlayer film for lithography claim 9 , comprising forming an underlayer film on a substrate by using the composition for forming the underlayer film for lithography according to .14. A resist pattern forming method comprising{'claim-ref': {'@idref': 'CLM-00009', 'claim 9'}, 'a step of forming an underlayer film on a substrate by using the composition for forming the underlayer film for lithography according to ,'}a step of forming at least one photoresist layer on the underlayer film, anda step of irradiating a predetermined region of the photoresist layer with radiation, and developing it.15. A circuit pattern forming method comprising{'claim-ref': {'@idref': 'CLM-00009', 'claim 9'}, 'a step of forming an underlayer film on a substrate by using the composition for forming the underlayer film for lithography according to ,'}a step of ...

Подробнее
18-02-2016 дата публикации

PATTERN FORMING METHOD, COMPOSITION KIT AND RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE USING THESE, AND ELECTRONIC DEVICE

Номер: US20160048075A1
Принадлежит: FUJIFILM Corporation

There is provided a pattern forming method comprising (i) forming a film on a substrate using an actinic ray-sensitive or radiation-sensitive resin composition which contains (A) a resin which decomposes due to an action of an acid to change its solubility with respect to a developer and (C) a specific resin, (ii) forming a top coat layer using a top coat composition which contains a resin (T) on the film, (iii) exposing the film which has the top coat layer to actinic rays or radiation, and (iv) forming a pattern by developing the film which has the top coat layer after the exposing. 1. A pattern forming method comprising:(i) forming a film on a substrate using an actinic ray-sensitive or radiation-sensitive resin composition which contains (A) a resin which decomposes due to an action of an acid to change its solubility with respect to a developer and (C) a resin which has one or more groups selected from a group consisting of a fluorine atom, a group which has a fluorine atom, a group which has a silicon atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an aromatic ring group which is substituted with at least one alkyl group, and an aromatic ring group which is substituted with at least one cycloalkyl group;(ii) forming a top coat layer using a top coat composition which contains a resin (T) on the film;(iii) exposing the film which has the top coat layer to actinic rays or radiation; and(iv) forming a pattern by developing the film which has the top coat layer after the exposing.3. The pattern forming method according to claim 2 ,wherein the resin (C) contains a repeating unit which has at least two or more groups which are represented by —COO— in the structure which is represented by General Formula (KA-1) or (KB-1).4. The pattern forming method according to claim 1 ,wherein the resin (C) further has a repeating unit which has a group which changes its solubility with respect to a developer due to an effect of an acid.7. The pattern ...

Подробнее
16-02-2017 дата публикации

Resist underlayer film-forming composition for lithography containing polymer having blocked isocyanate structure

Номер: US20170045818A1
Принадлежит: Nissan Chemical Corp

A resist underlayer film-forming composition for lithography capable of being dry-etched during pattern transfer from the upper layer or during substrate processing and capable of being removed with an alkaline aqueous solution after the substrate processing. The composition includes a polymer (A) having an acrylamide structure or an acrylic acid ester structure; a polymer (B) having a blocked isocyanate structure; and a solvent (C). The polymer (A) is a polymer including a unit structure of Formula (1). The polymer (B) is a polymer including a unit structure of Formula (2). A method for manufacturing a semiconductor device includes steps for: forming a resist pattern; etching an inorganic hard mask layer with use of the resist pattern; etching a resist underlayer film with use of the pattered inorganic hard mask layer; and processing a semiconductor substrate with use of the pattered resist underlayer film.

Подробнее
16-02-2017 дата публикации

RESIST UNDERLAYER FILM-FORMING COMPOSITION FOR LITHOGRAPHY CONTAINING POLYMER HAVING ACRYLAMIDE STRUCTURE AND ACRYLIC ACID ESTER STRUCTURE

Номер: US20170045819A1
Принадлежит: NISSAN CHEMICAL INDUSTRIES, LTD.

A resist underlayer film-forming composition for lithography capable of being dry-etched during pattern transfer from the upper layer or during substrate processing and capable of being removed with an alkaline aqueous solution after the substrate processing. A resist underlayer film-forming composition for lithography includes a polymer (A) including a unit structure of Formula (1) and a unit structure of Formula (2); a crosslinkable compound (B) having at least two groups selected from blocked isocyanate groups, methylol group, or Calkoxy methyl groups; and a solvent (C), characterized in that the polymer (A) is a polymer in which the unit structure of Formula (1) and the unit structure of Formula (2) are copolymerized in a mol % ratio of the unit structure of Formula (1):the unit structure of Formula (2)=25 to 60:75 to 40. 2. The resist underlayer film-forming composition according to claim 1 , wherein Ris a benzene ring.3. The resist underlayer film-forming composition according to claim 1 , wherein the crosslinkable compound (B) is included in a ratio of 1% by mass to 40% by mass with respect to the mass of the polymer (A).4. The resist underlayer film-forming composition according to claim 1 , further comprising a crosslinking catalyst.5. A method of manufacturing a semiconductor device claim 1 , the method comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'forming a resist underlayer film from the resist underlayer film-forming composition as claimed in on a semiconductor substrate;'}forming a resist film on the resist underlayer film;forming a resist pattern in the resist film through light or electron beam irradiation and development;etching the resist underlayer film using the formed resist pattern; andprocessing the semiconductor substrate using the patterned resist underlayer film.6. A method of manufacturing a semiconductor device claim 1 , the method comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, ' ...

Подробнее
16-02-2017 дата публикации

RESIST UNDERLAYER FILM-FORMING COMPOSITION AND METHOD FOR FORMING RESIST PATTERN USING THE SAME

Номер: US20170045820A1
Принадлежит: NISSAN CHEMICAL INDUSTRIES, LTD.

A composition for forming a resist underlayer film which make possible to form a desired high-adhesion resist pattern. A resist underlayer film-forming composition for lithography containing a polymer having the following structure Formula (1) or (2) at a terminal of a polymer chain, crosslinker, compound promoting crosslinking reaction, and organic solvent. 7. The resist underlayer film-forming composition for lithography according to claim 1 , wherein the polymer has a weight average molecular weight of 800 to 100 claim 1 ,000.8. The resist underlayer film-forming composition for lithography according to claim 1 , wherein the organic solvent is one or a combination of two or more selected from the group consisting of propylene glycol monomethyl ether claim 1 , propylene glycol monomethyl ether acetate claim 1 , 1-ethoxy-2-propanol claim 1 , ethyl lactate claim 1 , butyl lactate claim 1 , and cyclohexanone.9. The resist underlayer film-forming composition for lithography according to claim 1 , further comprising an acid generator.10. A method for forming a resist pattern comprising the steps of: applying the resist underlayer film-forming composition for lithography according to to a semiconductor substrate claim 1 , followed by baking to forming a resist underlayer film; forming a resist film on the resist underlayer film using a resist solution; exposing claim 1 , through a photomask claim 1 , the semiconductor substrate coated with the resist underlayer film and the resist film to radiation selected from the group consisting of a KrF excimer laser claim 1 , an ArF excimer laser claim 1 , an extreme ultraviolet light claim 1 , and an electron beam; and developing the exposed substrate. The present invention relates to a resist underlayer film-forming composition for lithography that has excellent solubility of solid content in an organic solvent and good application property to a substrate for improving variation of line width of a resist pattern to be formed and ...

Подробнее
14-02-2019 дата публикации

METHODS AND APPARATUSES FOR ETCH PROFILE OPTIMIZATION BY REFLECTANCE SPECTRA MATCHING AND SURFACE KINETIC MODEL OPTIMIZATION

Номер: US20190049937A1
Принадлежит:

Disclosed are methods of optimizing a computer model which relates the etch profile of a feature on a semiconductor substrate to a set of independent input parameters (A), via the use of a plurality of model parameters (B). In some embodiments, the methods may include modifying one or more values of B so as to reduce a metric indicative of the differences between computed reflectance spectra generated from the model and corresponding experimental reflectance spectra with respect to one or more sets of values of A. In some embodiments, calculating the metric may include an operation of projecting the computed and corresponding experimental reflectance spectra onto a reduced-dimensional subspace and calculating the difference between the reflectance spectra as projected onto the subspace. Also disclosed are etch systems implementing such optimized computer models. 134-. (canceled)35. A method of optimizing a computer model which relates an etch profile of a feature on a semiconductor substrate to a set of independent input parameters , the method comprising:(a) specifying at least one model parameter to be optimized;(b) identifying multiple sets of values for a selected set of independent input parameters;(c) for each set of values specified in (b), receiving an experimental reflectance spectra generated from an optical measurement of an experimental etch process performed using the set of values specified in (b);(d) for each set of values specified in (b), generating a computed reflectance spectra from the model using the set of values specified in (b);(e) modifying a value of the model parameter specified in (a) and repeating (d) with the modified value so as to reduce a metric indicative of the differences between the experimental reflectance spectra received in (c) and corresponding computed reflectance spectra generated in (d) with respect to one or more sets of values for the selected independent input parameters specified in (b);(f) using the computer model ...

Подробнее
25-02-2021 дата публикации

TANTALA RING RESONATOR AND METHOD FOR FABRICATING NONLINEAR PHOTONIC DEVICES

Номер: US20210055627A1
Принадлежит:

A tantala ring resonator includes a tantala ring resonator formed by ion-beam sputtering of tantalum pentoxide and exhibiting an optical quality factor in excess of 3×10, and a substrate to which the tantala ring resonator is attached. A method for fabricating nonlinear photonic devices includes depositing tantalum pentoxide with ion-beam sputtering to form a tantala layer onto a substrate, annealing the tantala layer, and etching the tantala layer to form a photonic device. 1. Tantala ring resonator , comprising:{'sup': '6', 'a tantala ring resonator formed by ion-beam sputtering of tantalum pentoxide and exhibiting an optical quality in excess of 3×10, and'}a substrate to which the tantala ring resonator is attached.2. A method for fabricating nonlinear photonic devices , comprising:depositing tantalum pentoxide with ion-beam sputtering to form a tantala layer onto a substrate;annealing the tantala layer; andetching the tantala layer to form a tantala photonic device.3. The method of claim 2 , the substrate formed of at least one material selected from the group consisting of silicon claim 2 , thermally oxidized silicon claim 2 , sapphire claim 2 , single crystal quartz claim 2 , fused silica claim 2 , gallium arsenide claim 2 , aluminum gallium arsenide claim 2 , gallium phosphide claim 2 , and lithium niobate.4. The method of claim 2 , wherein depositing the tantalum pentoxide comprises depositing the tantala layer with a thickness between 500 nanometers to 1000 nanometers.5. The method of claim 2 , the step of annealing further comprising annealing the tantala layer in the presence of a gas mixture consisting of oxygen gas and nitrogen gas.6. The method of claim 2 , wherein annealing comprising annealing the tantala layer between 1 and 12 hours.7. The method of claim 2 , the step of annealing further comprising annealing at a temperature between 400 and 700 degrees Celsius.8. The method of claim 2 , further comprising forming a resist layer disposed on the ...

Подробнее
25-02-2021 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20210055652A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a base polymer and an acid generator containing a sulfonium salt which is structured such that an iodized or brominated hydrocarbyl group (exclusive of iodized or brominated aromatic ring) is bonded to a benzene ring via an ester bond-containing group offers a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone. 2. The resist composition of wherein the non-nucleophilic counter ion is a fluorinated sulfonate claim 1 , fluorinated imide or fluorinated methide ion.3. The resist composition of claim 1 , further comprising a base polymer.5. The resist composition of which is a chemically amplified positive resist composition.6. The resist composition of wherein the base polymer is free of an acid labile group.7. The resist composition of which is a chemically amplified negative resist composition.9. The resist composition of claim 1 , further comprising an organic solvent.10. The resist composition of claim 1 , further comprising a quencher.11. The resist composition of claim 1 , further comprising a surfactant.12. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate to form a resist film thereon claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed resist film in a developer.13. The process of wherein the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.14. The process of wherein the high-energy radiation is EB or EUV of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2019-151743 filed in Japan on Aug. 22, 2019, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition and a pattern forming process.To meet the demand for higher integration density and operating speed of LSIs, the effort ...

Подробнее
26-02-2015 дата публикации

ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS

Номер: US20150056542A1
Принадлежит:

Organometallic solutions have been found to provide high resolution radiation based patterning using thin coatings. The patterning can involve irradiation of the coated surface with a selected pattern and developing the pattern with a developing agent to form the developed image. The patternable coatings may be susceptible to positive-tone patterning or negative-tone patterning based on the use of an organic developing agent or an aqueous acid or base developing agent. The radiation sensitive coatings can comprise a metal oxo/hydroxo network with organic ligands. A precursor solution can comprise an organic liquid and metal polynuclear oxo-hydroxo cations with organic ligands having metal carbon bonds and/or metal carboxylate bonds. 1. A method for patterning a substrate with radiation , the method comprising:irradiating a coated substrate along a selected pattern to form an irradiated structure with a region of irradiated coating and a region with un-irradiated coating, wherein the coated substrate comprises a coating that comprises a metal oxo-hydroxo network with organic ligands with a metal carbon bonds and/or with metal carboxylate bonds; andselectively developing the irradiated structure to remove a substantial portion of the irradiated coating or of the un-irradiated coating to form a patterned substrate.2. The method of wherein the irradiated structure has irradiated coating that is soluble in aqueous base and non-irradiated coating soluble in organic solvents such that the irradiated structure can be alternatively subjected to positive tone imaging or negative tone imaging.3. The method of wherein the development is performed with an organic solvent to remove the non-irradiated coating.4. The method of wherein the selective development is performed with an aqueous base.5. The method of wherein the irradiation is performed with an electron beam claim 1 , ultraviolet light claim 1 , extreme ultraviolet light claim 1 , irradiation from an ArF laser claim 1 , ...

Подробнее
26-02-2015 дата публикации

Oxime Ester Photoinitiators

Номер: US20150056554A1
Принадлежит: CIBA CORPORATION

Compounds of formula (I), (II), and (III), wherein R, R, R′and R′″for example are C-Calkyl, provided that at least one of R, R, R′and R′″carries a specified substituent; R, R, and Rfor example independently of one another are hydrogen or a defined substituent provided that at least one of R, Ror Ris other than hydrogen or C-Calkyl; R, R, R, R′, RV, R′, R″, R″, R′″and R′″for example independently of one another have one of the meanings as given for R, R, and R; and Rfor example is C-Calkyl; exhibit an unexpectedly good performance in photopolymerization reactions. 3. A photopolymerizable composition comprising(a) at least one ethylenically unsaturated photopolymerizable compound and{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(b) as photoinitiator, at least one compound of the formula I according to .'}4. A photopolymerizable composition according to comprising in addition to the photoinitiator (b) at least one further photoinitiator (c) and/or other additives (d).5. A photopolymerizable composition according to comprising as further additive (d) a photosensitizer.6. A photopolymerizable composition according to claim 3 , wherein the component (a) is a resin obtained by the reaction of a saturated or unsaturated polybasic acid anhydride with a product of the reaction of an epoxy resin and an unsaturated monocarboxylic acid.7. A photopolymerizable composition according to additionally comprising a binder polymer (e).8. A photopolymerizable composition according to comprising as further additive (d) a pigment or a mixture of pigments.9. A photopolymerizable composition according to comprising as further additive (d) a dispersant or a mixture of dispersants.10. A photopolymerizable composition according to claim 4 , comprising 0.005 to 25% by weight of the photoinitiator (b) claim 4 , or the photoinitiators (b) and (c) claim 4 , based on the composition.11. A process for the photopolymerization of compounds containing ethylenically unsaturated double bonds claim 3 ...

Подробнее
05-03-2015 дата публикации

POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20150064626A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A positive resist composition is provided comprising a polymer comprising recurring units having a carboxyl and/or phenolic hydroxyl group substituted with an acid labile group and recurring units of tert-butyl or tert-amyl-substituted hydroxyphenyl methacrylate and having a weight average molecular weight of 1,000-500,000. The resist composition has a satisfactory effect of suppressing acid diffusion and a high resolution, and forms a pattern of good profile and minimal edge roughness after exposure. 3. The resist composition of wherein the polymer further comprises recurring units (c) having an adhesive group selected from the class consisting of hydroxyl claim 2 , carboxyl claim 2 , lactone ring claim 2 , carbonate claim 2 , thiocarbonate claim 2 , carbonyl claim 2 , cyclic acetal claim 2 , ether claim 2 , ester claim 2 , sulfonic acid ester claim 2 , cyano claim 2 , amide claim 2 , and —O—C(═O)-G- wherein G is sulfur or NH and c is a number in the range: 0 Подробнее

02-03-2017 дата публикации

RADIATION-SENSITIVE OR ACTINIC RAY-SENSITIVE RESIN COMPOSITION, RESIST FILM USING THE SAME, MASK BLANK, RESIST PATTERN FORMING METHOD, ELECTRONIC DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE

Номер: US20170059990A1
Автор: Tsuchimura Tomotaka
Принадлежит: FUJIFILM Corporation

A radiation-sensitive or actinic ray-sensitive resin composition contains a polymer compound (A) including a structural part (a) that is decomposed by irradiation with actinic rays or radiation to generate an acid anion on a side chain and a repeating unit (b) that is represented by the following Formula (I), in the formula, Rrepresents a hydrogen atom, an organic group, or a halogen atom, Arepresents an aromatic ring group or an alicyclic group. Rand Reach independently represent an alkyl group, a cycloalkyl group, or an aryl group, at least two of A, R, or Rmay be bonded to each other to form a ring. Band Leach independently represent a single bond or a divalent linking group, X represents a hydrogen atom or an organic group, n represents an integer of 1 or greater. 3. The radiation-sensitive or actinic ray-sensitive resin composition according to claim 2 ,wherein the structural part (a) that is decomposed by irradiation with actinic rays or radiation to generate an acid anion on a side chain has the sulfonium salt structure that is represented by Formula (PZI).4. The radiation-sensitive or actinic ray-sensitive resin composition according to claim 1 ,wherein the polymer compound (A) has a repeating unit (A1) including a structural part (a) that is decomposed by irradiation with actinic rays or radiation to generate an acid anion on a side chain.11. The radiation-sensitive or actinic ray-sensitive resin composition according to claim 1 , that is a chemically amplified negative tone resist composition.12. A resist film that is formed of the radiation-sensitive or actinic ray-sensitive resin composition according to .13. A mask blank comprising:{'claim-ref': {'@idref': 'CLM-00012', 'claim 12'}, 'the resist film according to .'}14. A resist pattern forming method comprising:{'claim-ref': {'@idref': 'CLM-00012', 'claim 12'}, 'exposing the resist film according to ; and'}developing the exposed resist film.15. A resist pattern forming method comprising:{'claim-ref': {'@ ...

Подробнее
04-03-2021 дата публикации

RESIST MATERIAL AND PATTERNING PROCESS

Номер: US20210063873A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

The present invention is a resist material containing: (i) a compound (i-1), which is a (partial) condensate or a (partial) hydrolysis-condensate of a metal compound shown by the following general formula (A-1), or a compound (i-2), which is a reaction product of the compound (i-1) and a dihydric or trihydric alcohol shown by the following general formula (A-2), (ii) a photo-acid generator, (iii) a basic compound, and (iv) an organic solvent. An object of the present invention is to provide a metal-containing resist material having high sensitivity and high resolution particularly in EUV and electron beam lithography; and a patterning process using this material. 1. A resist material comprising:(i) a compound (i-1), which is a (partial) condensate or a (partial) hydrolysis-condensate of a metal compound shown by the following general formula (A-1), or a compound (i-2), which is a reaction product of the compound (i-1) and a dihydric or trihydric alcohol shown by the following general formula (A-2),(ii) a photo-acid generator,(iii) a basic compound, and {'br': None, 'sup': '1A', 'sub': '4', 'M(OR)\u2003\u2003(A-1)'}, '(iv) an organic solvent,'}{'sup': '1A', 'claim-text': {'br': None, 'sup': '2A', 'sub': 'm', 'R(OH)\u2003\u2003(A-2)'}, 'wherein, M represents an element selected from titanium, zirconium, or hafnium; and Rrepresents a linear or branched alkyl group having 1 to 12 carbon atoms; and'}{'sup': 2A', '2A, 'wherein “m” represents 2 or 3; when “m” represents 2, Rrepresents a divalent group selected from a substituted or unsubstituted, linear, branched, or cyclic alkylene group, alkenylene group, alkynylene group, or aralkylene group having 2 to 20 carbon atoms optionally including an ester bond or ether bond; when “m” represents 3, Rrepresents a trivalent group, which is the divalent group having one hydrogen atom removed.'}7. The resist material according to claim 1 , wherein the component (iii) is a nitrogen-containing compound.8. The resist material ...

Подробнее
22-05-2014 дата публикации

SELF-ASSEMBLED STRUCTURES, METHOD OF MANUFACTURE THEREOF AND ARTICLES COMPRISING THE SAME

Номер: US20140141375A1
Принадлежит:

Disclosed herein is a composition comprising a graft block copolymer comprising a copolymer comprising a backbone polymer; and a first graft polymer that comprises a surface energy reducing moiety; the first graft polymer being grafted onto the backbone polymer; where the surface energy reducing moiety comprises a fluorine atom, a silicon atom, or a combination of a fluorine atom and a silicon atom; a photoacid generator; and a crosslinking agent. 1. A composition comprising: a backbone polymer; and', 'a first graft polymer that comprises a surface energy reducing moiety; the first graft polymer being grafted onto the backbone polymer; where the surface energy reducing moiety comprises a fluorine atom, a silicon atom, or a combination of a fluorine atom and a silicon atom;, 'a copolymer comprising, 'a graft block copolymer comprisinga photoacid generator; anda crosslinking agent.2. The composition of claim 1 , where the backbone polymer is a polynorbornene.3. The composition of claim 1 , where the first polymer is a poly(tetrafluoro-para-hydroxy styrene).4. The composition of claim 1 , where the photoacid generator is triphenylsulfonium hexafluoroantimonate and where the crosslinking agent is N claim 1 ,N claim 1 ,N′ claim 1 ,N′ claim 1 ,N″ claim 1 ,N″-hexakis(methoxymethyl)-1 claim 1 ,3 claim 1 ,5-triazine-2 claim 1 ,4 claim 1 ,6-triamine.5. The composition of claim 1 , where the copolymer is used in amounts of 50 to 80 wt % claim 1 , the photoacid generator is used in amounts of 5 to 25 wt % and the crosslinking agent is used in amounts of 5 to 25 wt % claim 1 , based on the total weight of the composition.6. A method of manufacturing a photoresist comprising: [ a backbone polymer; and', 'a first graft polymer that comprises a surface energy reducing moiety; the first graft polymer being grafted onto the backbone polymer; where the surface energy reducing moiety comprises a fluorine atom, a silicon atom, or a combination of a fluorine atom and a silicon atom;, 'a ...

Подробнее
28-02-2019 дата публикации

Random Copolymer for Forming Neutral Layer, Laminate for Forming Pattern Including the Same, and Method for Forming Pattern Using the Same

Номер: US20190064670A1
Принадлежит: SK Innovation Co Ltd

Provided are a random copolymer for forming a neutral layer promoting directed self-assembly pattern formation, a laminate for forming a pattern including the same, and a method for forming a high-quality pattern using the same.

Подробнее
10-03-2016 дата публикации

REAGENT AND COMPOSITION OF RESIST

Номер: US20160070165A1
Автор: Enomoto Satoshi
Принадлежит:

Described is a reagent that enhances acid generation of a photoacid generator and a composition containing such reagent. 1. A reagent wherein:a feed of an energy to the reagent or to an acceptor for the reagent receiving the energy generates an intermediate from the reagent; and further whereinthe intermediate enhances generation of acid from a precursor, wherein the intermediate is a ketyl radical.25.-. (canceled)6. The reagent of claim 1 , wherein the feed of energy comprises irradiation with light.7. The reagent of claim 1 , wherein the feed of energy is carried out by irradiation of the reagent with at least one of light of which the wavelength is less than or equal to 15 nm and an electron beam.8. A composition claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the reagent of ; and'}a first compound that functions as a generation source of acid.9. The composition of claim 8 , further comprising:a second compound that has a bond cleavable by acid.11. (canceled)12. The reagent of claim 10 , wherein:the reagent further includes a second cyclic moiety, andthe first cyclic moiety contains at least two atoms that are also contained in the second cyclic moiety.13. The composition reagent of claim 10 , wherein:the third reagent further includes a third cyclic moiety, andthe first cyclic moiety contains at least two atoms that are also contained in the third cyclic moiety.14. The reagent of claim 10 , wherein the first cyclic moiety is either a six-membered ring or a five-membered ring.15. The reagent of claim 10 , wherein the second cyclic moiety is an aromatic group.16. (canceled)17. The composition of claim 8 , wherein the first compound is an organic salt containing an iodonium ion or a sulfonium ion.18. The reagent of claim 1 , wherein the intermediate is generated by abstracting a hydrogen atom from the reagent.19. The reagent of claim 1 , wherein a difference between at least one of a first oxidation potential of a ground state and a second ...

Подробнее
08-03-2018 дата публикации

RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN

Номер: US20180067394A1
Принадлежит:

A resist composition which generates an acid upon exposure and whose solubility in a developing solution changes under the action of an acid, including a base material including a copolymer having a structural unit represented by general formula (a9-1) or a structural unit represented by general formula (a9-2), 30 mol % or more of a structural unit represented by general formula (a10-1) and 45 mol % or more of a structural unit having an acid-decomposable group which increases a polarity under the action of an acid. In each formula, Rs is a hydrogen atom or the like; Yaand Yaare a single bond or a divalent linking group; Ris a hydrocarbon group having 1 to 20 carbon atoms or the like; Ris an oxygen atom or the like; j and nare integers of 1 to 3; Wais a (n+1)-valent aromatic hydrocarbon group. 4. A method for forming a resist pattern , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'forming a resist film on a support by using the resist composition according to ;'}exposing the resist film; anddeveloping the exposed resist film to form a resist pattern.5. The method for forming a resist pattern according to claim 4 , wherein in exposing the resist film claim 4 , the resist film is exposed to extreme ultraviolet ray (EUV) or an electron beam (EB). The present invention relates to a resist composition and a method for forming a resist pattern.This application claims priority to Japanese Patent Application No. 2016-172314 filed Sep. 2, 2016, the entire content of which is incorporated herein by reference.A lithography technique includes steps of forming a resist film composed of a resist material on a substrate, selectively exposing the resist film and performing a developing treatment, thereby forming a resist pattern having a predetermined shape.A resist material in which an exposed area of the resist film is dissolved in a developing solution is referred to as a positive-type resist material, and a resist material in which an exposed area of the resist ...

Подробнее
09-03-2017 дата публикации

Vapor Deposition Deposited Photoresist, And Manufacturing And Lithography Systems Therefor

Номер: US20170068174A1
Принадлежит: Applied Materials Inc

A photoresist vapor deposition system includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck. The deposition system creates a semiconductor wafer system that includes: a semiconductor wafer; and a vapor deposited photoresist over the semiconductor wafer. An extreme ultraviolet lithography system requiring the semiconductor wafer system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for imaging the light from the extreme ultraviolet light source; and a wafer stage for placing a semiconductor wafer with a vapor deposited photoresist.

Подробнее
16-03-2017 дата публикации

RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN

Номер: US20170075220A1
Принадлежит:

The resist composition according to the present invention contains a compound represented by a specific formula. The compound has high heat resistance attributed to its highly aromatic skeleton, in spite of its low molecular weight, and may be used even under high temperature baking conditions. By virtue of the above configuration, the resist composition according to the present invention is excellent in heat resistance, has high solubility in a safe solvent, has high sensitivity, and can impart a good shape to a resist pattern. That is, the resist composition according to the present invention is useful as an acid amplification type non-polymer based resist material. 2. The resist composition according to claim 1 , wherein at least one of Rand/or at least one of Ris a hydroxyl group and/or a thiol group.4. The resist composition according to claim 1 , wherein n is 1 claim 1 , and Ris a group represented by R-R claim 1 , wherein Ris a methine group claim 1 , and Ris an aryl group having 7 or more carbon atoms.7. The resist composition according to claim 1 , further comprising a solvent.8. The resist composition according to claim 1 , further comprising an acid generating agent.9. The resist composition according to claim 1 , further comprising an acid crosslinking agent.10. A method for forming a resist pattern claim 1 , comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'coating a substrate with the resist composition according to , thereby forming a resist film;'}exposing the formed resist film; anddeveloping the exposed resist film. The present invention relates to a resist composition and a method for forming a resist pattern using the resist composition.Conventional typical resist materials are polymer based materials capable of forming amorphous thin films. For example, a line pattern of about 45 to 100 nm is formed by irradiating a resist thin film made by coating a substrate with a solution of a polymer resist material such as ...

Подробнее
16-03-2017 дата публикации

PATTERN FORMING METHOD, RESIST PATTERN, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE

Номер: US20170075222A1
Принадлежит: FUJIFILM Corporation

A pattern forming method includes, in this order, forming a film on a substrate, using an active-light-sensitive or radiation-sensitive resin composition containing a resin (A) which has a repeating unit having a phenolic hydroxyl group, and a repeating unit having a group that decomposes by the action of an acid to generate a carboxyl group, and a compound (B) that generates an acid upon irradiation with active light or radiation; exposing the film; and developing the exposed film using a developer including an organic solvent, in which the developer including an organic solvent contains an organic solvent having 8 or more carbon atoms and 2 or less heteroatoms in the amount of 50% by mass or more. 1. A pattern forming method comprising , in this order:forming a film on a substrate, using an active-light-sensitive or radiation-sensitive resin composition containing a resin (A) which has a repeating unit having a phenolic hydroxyl group, and a repeating unit having a group that decomposes by the action of an acid to generate a carboxyl group, and a compound (B) that generates an acid upon irradiation with active light or radiation;exposing the film; anddeveloping the exposed film using a developer including an organic solvent,wherein the developer including an organic solvent contains an organic solvent having 8 or more carbon atoms and 2 or less heteroatoms in the amount of 50% by mass or more.3. The pattern forming method according to claim 1 , wherein the developer including an organic solvent contains an ester-based organic solvent having 8 or more carbon atoms and 2 or less heteroatoms in the amount of 50% by mass or more.4. The pattern forming method according to claim 2 , wherein the developer including an organic solvent contains an ester-based organic solvent having 8 or more carbon atoms and 2 or less heteroatoms in the amount of 50% by mass or more.7. The pattern forming method according to claim 1 , wherein the resin (A) further has a repeating unit ...

Подробнее
16-03-2017 дата публикации

RESIST PATTERN-FORMING METHOD

Номер: US20170075224A1
Принадлежит: JSR Corporation

A resist pattern-forming method comprises applying a chemically amplified resist material on a substrate to form a resist film on the substrate. The resist film is patternwise exposed to a radioactive ray having a wavelength of no greater than 250 nm. The resist film patternwise exposed is floodwise exposed to a radioactive ray having a wavelength of greater than 250 nm. The resist film floodwise exposed is baked and developed with a developer solution comprising an organic solvent. The chemically amplified resist material comprises a component that is capable of generating a radiation-sensitive sensitizer and an acid upon an exposure. The component comprises: a radiation-sensitive sensitizer generating agent, and at least one of a radiation-sensitive acid-and-sensitizer generating agent and a radiation-sensitive acid generating agent. The radiation-sensitive sensitizer generating agent comprises a compound represented by formula (B). 110-. (canceled)12: The resist pattern-forming method according to claim 11 , wherein the polymer component comprises a first polymer having a structural unit that comprises a group that is capable of generating a polar group through dissociation of an acid-labile group by an action of the acid.13: The resist pattern-forming method according to claim 11 , wherein the component is different from the polymer component.14: The resist pattern-forming method according to claim 13 , wherein a content of the component with respect to total solid content of the chemically amplified resist material is no less than 10% by mass and no greater than 30% by mass.15: The resist pattern-forming method according to claim 12 , wherein the component is incorporated into a part of the first polymer.16: The resist pattern-forming method according to claim 12 , wherein the developer solution used in the development further comprises a first compound that gives an ionic bond claim 12 , a hydrogen bond claim 12 , a covalent bond claim 12 , a coordinate bond ...

Подробнее
26-03-2015 дата публикации

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, MASK BLANKS INCLUDING ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, PATTERN FORMING METHOD AND PHOTOMASK

Номер: US20150086911A1
Принадлежит: FUJIFILM Corporation

An actinic ray-sensitive or radiation-sensitive resin composition includes; a compound (A) which generates an acid by irradiation with actinic rays or radiation, wherein the acid is linked with a group represented by the following general formula (M) through covalent bonding. In the formula, Yand Yeach independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an alkenyl group, an alkynyl group, an aryl group, or an acyl group. Z represents a hydrogen atom or a substituent. * represents a linking site with a residue of the compound (A) 2. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , wherein the compound (A) has two or more groups represented by the general formula (M).3. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , wherein the group represented by the general formula (M) is a hydroxymethyl group or an alkoxymethyl group.4. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 2 , wherein the group represented by the general formula (M) is a hydroxymethyl group or an alkoxymethyl group.5. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , further comprising: (B1) a compound having a phenolic hydroxyl group claim 1 ,wherein the composition is used for the formation of a negative tone pattern.7. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , further comprising: a cross-linking agent (C).8. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , further comprising: a compound (D) that generates an acid which dose not include a cross-linking group in a molecule by irradiation with actinic rays or radiation.9. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 7 , comprising: a compound having two or more groups represented by the general formula (M) in a molecule as the cross-linking ...

Подробнее
31-03-2016 дата публикации

SULFONIUM SALT, RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS

Номер: US20160090355A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A sulfonium salt of formula (0-1) is provided wherein W is alkylene or arylene, Ris a monovalent hydrocarbon group, m is 0, 1 or 2, k is an integer: 0≦k≦5+4m, R, Rand Rare a monovalent hydrocarbon group, or at least two of R, Rand Rmay bond together to form a ring with the sulfur atom, and L is a single bond, ester, sulfonic acid ester, carbonate or carbamate bond. A resist composition comprising the sulfonium salt as PAG exhibits a very high resolution when processed by EB and EUV lithography. A pattern with minimal LER is obtainable. 3. A resist composition comprising the sulfonium salt of .5. The resist composition of which is a chemically amplified positive tone resist composition claim 4 , the polymer comprising recurring units adapted to be decomposed under the action of acid to increase the solubility in alkaline developer.8. The resist composition of claim 7 , further comprising a crosslinker.11. A pattern forming process comprising the steps of applying the resist composition of onto a processable substrate to form a resist film claim 3 , exposing patternwise the resist film to high-energy radiation claim 3 , and developing in an alkaline developer to form a resist pattern.12. The process of wherein the high-energy radiation is EUV or EB.13. The process of wherein the processable substrate has an outermost surface made of a chromium-containing material.14. The process of wherein the processable substrate is a photomask blank. This non-provisional application claims priority under U.S.C. §119(a) on Patent Application No. 2014-195029 filed in Japan on Sep. 25, 2014, the entire contents of which are hereby incorporated by reference.This invention relates to a sulfonium salt, a chemically amplified resist composition, and a resist pattern forming process. The chemically amplified resist composition is sensitive to high-energy radiation such as UV, deep-UV, EUV, X-ray, γ-ray, synchrotron radiation, and EB, and especially suited for use in the exposure step of ...

Подробнее
29-03-2018 дата публикации

PRE-RINSING LIQUID, PRE-RINSING TREATMENT METHOD, AND PATTERN FORMING METHOD

Номер: US20180087010A1
Принадлежит: FUJIFILM Corporation

Provided is a pre-rinsing liquid used in a method including forming a resist film including an actinic ray-sensitive or radiation-sensitive composition on a substrate, and irradiating the resist film with actinic rays or radiation to form a pattern on the substrate, and used for subjecting the substrate to a pre-rinsing treatment before applying the actinic ray-sensitive or radiation-sensitive composition onto the substrate. The pre-rinsing liquid satisfies the following conditions (1) and (2): 1. A pre-rinsing liquid used in a method including forming a resist film including an actinic ray-sensitive or radiation-sensitive composition on a substrate , and irradiating the resist film with actinic rays or radiation to form a pattern on the substrate , and used for subjecting the substrate to a pre-rinsing treatment before applying the actinic ray-sensitive or radiation-sensitive composition onto the substrate ,wherein the pre-rinsing liquid satisfies the following conditions (1) and (2):(1) the pre-rinsing liquid includes 80% by mass or more of an organic solvent with respect to the total mass of the pre-rinsing liquid, and(2) the organic solvent is at least one organic solvent selected from the group consisting of alcohols, cyclic ethers, glycol ethers, glycol ether acetates, hydrocarbons, ketones, lactones, and esters.2. The pre-rinsing liquid according to claim 1 , for manufacturing a mask blank claim 1 , wherein the substrate is a mask blank.3. The pre-rinsing liquid according to claim 1 , comprising:an organic solvent having a CLogP of −0.2 or more as the organic solvent.4. The pre-rinsing liquid according to claim 1 , comprising:an acid or a compound that produces an acid by heat.5. The pre-rinsing liquid according to claim 4 ,wherein the pKa of the acid, or an acid produced from the compound by heat is −5 or more.6. The pre-rinsing liquid according to claim 4 ,wherein the molecular weight of the acid, or an acid produced from the compound by heat is 1,000 or ...

Подробнее
02-04-2015 дата публикации

DEMAGNETIZING APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE

Номер: US20150093705A1
Автор: Uchida Shinji
Принадлежит:

The present invention provides a demagnetizing apparatus for demagnetization of an object, comprising a coil configured to generate a magnetic field for demagnetizing the object, and a supply device configured to supply, to the coil, an alternating current whose amplitude decreases with time, wherein the supply device supplies the alternating current to the coil such that an amplitude of the alternating current is larger than an absolute value of a current value at which magnetic saturation is occurred in the object in a first period, an absolute value of a rate of change in amplitude of the alternating current is larger than that in the first period in a second period, and an amplitude of the alternating current is smaller than an absolute value of a current value corresponding to a coercive force of the object in a third period. 1. A demagnetizing apparatus for demagnetization of an object , the apparatus comprising:a coil configured to generate a magnetic field for demagnetizing the object; anda supply device configured to supply, to the coil, an alternating current whose amplitude decreases with time,wherein the supply device is configured to supply the alternating current to the coil such that in a first period, an amplitude of the alternating current is larger than an absolute value of a current value at which magnetic saturation is occurred in the object, in a second period after the first period, an absolute value of a rate of change in amplitude of the alternating current is larger than that in the first period, and in a third period after the second period, an amplitude of the alternating current is smaller than an absolute value of a current value corresponding to a coercive force of the object, and an absolute value of a rate of change in amplitude of the alternating current is smaller than that in the second period.2. The apparatus according to claim 1 , wherein the supply device is configured to supply the alternating current for at least two cycles ...

Подробнее
31-03-2016 дата публикации

CONDUCTIVE POLYMER COMPOSITION, COATED ARTICLE, PATTERNING PROCESS, AND SUBSTRATE

Номер: US20160091792A1
Принадлежит:

The present invention provides a conductive polymer composition which contains (A) a polyaniline-based conductive polymer having a repeating unit represented by the general formula (1), (B) a polyanion, and (C) an amino acid, 3. The conductive polymer composition according to claim 2 , wherein L in the general formula (2) of the component (C) is a linear claim 2 , branched claim 2 , or cyclic tetravalent organic group having 2 to 10 carbon atoms and optionally containing a heteroatom.4. The conductive polymer composition according to claim 1 , wherein the component (C) is in an amount of 1 to 50 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).5. The conductive polymer composition according to claim 2 , wherein the component (C) is in an amount of 1 to 50 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).6. The conductive polymer composition according to claim 3 , wherein the component (C) is in an amount of 1 to 50 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).7. The conductive polymer composition according to claim 1 , wherein the component (C) is in an amount of 3 to 10 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).8. The conductive polymer composition according to claim 2 , wherein the component (C) is in an amount of 3 to 10 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).9. The conductive polymer composition according to claim 3 , wherein the component (C) is in an amount of 3 to 10 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).10. The conductive polymer composition according to claim 4 , wherein the component (C) is in an amount of 3 to 10 parts by mass based on 100 parts by mass of a composite of the component (A) and the component (B).11. The conductive ...

Подробнее
09-04-2015 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20150099228A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprises a metal compound obtained from reaction of a starting metal compound having formula (A-1) or a (partial) hydrolyzate or condensate or (partial) hydrolytic condensate thereof, with a di- or trihydric alcohol having formula (A-2). 1. A resist composition comprising a metal compound obtained from reaction of at least one metal compound selected from the group consisting of a metal compound having the general formula (A-1) and a metal compound obtained from (partial) hydrolysis or condensation , or (partial) hydrolytic condensation of the metal compound of formula (A-1) , with a di- or trihydric alcohol having the general formula (A-2) ,{'br': None, 'sup': '1A', 'sub': '4', 'M(OR)\u2003\u2003(A-1)'}{'sup': '1A', 'sub': 1', '6, 'claim-text': {'br': None, 'sup': '2A', 'sub': 'm', 'R(OH)\u2003\u2003(A-2)'}, 'wherein M is an element selected from the group consisting of titanium, zirconium, and hafnium, and Ris a straight or branched C-Calkyl group,'}{'sup': 2A', '2A, 'sub': 2', '20', '1', '6, 'wherein m is 2 or 3, when m is 2, Ris a divalent group selected from the group consisting of a straight, branched or cyclic C-Calkylene, alkenylene, alkynylene or aralkylene group, a straight or branched alkylene group substituted with a cyclic alkyl, cyclic alkenyl or aryl moiety, and a straight or branched alkylene group having an intervening cyclic alkylene, cyclic alkenylene or arylene moiety, said divalent group may have a cyano moiety or be separated by a carbonyl, ester, ether, thiol or NR moiety wherein R is hydrogen or a straight or branched C-Calkyl group, and when m is 3, Ris a trivalent group corresponding to the divalent group with one hydrogen eliminated.'}2. A pattern forming process comprising the steps of coating the resist composition of onto a substrate claim 1 , baking claim 1 , exposing to high-energy radiation claim 1 , and developing with a developer.3. The process of wherein the developer is alkaline water.4. The process of ...

Подробнее
07-04-2016 дата публикации

SILICONE SKELETON-CONTAINING POLYMER COMPOUND AND METHOD FOR PRODUCING SAME, CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION, PHOTO-CURABLE DRY FILM AND METHOD FOR PRODUCING SAME, PATTERNING PROCESS, LAYERED PRODUCT, AND SUBSTRATE

Номер: US20160097973A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

The present invention provides a silicone skeleton-containing polymer compound containing a repeating unit shown by the general formula (1) and having a weight average molecular weight of 3,000 to 500,000. There can be provided a silicone skeleton-containing polymer compound suitable used as a base resin of a chemically amplified negative resist composition that can remedy the problem of delamination generated on a metal wiring such as Cu and Al, an electrode, and a substrate, especially on a substrate such as SiN, and can form a fine pattern without generating a scum and a footing profile in the pattern bottom and on the substrate when the widely used 2.38% TMAH aqueous solution is used as a developer. 3. The silicone skeleton-containing polymer compound according to claim 1 , wherein in the general formula (1) claim 1 , 0≦a≦0.5 claim 1 , 0≦b≦0.3 claim 1 , 0≦c≦0.5 claim 1 , 0≦d≦0.3 claim 1 , 0≦e≦0.8 claim 1 , 0≦f≦0.5 claim 1 , 0 Подробнее

07-04-2016 дата публикации

CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION, PHOTO-CURABLE DRY FILM, MAKING METHOD, PATTERNING PROCESS, AND ELECTRIC/ELECTRONIC PART-PROTECTING FILM

Номер: US20160097974A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A chemically amplified negative resist composition comprising a silicone structure-bearing polymer forms a film which can be readily patterned. The patterned film is tightly adherent to various substrates and suited as protective film on electric/electronic parts because of improved alkali resistance and reliability. 2. The resist composition of claim 1 , further comprising (E) at least one crosslinker selected from the group consisting of an amino condensate modified with formaldehyde or formaldehyde-alcohol claim 1 , a phenol compound having on the average at least two methylol or alkoxymethylol groups in the molecule claim 1 , and a polyhydric phenol compound in which a hydroxyl group is substituted by a glycidoxy group.4. A photo-curable dry film comprising a photo-curable resin layer having a thickness of 5 to 300 μm sandwiched between a support film and a protective film claim 1 ,{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the photo-curable resin layer being formed of the chemically amplified negative resist composition of .'}5. A method for preparing a photo-curable dry film claim 1 , comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(i) continuously coating the chemically amplified negative resist composition of onto a support film,'}(ii) continuously drying the composition to form a photo-curable resin layer on the support film, and(iii) applying a protective film onto the photo-curable resin layer.6. A pattern forming process comprising the steps of:{'claim-ref': {'@idref': 'CLM-00004', 'claim 4'}, '(I) stripping the protective film from the photo-curable dry film of and placing the bare photo-curable resin layer in close contact with a substrate,'}(II) exposing the photo-curable resin layer to high-energy radiation of wavelength 190 to 500 nm or EB through a photomask and through the support film or with the support film stripped off,(III) post-exposure bake, and(IV) developing in a developer to pattern the layer.7. The ...

Подробнее
28-03-2019 дата публикации

RADIATION-SENSITIVE COMPOSITION AND PATTERN-FORMING METHOD

Номер: US20190094689A1
Автор: SHIRATANI Motohiro
Принадлежит: JSR Corporation

A radiation-sensitive composition includes: particles including a metal oxide as a principal component; an aggregation inhibiting agent for inhibiting aggregation of the particles; and an organic solvent. The aggregation inhibiting agent is preferably a compound having dehydration ability. The compound having dehydration ability is preferably a carboxylic anhydride, an orthocarboxylic acid ester, a carboxylic acid halide or a combination thereof. As the aggregation inhibiting agent, a compound that is capable of coordinating to a metal atom is also preferred. The compound is preferably represented by formula (1). In the formula (1), Rrepresents an organic group having a valency of n; X represents —OH, —COOH, —NCO, —NHR, —COORor —CO—C(R)—CO—R; and n is an integer of 1 to 4. The content of the aggregation inhibiting agent with respect to 100 parts by mass of the particles is preferably no less than 0.001 parts by mass. 1. A radiation-sensitive composition comprising:particles comprising a metal oxide as a principal component;an aggregation inhibiting agent for inhibiting aggregation of the particles; andan organic solvent.2. The radiation-sensitive composition according to claim 1 , wherein the aggregation inhibiting agent is a compound having dehydration ability.3. The radiation-sensitive composition according to claim 2 , wherein the compound having dehydration ability is a carboxylic anhydride claim 2 , an orthocarboxylic acid ester claim 2 , a carboxylic acid halide or a combination thereof.4. The radiation-sensitive composition according to claim 1 , wherein the aggregation inhibiting agent is a compound that is capable of coordinating to a metal atom.5. The radiation-sensitive composition according to claim 4 , wherein the compound that is capable of coordinating to a metal atom is represented by formula (1):{'br': None, 'sup': '1', 'sub': 'n', 'R\ue8a0X)\u2003\u2003(1)'}wherein, in the formula (1),{'sup': '1', 'Rrepresents an organic group having a valency of n ...

Подробнее
28-03-2019 дата публикации

RADIATION-SENSITIVE COMPOSITION AND PATTERN-FORMING METHOD

Номер: US20190094691A1
Автор: Kasahara Kazuki
Принадлежит: JSR Corporation

A radiation-sensitive composition includes: particles including a metal oxide as a principal component; a radiation-sensitive acid generator; and an acid trapper, wherein a percentage content of silicon atoms with respect to an entirety of metal atoms in the composition is less than 50 atom %. The mean particle diameter of the particles is preferably no greater than 20 nm. A pattern-forming method includes: applying the aforementioned radiation-sensitive composition on a substrate to form a film; exposing the film; and developing the film exposed. A developer solution used in the developing is preferably an alkaline aqueous solution. A developer solution used in the developing may be an organic solvent-containing liquid. A radioactive ray used in the exposing is preferably an extreme ultraviolet ray or an electron beam. 1. A radiation-sensitive composition comprising:particles comprising a metal oxide as a principal component;a radiation-sensitive acid generator; andan acid trapper,wherein a percentage content of silicon atoms with respect to an entirety of metal atoms in the composition is less than 50 atom %.2. The radiation-sensitive composition according to claim 1 , wherein a content of the radiation-sensitive acid generator with respect to a total solid content in the composition is no less than 1% by mass and no greater than 40% by mass.3. The radiation-sensitive composition according to claim 1 , wherein a content of the acid trapper with respect to a total solid content in the composition is no less than 1% by mass and no greater than 40% by mass.4. The radiation-sensitive composition according to claim 1 , wherein a mean particle diameter of the particles is no greater than 20 nm.5. A pattern-forming method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'applying the radiation-sensitive composition according to on a substrate to form a film;'}exposing the film; anddeveloping the film exposed.6. The pattern-forming method according to claim 5 ...

Подробнее
05-04-2018 дата публикации

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20180096840A1
Принадлежит:

A method for manufacturing a semiconductor device includes forming an etch target layer on a semiconductor substrate, forming a first photoresist pattern disposed on the etch target layer, irradiating ultraviolet (UV) light in an oxygen-containing atmosphere to remove the first photoresist pattern from the etch target layer, and forming a second photoresist pattern on the etch target layer. 1. A method of manufacturing a semiconductor device comprising:forming an etch target layer on a semiconductor substrate;forming a first photoresist pattern on the etch target layer;removing the first photoresist pattern from the etch target layer by irradiating ultraviolet (UV) light in an oxygen-containing atmosphere; andforming a second photoresist pattern on the etch target layer.2. The method of claim 1 , wherein the etch target layer includes a hardmask layer disposed on the semiconductor substrate claim 1 , and a silicon-containing anti-reflection film disposed on the hardmask layer.3. The method of claim 2 , wherein the anti-reflection film has a carbon content of 10 wt % or less.4. The method of claim 3 , wherein the forming of the etch target layer includes baking the anti-reflection film using UV light in an oxygen-containing atmosphere.5. The method of claim 2 , wherein the hardmask layer includes an organic compound.6. The method of claim 5 , further comprising claim 5 , after theremoving the first photoresist pattern claim 5 , removing the anti-reflection film claim 5 , and removing the hardmask layer by irradiating UV light in an oxygen-containing atmosphere claim 5 , and before the forming of the second photoresist pattern claim 5 , sequentially forming an additional hardmask layer and an additional anti-reflection film on the semiconductor substrate.7. The method of claim 1 , wherein the etch target layer includes a material having a carbon content of 10 wt % or less .8. The method of claim 1 , wherein the etch target layer is a silicon compound layer or a metal ...

Подробнее
12-04-2018 дата публикации

MATERIAL FOR FORMING UNDERLAYER FILM FOR LITHOGRAPHY, COMPOSITION FOR FORMING UNDERLAYER FILM FOR LITHOGRAPHY, UNDERLAYER FILM FOR LITHOGRAPHY AND PATTERN FORMING METHOD

Номер: US20180101096A1
Принадлежит:

A material for forming an underlayer film for lithography, in which a compound represented by the following formula (0) is used. 10. A composition for forming an underlayer film for lithography claim 1 , comprising the material for forming an underlayer film for lithography according to claim 1 , and a solvent.11. The composition for forming an underlayer film for lithography according to claim 10 , further comprising an acid generator.12. The composition for forming an underlayer film for lithography according to claim 10 , further comprising a crosslinking agent.13. An underlayer film for lithography claim 10 , formed using the composition for forming an underlayer film for lithography according to .14. A resist pattern forming method comprising{'claim-ref': {'@idref': 'CLM-00010', 'claim 10'}, 'forming an underlayer film on a substrate by using the composition for forming an underlayer film according to , forming at least one photoresist layer on the underlayer film, and then irradiating a predetermined region of the photoresist layer with radiation, and developing the photoresist layer.'}15. A circuit pattern forming method comprising{'claim-ref': {'@idref': 'CLM-00010', 'claim 10'}, 'forming an underlayer film on a substrate by using the composition for forming an underlayer film according to , forming an intermediate layer film on the underlayer film by using a silicon atom-containing resist intermediate layer film material, forming at least one photoresist layer on the intermediate layer film, then irradiating a predetermined region of the photoresist layer with radiation, and developing the photoresist layer to form a resist pattern, thereafter etching the intermediate layer film with the resist pattern as a mask, etching the underlayer film with the resulting intermediate layer film pattern as an etching mask, and etching the substrate with the resulting underlayer film pattern as an etching mask, to form a pattern on the substrate.'} The present invention ...

Подробнее
12-04-2018 дата публикации

TREATMENT LIQUID AND PATTERN FORMING METHOD

Номер: US20180101100A1
Принадлежит: FUJIFILM Corporation

A treatment liquid for rinsing and patterning a resist film obtained from an actinic ray-sensitive composition includes an organic solvent in which a content of a compound containing a sulfur atom in the treatment liquid is 10 mmol/L or lower, and the organic solvent is a hydrocarbon solvent. A pattern forming method includes: forming a resist film using an actinic ray-sensitive or radiation-sensitive composition; exposing the resist film; and treating the exposed resist film with the treatment liquid. 1. A treatment liquid for rinsing and patterning a resist film obtained from an actinic ray-sensitive or radiation-sensitive composition , the treatment liquid including an organic solvent ,whereina content of a compound containing a sulfur atom in the treatment liquid is 10 mmol/L or lower, andthe organic solvent is a hydrocarbon solvent.2. The treatment liquid according to claim 1 ,wherein the organic solvent is a hydrocarbon solvent having 5 or more carbon atoms.3. The treatment liquid according to claim 1 ,wherein the hydrocarbon solvent includes undecane.4. The treatment liquid according to claim 1 ,wherein a content of a compound containing a phosphorus atom in the treatment liquid is 10 mmol/L or lower.5. The treatment liquid according to claim 1 ,wherein a boiling point of the compound containing a sulfur atom is 190° C. or higher.6. A pattern forming method comprising:forming a resist film using an actinic ray-sensitive or radiation-sensitive composition;exposing the resist film; and{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'treating the exposed resist film with the treatment liquid according to .'}7. The pattern forming method according to claim 6 ,wherein the treating includes rinsing with a rinsing liquid, andthe rinsing liquid is the treatment liquid. This application is a Continuation of PCT International Application No. PCT/JP2016/064697 filed on May 18, 2016, which claims priority under 35 U.S.C § 119(a) to Japanese Patent Application No. 2015- ...

Подробнее
26-03-2020 дата публикации

PORTION OF LAYER REMOVAL AT SUBSTRATE EDGE

Номер: US20200096860A1
Автор: DAGAN Eli, Wu Banqiu
Принадлежит:

Generally, examples described herein relate to systems and methods for processing a substrate, and more particularly, for removing an edge bead or other source of contamination from an edge of a substrate. An example is a processing system including a chamber, a substrate handler within the chamber, and a radiation generator within the chamber. The substrate handler is configured to secure a substrate. The substrate handler is operable to position an edge surface of the substrate such that radiation propagating from the radiation generator is directed to the edge surface of the substrate, and operable to position a periphery region of a deposit surface of the substrate that is perpendicular to and along the edge surface such that radiation propagating from the radiation generator is directed to the periphery region. 1. A processing system comprising:a chamber;a substrate handler within the chamber, the substrate handler being configured to secure a substrate; anda radiation generator within the chamber, the substrate handler further being operable to position an edge surface of the substrate such that radiation propagating from the radiation generator is directed to the edge surface of the substrate, and operable to position a periphery region of a deposit surface of the substrate that is perpendicular to and along the edge surface such that radiation propagating from the radiation generator is directed to the periphery region of the deposit surface.2. The processing system of further comprising an extractor housing comprising:sidewalls defining an interior space and an opening, the interior space being fluidly coupled to an outlet; anda transparent window disposed on the sidewalls opposite from the opening, the radiation generator being positioned to direct radiation through the transparent window, the interior space, and the opening.3. The processing system of claim 1 , wherein the substrate handler includes a first moveable stage and a second moveable stage claim ...

Подробнее
13-04-2017 дата публикации

Ebeam universal cutter

Номер: US20170102615A1
Принадлежит: Intel Corp

Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. In an example, a blanker aperture array (BAA) for an e-beam tool includes a first column of openings along a first direction. The BAA also includes a second column of openings along the first direction and staggered from the first column of openings. The first and second columns of openings together form an array having a pitch in the first direction. A scan direction of the BAA is along a second direction, orthogonal to the first direction. The pitch of the array corresponds to half of a minimal pitch layout of a target pattern of lines for orientation parallel with the second direction.

Подробнее
08-04-2021 дата публикации

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, PATTERN FORMING METHOD, AND PHOTO MASK

Номер: US20210103217A1
Принадлежит: FUJIFILM Corporation

The present invention provides an actinic ray-sensitive or radiation-sensitive resin composition including, as a solvent, ethyl lactate in which one of an L isomer or a D isomer of optical isomers has a ratio of 1% or more higher than that of the other, an actinic ray-sensitive or radiation-sensitive film formed using the actinic ray-sensitive or radiation-sensitive resin composition, a pattern forming method, a photo mask, and a method for producing an electronic device. 1. An actinic ray-sensitive or radiation-sensitive resin composition , comprising a solvent comprising ethyl lactate in which one of an L isomer or a D isomer of optical isomers has a ratio of 1% or more higher than that of the other.2. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 ,wherein a content of ethyl lactate is 10% by mass or more with respect to a total amount of the solvent.3. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , further comprising a resin having a solubility in a developer which is changed by an action of an acid.4. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , further comprising a compound that generates an acid upon irradiation with actinic rays or radiation.5. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 1 , further comprising an acid diffusion control agent.6. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 4 ,wherein an acid produced from the compound that generates an acid upon irradiation with actinic rays or radiation has a pKa from −10 to 5.7. The actinic ray-sensitive or radiation-sensitive resin composition according to claim 3 ,wherein the resin having a solubility in a developer which is changed by the action of an acid is a resin having a group having a polarity which is increased upon decomposition by an action of an acid, and the group having a polarity ...

Подробнее
23-04-2015 дата публикации

Reflective Diffraction Grating and Method for the Production Thereof

Номер: US20150109672A1
Принадлежит:

A reflective diffraction grating includes a substrate and a reflection-enhancing interference layer system. The reflection-enhancing interference layer system has alternating low refractive index dielectric layers having a refractive index n1 and high refractive index dielectric layers having a refractive index n2>n1. The reflective diffraction grating also includes a grating containing a grating structure, which is formed in the topmost low refractive index layer on a side of the interference layer system facing away from the substrate, and a cover layer, which conformally covers the grating structure. The cover layer has a refractive index n3>n1. 115-. (canceled)16. A reflective diffraction grating , comprising:a substrate;{'b': 1', '2', '2', '1, 'a reflection-enhancing interference layer system, which has alternating low refractive index dielectric layers having a refractive index n and high refractive index dielectric layers having a refractive index n, where n>n; and'}{'b': 3', '3', '1, 'a grating, comprising a grating structure, which is formed in a topmost low refractive index layer on a side of the interference layer system facing away from the substrate, and a cover layer, which conformally covers the grating structure, wherein the cover layer has a refractive index n, where n>n.'}173. The reflective diffraction grating according to claim 16 , wherein n>1.6.18. The reflective diffraction grating according to claim 16 , wherein the cover layer comprises a dielectric layer.19. The reflective diffraction grating according to claim 16 , wherein the cover layer is formed from the same material as the high refractive index layers of the interference layer system.2031. The reflective diffraction grating according to claim 16 , wherein n−n>0.4.2121. The reflective diffraction grating according to claim 16 , wherein n−n>0.4.22. The reflective diffraction grating according to claim 16 , wherein the low refractive index layers comprise silicon dioxide.23. The ...

Подробнее
19-04-2018 дата публикации

Quantum dot-polymer composite film, method of manufacturing the same, and device including the same

Номер: US20180105739A1

A quantum dot-polymer composite film includes: a plurality of quantum dots, wherein a quantum dot of the plurality of quantum dots includes an organic ligand on a surface of a the quantum dot; a cured product of a photopolymerizable monomer including a carbon-carbon unsaturated bond; and a residue including a residue of a high-boiling point solvent, a residue of a polyvalent metal compound, or a combination thereof.

Подробнее
20-04-2017 дата публикации

Lithographic Patterning

Номер: US20170108778A1
Принадлежит:

This disclosure provides embodiments of an approach that enforces coexistence of multiple, aligned block copolymer morphologies within a single patterning layer. 1. A method for creating patterns on a surface of a substrate , comprisingdepositing a polymer brush onto the surface of the substrate;depositing a resist layer;forming grating patterns onto the resists;developing the grating patterns;etching to transfer the grating pattern to the polymer brush; anddepositing a block copolymer film onto to the substrate;2. The method of claim 1 , wherein the block copolymer films comprises PS-PMMA (polystyrene-poly(methylmethacrylate)) claim 1 , PS-P2VP (polystyrene-b-2-vinylpyridine) claim 1 , PS-P4VP (polystyrene-b-4-vinylpyridine) claim 1 , PS-PEO (polystyrene-b-polyethylene oxide) claim 1 , or PS-PDMS (polystyrene-b-polydimethylsiloxane).3. The method of claim 1 , wherein block copolymer has number average molecular weights ranging approximately from about 5 kg/mol to about 500 kg/mol.4. The method of claim 2 , wherein more than 2 copolymers are included.5. The method of claim 1 , the forming grating patterns onto the resists is achieved by electron beam lithography photolithography claim 1 , ion beam lithography claim 1 , laser lithography claim 1 , interference lithography claim 1 , or imprint lithography.6. The method of claim 1 , further comprising:plasma cleaning the substrate before depositing the polymer brush.7. The method of claim 1 , further comprising:annealing the block copolymer film.8. The method of claim 1 , wherein block copolymer film is has a predetermined pattern.9. The method of claim 8 , wherein the predetermined pattern comprises lines.10. The method of claim 8 , wherein the predetermined pattern comprises ordered dots.11. The method of claim 10 , wherein the dots are structured into hexagonal dot arrays.12. The method of claim 8 , wherein the predetermined pattern comprises lines and ordered dots.13. The method of claim 1 , wherein the grating ...

Подробнее
11-04-2019 дата публикации

RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND, AND COMPOUND

Номер: US20190107779A1
Принадлежит:

A resist composition including a resin component having a structural unit derived from a compound represented by formula (a0-1), in which W represents a polymerizable group-containing group; Rarepresents an alkyl group or an aromatic heterocyclic group containing an oxygen atom or a sulfur atom; in the case where Rais an aromatic heterocyclic group containing an oxygen atom or a sulfur atom, Rais a group which forms an aliphatic cyclic group containing an electron-withdrawing group, together with the tertiary carbon atom (*C) to which Rais bonded; and when Rais an alkyl group, Rais a group in which an aliphatic cyclic group containing an electron-withdrawing group forms a condensed ring together with an aromatic heterocyclic group containing an oxygen atom or a sulfur atom. 5. A method of forming a resist pattern , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'forming a resist composition using the resist film according to ;'}exposing the resist film; anddeveloping the exposed resist film to form a resist pattern. The present invention relates to a resist composition, a method of forming a resist pattern, a polymeric compound, and a compound.Priority is claimed on Japanese Patent Application No. 2017-195388, filed Oct. 5, 2017, the content of which is incorporated herein by reference.In lithography techniques, for example, a resist film composed of a resist material is formed on a substrate, and the resist film is subjected to selective exposure, followed by development, thereby forming a resist pattern having a predetermined shape on the resist film. A resist material in which the exposed portions of the resist film become soluble in a developing solution is called a positive-type, and a resist material in which the exposed portions of the resist film become insoluble in a developing solution is called a negative-type.In recent years, in the production of semiconductor elements and liquid crystal display elements, advances in lithography techniques ...

Подробнее
10-07-2014 дата публикации

Method of generating a metamaterial, and a metamaterial generated thereof

Номер: US20140193301A1
Принадлежит: NANYANG TECHNOLOGICAL UNIVERSITY

A method of generating a metamater-operable in the visible-infrared range is provided. The method comprises a) depositing a layer of a conductive material on a substrate; b) forming a layer of electron beam resist on the layer of conductive material; c) patterning the layer of electron beam resist using electron beam lithography to form a patterned substrate; d) depositing a layer of a noble metal on the patterned substrate; and e) removing the resist. A metamaterial operable in the visible-infrared range comprising split-ring resonators having a least line width of about 20 nm to about 40 nm on a substrate is provided. A transparent photonic device or a sensor for chemical or biological sensing comprising the metamaterial is also provided.

Подробнее
10-07-2014 дата публикации

COMPOSITIONS OF NEUTRAL LAYER FOR DIRECTED SELF ASSEMBLY BLOCK COPOLYMERS AND PROCESSES THEREOF

Номер: US20140193754A1

The present invention relates to novel neutral layer compositions and methods for using the neutral layer compositions for aligning microdomains of directed self-assembling block copolymers (BCP). The compositions and processes are useful for fabrication of electronic devices. The neutral layer composition comprises at least one random copolymer having at least one unit of structure (1), at least one unit of structure (2) and at least one unit of structure (3) 1. A process for forming an image by graphoepitaxy comprising:a) forming a coating of the neutral layer on a substrate;b) heating the neutral layer to form a crosslinked neutral layer;c) providing a coating of a photoresist layer over the crosslinked neutral layer;d) forming a pattern in the photoresist;e) applying a block copolymer comprising an etch resistant block and highly etchable block over the photoresist pattern and annealing until directed self assembly occurs; and,f) etching the block copolymer, thereby removing the highly etchable block of the copolymer and forming a pattern.2. The process of where the photoresist pattern is formed by imaging lithography selected from a group consisting of e-beam claim 1 , broadband claim 1 , 193 nm immersion lithography claim 1 , 13.5 nm claim 1 , 193 nm claim 1 , 248 nm claim 1 , 365 nm and 436 nm.3. The process of claim 1 , where the photoresist is a positive or negative tone developable photoresist.4. A process for forming an image by chemoepitaxy comprising:a) forming a coating of a neutral layer on a substrate;b) heating the neutral layer to form a crosslinked neutral layer;c) providing a coating of a photoresist layer over the crosslinked neutral layer;d) forming a pattern in the photoresist layer to remove the unexposed photoresist, thereby forming an uncovered crosslinked neutral layer region;e) treating the uncovered crosslinked neutral layer region,f) removing the photoresist,g) applying a block copolymer comprising an etch resistant block and highly ...

Подробнее
27-04-2017 дата публикации

RESIST COMPOSITION, PATTERNING PROCESS, AND BARIUM, CESIUM AND CERIUM SALTS

Номер: US20170115566A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a base resin comprising acid labile group-containing recurring units and preferably acid generator-containing recurring units, and a sodium, magnesium, potassium, calcium, rubidium, strontium, yttrium, cesium, barium or cerium salt of α-fluorinated sulfonic acid bonded to an alkyl, alkenyl, alkynyl or aryl group exhibits a high resolution and sensitivity and forms a pattern of satisfactory profile with minimal LWR after exposure and development. 4. The resist composition of wherein the base resin comprises recurring units of the formula (b2).5. The resist composition of claim 1 , further comprising an acid generator claim 1 , the composition being a chemically amplified positive resist composition.6. The resist composition of claim 1 , further comprising at least one component selected from among an organic solvent claim 1 , a dissolution inhibitor claim 1 , a basic compound claim 1 , and a surfactant.7. A pattern forming process comprising the steps of coating the resist composition of onto a substrate claim 1 , baking claim 1 , exposing the resulting resist film to high-energy radiation claim 1 , and developing with a developer.8. The process of wherein the high-energy radiation is EUV of wavelength 3 to 15 nm.9. The process of wherein the high-energy radiation is EB at an accelerating voltage of 1 to 150 kV.10. The process of wherein in the step of exposing the resist film to high-energy radiation claim 8 , the surface of the substrate underneath the resist film is electrically charged positive. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application Nos. 2015-208583, 2016-134659 and 2016-181229 filed in Japan on Oct. 23, 2015, Jul. 7, 2016 and Sep. 16, 2016, respectively, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition, a patterning process using the same, and barium, cesium and cerium salts.To meet the demand for higher ...

Подробнее
27-04-2017 дата публикации

Resin composition, resist pattern-forming method and polymer

Номер: US20170115570A1
Автор: Hayato Namai
Принадлежит: JSR Corp

A resin composition comprises a polymer comprising a structural unit that comprises a group represented by formula (1), and a solvent. In the formula (1), R 1 to R 4 each independently represent a hydrogen atom, a fluorine atom or a monovalent organic group having 1 to 20 carbon atoms, wherein at least one of R 1 to R 4 has the fluorine atom or a group including the fluorine atom. R 5 represents a substituted or unsubstituted trivalent chain hydrocarbon group having 1 to 7 carbon atoms. * denotes a binding site to other moiety of the structural unit. The structural unit is preferably represented by any one of formulae (2-1) to (2-3). In the formulae (2-1) to (2-3), Z represents a group represented by formula (1).

Подробнее
18-04-2019 дата публикации

Resist composition and patterning process

Номер: US20190113842A1
Принадлежит: Shin Etsu Chemical Co Ltd

A resist composition comprising a base polymer and a sulfonium salt of brominated indole or brominated indazole carboxylic acid offers a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone.

Подробнее
18-04-2019 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20190113843A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a base polymer and a sulfonium or iodonium salt capable of generating a brominated benzene-containing sulfonic acid offers a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone. 2. The resist composition of wherein m is equal to 2 or 3.3. The resist composition of wherein the sulfonium salt and/or iodonium salt functions as a quencher.4. The resist composition of claim 3 , further comprising an acid generator capable of generating sulfonic acid claim 3 , imide acid or methide acid.5. The resist composition of wherein the sulfonium salt and/or iodonium salt functions as an acid generator.6. The resist composition of claim 5 , further comprising a quencher.7. The resist composition of claim 1 , further comprising an organic solvent.9. The resist composition of claim 8 , further comprising a dissolution inhibitor.10. The resist composition of which is a chemically amplified positive resist composition.11. The resist composition of wherein the base polymer is free of an acid labile group.12. The resist composition of claim 11 , further comprising a crosslinker.13. The resist composition of which is a chemically amplified negative resist composition.14. The resist composition of claim 1 , further comprising a surfactant.16. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate claim 1 , baking to form a resist film claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed film in a developer.17. The process of wherein the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.18. The process of wherein the high-energy radiation is EB or EUV of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2017-200092 filed in Japan on Oct. 16, 2017, the entire ...

Подробнее
18-04-2019 дата публикации

RESIST COMPOSITION AND PATTERNING PROCESS

Номер: US20190113844A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A resist composition comprising a base polymer and a sulfonium salt of thiophenecarboxylic acid offers a high sensitivity, minimal LWR and improved CDU independent of whether it is of positive or negative tone. 2. The resist composition of claim 1 , further comprising an acid generator capable of generating sulfonic acid claim 1 , imide acid or methide acid.3. The resist composition of claim 1 , further comprising an organic solvent.5. The resist composition of claim 4 , further comprising a dissolution inhibitor.6. The resist composition of which is a chemically amplified positive resist composition.7. The resist composition of wherein the base polymer is free of an acid labile group.8. The resist composition of claim 7 , further comprising a crosslinker.9. The resist composition of which is a chemically amplified negative resist composition.10. The resist composition of claim 1 , further comprising a surfactant.12. A process for forming a pattern comprising the steps of applying the resist composition of onto a substrate claim 1 , baking to form a resist film claim 1 , exposing the resist film to high-energy radiation claim 1 , and developing the exposed film in a developer.13. The process of wherein the high-energy radiation is ArF excimer laser radiation of wavelength 193 nm or KrF excimer laser radiation of wavelength 248 nm.14. The process of wherein the high-energy radiation is EB or EUV of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2017-199418 filed in Japan on Oct. 13, 2017, the entire contents of which are hereby incorporated by reference.This invention relates to a resist composition and a pattern forming process.To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. The wide-spreading flash memory market and the demand for increased storage capacities drive forward the miniaturization ...

Подробнее
04-05-2017 дата публикации

PATTERN FORMING METHOD, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, ELECTRONIC DEVICE, ACTIVE-LIGHT-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM AND MASK BLANK

Номер: US20170121437A1
Автор: Tsuchimura Tomotaka
Принадлежит: FUJIFILM Corporation

A pattern forming method includes forming a film using an actinic ray-sensitive or radiation-sensitive resin composition, exposing the film with active light or radiation, and developing the exposed film using a developer including an organic solvent, in which the actinic ray-sensitive or radiation-sensitive resin composition contains a compound having a partial structure represented by General Formula (I). 5. The pattern forming method according to claim 1 , wherein the actinic ray-sensitive or radiation-sensitive resin composition further comprises a compound that generates an acid by active light or radiation.6. The pattern forming method according to claim 5 , wherein the compound that generates an acid by active light or radiation is a compound that generates an acid in a size for a volume of 240 Angstromor more.7. The pattern forming method according to claim 1 , which uses electron beams or extreme ultraviolet rays as the active light or radiation.8. A method for manufacturing an electronic device claim 1 , comprising the pattern forming method according to .11. A resist film formed by using the actinic ray-sensitive or radiation-sensitive resin composition according to .12. A mask blank comprising the resist film according to . This application is a Continuation of PCT International Application No. PCT/JP2015/64462, filed on May 20, 2015, which claims priority under 35 U.S.C. §119(a) to Japanese Patent Application No. 2014-157599, filed on Aug. 1, 2014. Each of the above application(s) is hereby expressly incorporated by reference, in its entirety, into the present application.1. Field of the InventionThe present invention relates to a pattern forming method using a developer including an organic solvent, which is appropriately used in an ultramicrolithography process for the manufacture of a super-LSI or a high-capacity microchip, or the like, or other photofabrication processes; a method for manufacturing an electronic device; and an electronic device. ...

Подробнее
25-04-2019 дата публикации

CROSS SCAN PROXIMITY CORRECTION WITH EBEAM UNIVERSAL CUTTER

Номер: US20190121236A1
Автор: Borodovsky Yan A.
Принадлежит:

Lithographic apparatuses suitable for, and methodologies involving, complementary e-beam lithography (CEBL) are described. In an example, a column for an e-beam direct write lithography tool includes a first blanker aperture array (BAA) including a staggered array of openings having a pitch along an array direction. The array direction is orthogonal to a scan direction. Each opening has a first dimension in the array direction. The column also includes a second BAA including a staggered array of openings having the pitch along the array direction. Each opening has a second dimension in the array direction, the second dimension greater than the first dimension. 1. (canceled)2. A column for an e-beam direct write lithography tool , the column comprising:a first blanker aperture array (BAA) comprising a staggered array of openings having a pitch along an array direction, the array direction orthogonal to a scan direction, wherein openings of the staggered array of openings of the first BAA do not overlap with one another when scanned along the scan direction; anda second BAA comprising a staggered array of openings having the pitch along the array direction, wherein openings of the staggered array of openings of the second BAA overlap with one another when scanned along the scan direction.3. The column of claim 2 , wherein each opening of the first BAA has a dimension parallel with the scan direction claim 2 , and wherein each opening of the second BAA has the dimension parallel with the scan direction.4. The column of claim 2 , wherein the first BAA is for cutting dense lines claim 2 , and wherein the second BAA is for cutting isolated lines.5. The column of claim 2 , wherein the first BAA is for forming dense vias claim 2 , and wherein the second BAA is for forming isolated vias.6. The column of claim 2 , wherein the pitch of the first and second BAAs corresponds to half of a minimal pitch layout of a target pattern of lines for orientation parallel with the scan ...

Подробнее
14-05-2015 дата публикации

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM USING THE SAME, PATTERN FORMING METHOD, MANUFACTURING METHOD OF ELECTRONIC DEVICE, AND ELECTRONIC DEVICE

Номер: US20150132687A1
Принадлежит: FUJIFILM Corporation

There is provided an actinic ray-sensitive or radiation-sensitive resin composition comprising (P) a resin containing a repeating unit represented by the specific formula (1) and a repeating unit represented by the specific formula (A); a resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition; a pattern forming method comprising (i) a step of forming a film from the actinic ray-sensitive or radiation-sensitive resin composition, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using a developer to form a pattern; a method for manufacturing an electronic device, comprising the pattern forming method, and an electronic device manufactured by the manufacturing method of an electronic device. 2. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,{'sub': 1a', '1b', '1c, 'wherein in formula (1), each of R, Rand Ris independently an alkyl group or a cycloalkyl group.'}3. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,{'sub': '3', 'wherein in formula (1), Ris a hydrogen atom.'}4. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,wherein in formula (1), L is a single bond, a divalent aromatic group, a divalent group having a norbornylene group, or a divalent group having an adamantylene group.7. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 , further comprising a compound capable of generating an acid upon irradiation with an actinic ray or radiation.8. A resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition claimed in .9. A pattern forming method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(i) a step of forming a film from the actinic ray-sensitive or radiation-sensitive resin composition claimed in ,'}(ii) a step of exposing the film, and(iii) a step of developing the exposed film by ...

Подробнее
04-05-2017 дата публикации

INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM

Номер: US20170125212A1
Автор: Tsunoda Dai
Принадлежит:

In order to solve the problem that information indicating three or more points on a contour of a figure drawn by an electron beam writer cannot be more precisely acquired, an information processing apparatus includes: an accepting unit that accepts pattern information indicating a pattern figure, and actually observed contour information acquired using an image obtained by capturing an image of a figure drawn by an electron beam writer; a transforming information acquiring unit that acquires transforming information, which is information that minimizes the sum of squares of differences between convolution values corresponding to three or more corrected contour points of a given point spread function in a region indicated by the pattern figure indicated by the pattern information and a threshold regarding the convolution values; a corrected contour point acquiring unit that acquires corrected contour point information, which is information indicating three or more corrected contour points respectively corresponding to three or more actually observed contour points, using the transforming information; and an output unit that outputs the corrected contour point information. Accordingly, it is possible to more precisely acquire information indicating three or more points on a contour of a figure drawn by an electron beam writer. 1. An information processing apparatus comprising:an accepting unit that accepts pattern information, which is information indicating a pattern figure that is a figure that is to be drawn by an electron beam writer, and actually observed contour information, which is information acquired using an image obtained by capturing an image of a figure drawn according to the pattern figure by the electron beam writer, and indicating an actually observed contour that is a contour of the figure;a transforming information acquiring unit that acquires, using the pattern information and the actually observed contour information, transforming information, ...

Подробнее
31-07-2014 дата публикации

Controlling Dimensions of Nanowires

Номер: US20140209469A1
Принадлежит: Hewlett Packard Development Co LP

Controlling dimensions of nanowires includes lithographically forming a trench in a layer of a polymer resin with a width less than one micrometer where the polymer resin has a thickness less than one micrometer and is deposited over an electrically conductive substrate, depositing a nanowire material within the trench to form a nanowire, and obtaining the nanowire from the trench with a removal mechanism.

Подробнее
12-05-2016 дата публикации

Novel onium salt compound, resist composition, and pattern forming process

Номер: US20160131972A1
Принадлежит: Shin Etsu Chemical Co Ltd

Sulfonium and iodonium salts of a carboxylate having an aromatic ring to which a nitrogen-containing alkyl or cyclic structure is attached are novel. The onium salt functions as an acid diffusion controlling agent in a resist composition, enabling to form a pattern of good profile with high resolution, improved MEF, LWR and DOF.

Подробнее
21-05-2015 дата публикации

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, USING THE SAME, PATTERN FORMING METHOD, MANUFACTURING METHOD OF ELECTRONIC DEVICE, AND ELECTRONIC DEVICE

Номер: US20150140484A1
Принадлежит: FUJIFILM Corporation

There is provided an actinic ray-sensitive or radiation-sensitive resin composition comprising: (A) a resin containing a repeating unit represented by the first specific formula and a repeating unit represented by the second specific formula, wherein the content of the repeating unit represented by the first specific formula is 35 mol % or more based on all repeating units in the resin (A), a resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition. 2. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,wherein the content of the repeating unit represented by formula (1-1) is 55 mol % or more based on all repeating units in the resin (A).3. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,wherein Ra in formula (1-1) is a methyl group.4. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,{'sub': '1', 'wherein Lin formula (1-1) is a single bond.'}5. The actinic ray-sensitive or radiation-sensitive resin composition as claimed in claim 1 ,wherein the content of the repeating unit represented by formula (1-2) is from 15 to 65 mol % based on all repeating units in the resin (A).10. A resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition claimed in .11. A pattern forming method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'a step of forming a film from the actinic ray-sensitive or radiation-sensitive resin composition claimed in ,'}(ii) a step of exposing the film, and(iii) a step of developing the exposed film by using a developer to form a pattern.12. A pattern forming method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(i) a step of forming a film from the actinic ray-sensitive or radiation-sensitive resin composition claimed in ,'}(ii) a step of exposing the film, and(iii′) a step of developing the exposed film by using an organic solvent- ...

Подробнее
11-05-2017 дата публикации

SYSTEMS INCLUDING A BEAM PROJECTION DEVICE PROVIDING VARIABLE EXPOSURE DURATION RESOLUTION AND METHODS OF OPERATING THE SAME

Номер: US20170133199A1
Принадлежит:

A system includes an aperture array comprising a plurality of active apertures, respective ones of the active apertures configured to selectively deflect beams passing therethrough. The system also includes a limiting aperture configured to pass beams not deflected by the active apertures to a target object. The system further includes a control circuit configured to control the active apertures to provide first and second different exposure duration resolutions. 1. A beam projection device , comprising:a beam source configured to radiate a first beam;an aperture plate having a first array of apertures therein, respective ones of the apertures configured to generate respective second beams from the first beam;a blanking aperture plate having an array of blanking apertures therein corresponding to respective apertures of the first array of apertures and configured to selectively deflect second beams passing therethrough responsive to voltages applied to respective potential electrodes of the blanking apertures;a limiting aperture plate comprising a limiting aperture configured to pass ones of the second beams not deflected by the blanking apertures; anda plurality of electrode control circuits, respective ones of which are configured to apply voltages to respective ones of the potential electrodes,wherein, during a first time interval, the plurality of electrode control circuits applies voltages to the potential electrodes for durations based on clock signal with a first frequency and wherein, during a second time interval, the plurality of electrode control circuits applies voltages to the potential electrodes for durations based on a clock signal with a second frequency different from the first frequency.2. The beam projection device of claim 1 , wherein during the first time interval claim 1 , each electrode control circuit is configured to adjust a time when the ground voltage is applied to an associated potential electrode and a time when the non-ground voltage ...

Подробнее
02-05-2019 дата публикации

Resist compositions

Номер: US20190129301A1
Принадлежит: ASML Netherlands BV

A resist composition having a) metal-containing nanoparticles and/or nanoclusters, and b) ligands and or organic linkers, wherein one or both of a) or b) are multivalent. A resist composition wherein: the resist composition is a negative resist and the nanoparticles and/or nanoclusters cluster upon crosslinking of the ligands and/or organic linkers following exposure to electromagnetic radiation or an electron beam; or the resist composition is a negative resist and the ligands and/or organic linkers are crosslinked and the crosslinking bonds are broken upon exposure to electromagnetic radiation or an electron beam allowing the nanoparticles and/or nanoclusters to cluster together; or the resist composition is a positive resist and the ligands and/or organic linkers are crosslinked and the crosslinking bonds are broken upon exposure to electromagnetic radiation or an electron beam.

Подробнее
17-05-2018 дата публикации

Chemically Amplified Resist Composition and Patterning Process

Номер: US20180136558A1
Автор: Hatakeyama Jun
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A chemically amplified resist composition comprising a quencher containing an iodonium iodide and an acid generator exhibits a sensitizing effect and an acid diffusion suppressing effect and forms a pattern having improved resolution, LWR and CDU. 1. A chemically amplified resist composition comprising a quencher containing an iodonium iodide , and an acid generator.3. The resist composition of wherein the acid generator is capable of generating sulfonic acid claim 1 , imidic acid or methide acid.4. The resist composition of claim 1 , further comprising a base polymer.6. The resist composition of wherein the acid generator also functions as a base polymer.9. The resist composition of which is a chemically amplified positive resist composition.10. The resist composition of wherein the base polymer is an acid labile group-free polymer.11. The resist composition of which is a chemically amplified negative resist composition.12. The resist composition of claim 1 , further comprising an organic solvent.13. The resist composition of claim 1 , further comprising a surfactant.14. A pattern forming process comprising the steps of coating the resist composition of onto a substrate claim 1 , baking claim 1 , exposing the resulting resist film to high-energy radiation claim 1 , and developing the resist film with a developer.15. The process of wherein the high-energy radiation is ArF excimer laser of wavelength 193 nm or KrF excimer laser of wavelength 248 nm.16. The process of wherein the high-energy radiation is EB or EUV of wavelength 3 to 15 nm. This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2016-221648 filed in Japan on Nov. 14, 2016, the entire contents of which are hereby incorporated by reference.This invention relates to a chemically amplified resist composition comprising a quencher containing an iodonium iodide and an acid generator, and a patterning process using the same.To meet the demand for higher integration ...

Подробнее
28-05-2015 дата публикации

NEGATIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS

Номер: US20150147698A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A negative resist composition comprising a polymer comprising recurring units (a) of formula (1) and having a Mw of 1,000-500,000 as base resin is provided. Ris H or methyl, X is a single bond or —C(═O)—O—R—, Ris a single bond or C-Calkylene, Ris C-Calkylene, Ris a single bond or C-Calkylene, and 0 Подробнее

28-05-2015 дата публикации

PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE

Номер: US20150147699A1
Принадлежит: FUJIFILM Corporation

The pattern forming method of the present invention includes (i) forming a film using an actinic ray-sensitive or radiation-sensitive resin composition which contains a resin (A) which has a repeating unit including a group capable of generating a polar group by being decomposed due to an action of an acid and a repeating unit including a carboxyl group, a compound (B) which generates an acid according to irradiation with actinic rays or radiation, and a solvent (C); (ii) exposing the film using a KrF excimer laser, extreme ultraviolet rays, or an electron beam; and (iii) forming a negative tonetone pattern by developing the exposed film using a developer which includes an organic solvent. 1. A pattern forming method comprising:(i) forming a film using an actinic ray-sensitive or radiation-sensitive resin composition which containsa resin (A) which has a repeating unit including a group capable of generating a polar group by being decomposed due to an action of an acid and a repeating unit including a carboxyl group,a compound (B) which generates an acid according to irradiation with actinic rays or radiation, anda solvent (C);(ii) exposing the film using a KrF excimer laser, extreme ultraviolet rays, or an electron beam; and(iii) forming a negative tone pattern by developing the exposed film using a developer which includes an organic solvent.2. The pattern forming method according to claim 1 , wherein the exposing in (ii) is exposing using the KrF excimer laser.5. The pattern forming method according to claim 3 , wherein in the general formula (1) claim 3 , L represents a single bond claim 3 , an alkylene group claim 3 , an aromatic ring group claim 3 , a cycloalkylene group claim 3 , —COO-L′- claim 3 , —O-L′- claim 3 , —CONH— claim 3 , or a group which is formed by combining two or more of these claim 3 , L′ represents an alkylene group claim 3 , a cycloalkylene group claim 3 , an aromatic ring group claim 3 , or a group formed by combining an alkylene group and ...

Подробнее
30-04-2020 дата публикации

Coating composition for forming resist underlayer film for euv lithography process

Номер: US20200133126A1

A monomer represented by Chemical Formula (1): wherein, X, Y, and Z are the same as described in the specification, and the polymer including repeat units derived from the monomer.

Подробнее
09-05-2019 дата публикации

SALT, ACID GENERATOR, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN

Номер: US20190137873A1
Принадлежит: Sumitomo Chemical Company, Limited

The present invention can provide a salt and a resist composition including the salt, capable of producing a resist pattern with satisfactory line edge roughness (LER). 2. The salt according to claim 1 , wherein Ris a hydrogen atom or a fluorine atom.3. The salt according to claim 1 , wherein Rand Rare hydrogen atoms.4. The salt according to claim 1 , wherein the counter anion is an organic sulfonic acid anion.6. An acid generator comprising the salt according to .7. A resist composition comprising the acid generator according to and a resin having an acid-labile group.8. The resist composition according to claim 7 , further comprising a salt generating an acid having an acidity lower than that of an acid generated from the acid generator.9. A method for producing a resist pattern claim 7 , which comprises:{'claim-ref': {'@idref': 'CLM-00007', 'claim 7'}, '(1) a step of applying the resist composition according to on a substrate,'}(2) a step of drying the applied composition to form a composition layer,(3) a step of exposing the composition layer,(4) a step of heating the exposed composition layer, and(5) a step of developing the heated composition layer. The present invention relates to a salt, an acid generator, a resist composition and a method for producing a resist pattern.Patent Document 1 mentions a resist composition comprising a salt represented by the following formula as an acid generator.Patent Document 2 mentions a resist composition comprising a salt represented by the following formula as an acid generator.Patent Document 1: JP 2011-051981 APatent Document 2: JP 2014-235248 AAn object of the present invention is to provide a salt capable of producing a resist pattern having line edge roughness (LER) which is better than that of a resist pattern formed from the above-mentioned resist composition comprising a salt.The present invention includes the following inventions.[1] A salt represented by formula (I):wherein, in formula (I),Rand Reach ...

Подробнее
09-05-2019 дата публикации

MULTIPLE TRIGGER MONOMER CONTAINING PHOTORESIST COMPOSITIONS AND METHOD

Номер: US20190137876A1
Принадлежит:

The present disclosure relates to novel multiple trigger monomer containing negative working photoresist compositions and processes. The processes involve removing acid-labile protecting groups from crosslinking functionalities in a first step and crosslinking the crosslinking functionality with an acid sensitive crosslinker in a second step. The incorporation of a multiple trigger pathway in the resist catalytic chain increases the chemical gradient in areas receiving a low dose of irradiation, effectively acting as a built in dose depend quencher-analog and thus enhancing chemical gradient and thus resolution, resolution blur and exposure latitude. The photoresist compositions utilize novel monomers and mixtures of novel monomers. The methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays. 1. A multiple trigger negative-working photoresist composition comprising:a. At least one polymer, oligomer or monomer, each comprising two or more crosslinkable functionalities, wherein essentially all the functionalities are attached to acid labile protecting groups,b. at least one acid activated crosslinker, andc. at least one of a photoacid generator or a thermal acid generator.3. The photoresist composition of claim 2 , comprising a mixture of monomers with structures I-IV.5. The photoresist composition of claim 4 , wherein ALPG is a tertiary alkyl or tertiary cycloalkyl group claim 4 , an alicyclic group claim 4 , a ketal or cyclic aliphatic ketal claim 4 , or an acetal.6. The photoresist composition of claim 3 , wherein the at least one photoacid generator comprises an onium salt compound claim 3 , a sulfonium salt claim 3 , a triphenylsulphonium salt claim 3 , a sulfonimide claim 3 , a halogen-containing compound claim 3 , a sulfone claim 3 , a sulfone imide claim 3 , a sulfonate ester claim 3 , a quinone-diazide claim 3 , a diazomethane ...

Подробнее