Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 73166. Отображено 100.
12-01-2012 дата публикации

Exposure apparatus and device fabrication method

Номер: US20120008122A1
Автор: Ryo Sasaki, Toshiki Iwai
Принадлежит: Canon Inc

The present invention provides an exposure apparatus including an obtaining unit configured to obtain data of a first imaging position at which light from a first pattern having, as a longitudinal direction thereof, a first direction perpendicular to an optical axis of a projection optical system forms an image via the projection optical system, and data of a second imaging position at which light from a second pattern having, as a longitudinal direction thereof, a second direction which is not parallel to the first direction and is perpendicular to the optical axis forms an image via the projection optical system, when the first pattern and the second pattern are respectively placed on an object plane of the projection optical system, and a control unit configured to control a stage so that a substrate is positioned at a target position of the substrate along the optical axis.

Подробнее
12-01-2012 дата публикации

Method and apparatus for correcting errors of a photolithographic mask

Номер: US20120009511A1
Автор: Vladimir Dmitriev
Принадлежит: Carl Zeiss SMS Ltd

A method for correcting a plurality of errors of a photolithographic mask, comprising optimizing first parameters of a imaging transformation of the photolithographic mask and second parameters of a laser beam locally directed onto the photolithographic mask, and correcting the plurality of errors by applying an imaging transformation using optimized first parameters and locally directing the laser beam onto the photolithographic mask using optimized second parameters, wherein the first and the second parameters are simultaneously optimized in a joint optimization process.

Подробнее
12-01-2012 дата публикации

Method and apparatus for printing a periodic pattern with a large depth of focus

Номер: US20120009525A1
Принадлежит: EULITHA AG

A method for printing a desired pattern into a photosensitive layer that includes providing a mask bearing a pattern of linear features that are parallel to a first direction, arranging the layer parallel to and separated from said mask, generating substantially monochromatic light, and illuminating the mask pattern with said light over a range of angles of incidence in a plane parallel to said first direction, at substantially a single angle in an orthogonal plane of incidence and so that the light of each angle of incidence transmitted by the mask forms a light-field component at the layer whereby the integration of said components prints the desired pattern, wherein the range of angles is selected so that the integration of said components is substantially equivalent to an average of the range of transversal intensity distributions formed between Talbot image planes by light at one of the angles of incidence.

Подробнее
19-01-2012 дата публикации

Exposure method and exposure device

Номер: US20120013788A1
Принадлежит: Sharp Corp

An exposure method capable of performing accurate exposure without using a large photomask. The exposure method performs exposure while relatively moving a photomask above a substrate and includes a step of performing position correction of the photomask by performing, on a front side of the photomask relatively moved in a moving direction, image recognition of a pattern prearranged on the substrate such as a line and a black matrix and by correcting deviation of the photomask with respect to the pattern, and a step of checking the position correction of the photomask by performing image recognition of a reference mark arranged on the photomask and by determining whether or not the position correction of the photomask is accurately performed in the step of performing the position correction of the photomask.

Подробнее
19-01-2012 дата публикации

Apparatus and method for providing fluid for immersion lithography

Номер: US20120013861A1
Принадлежит: Nikon Corp

An apparatus and method provide fluid for immersion lithography. A nozzle member that can move in a direction, is arranged to encircle a space under the optical element. The nozzle member can have an input to supply the immersion liquid to the space under the optical element during the exposure, and an output to remove the immersion liquid from a gap between the nozzle member and the wafer during the exposure. Immersion liquid can be supplied at a first rate to the space from a first portion of the nozzle member and at a second rate to the space from a second portion during the exposure. A wafer substrate is exposed by light through the immersion liquid.

Подробнее
19-01-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120013872A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus and device manufacturing method makes use of a liquid confined in a reservoir between the projection system and the substrate. Bubbles forming in the liquid from dissolved atmospheric gases or from out-gassing from apparatus elements exposed to the liquid are detected and/or removed so that they do not interfere with exposure and lead to printing defects on the substrate. Detection may be carried out by measuring the frequency dependence of ultrasonic attenuation in the liquid and bubble removal may be implemented by degassing and pressurizing the liquid, isolating the liquid from the atmosphere, using liquids of low surface tension, providing a continuous flow of liquid through the imaging field, and/or phase shifting ultrasonic standing-wave node patterns.

Подробнее
19-01-2012 дата публикации

Projection Exposure System, Beam Delivery System and Method of Generating a Beam of Light

Номер: US20120013878A1
Принадлежит: CARL ZEISS SMT GMBH

A beam delivery system of a projection exposure system comprises a laser generating a beam of laser light from a plurality of longitudinal laser modes in a cavity, wherein light generated by a single longitudinal laser mode has an average line width λ lat , wherein the laser light of the beam has, at each of respective lateral positions of the beam, a second line width λ lat corresponding to lateral laser modes, and wherein the laser light of the beam has, when averaged over a whole cross section thereof, a line width λ b corresponding to plural lateral laser modes, and wherein λ m <λ lat <λ b , and wherein an optical delay apparatus disposed in the beam provides an optical path difference Δl, wherein 0.8 · λ 0 2 ( 2 · Δ   λ l ) < Δ   l < 1.8 · λ 0 2 ( 2 · Δλ l ) , wherein λ 0 is an average wavelength of the light of the first beam of laser light, and Δλ lat represents the second line width.

Подробнее
19-01-2012 дата публикации

Illumination system, lithographic apparatus and method of forming an illumination mode

Номер: US20120013882A1
Принадлежит: ASML Netherlands BV

An illumination system of a lithographic apparatus includes a plurality of reflective elements arranged to receive radiation from a radiation source, the reflective elements being movable between different orientations. In the different orientations, the reflective elements direct radiation towards different locations at a reflective component in a pupil plane of the illumination system, thereby forming different illumination modes. Each reflective element is moveable between a first orientation, which directs radiation towards a first location the pupil plane, and a second orientation, which directs radiation towards a second location in the pupil plane. The first orientation and the second orientation of the reflective element are defined by end stops.

Подробнее
19-01-2012 дата публикации

Reflective optical element for euv lithography

Номер: US20120013976A1
Автор: Joern Weber
Принадлежит: CARL ZEISS SMT GMBH

A stress-reduced reflective optical element for a working wavelength in the soft X-ray and extreme ultraviolet wavelength range includes a first multilayer system ( 4 ) of at least two alternating materials ( 41, 42 ) having different real parts of the refractive index at the working wavelength on a substrate ( 2 ), which exerts a layer stress on the substrate ( 2 ), and comprising a second multilayer system ( 6 ) of at least two alternating materials ( 61, 62 ) on a substrate ( 2 ), which exerts an opposed layer stress on the substrate ( 2 ) and is arranged between the first multilayer system ( 4 ) and the substrate ( 2 ), wherein one of the materials ( 61 ) of the second multilayer system ( 6 ) is nickel-vanadium-silicon, and wherein the ratio (G) of the overall thickness of nickel-vanadium-silicon layers ( 61 ) within one period ( 60 ) of the second multilayer system ( 6 ) to the overall thickness of the period ( 60 ) of the second multilayer system ( 6 ) is at least 0.25.

Подробнее
26-01-2012 дата публикации

Optical assembly

Номер: US20120019799A1
Принадлежит: CARL ZEISS SMT GMBH

An optical assembly has at least one mirror with a mirror body. The latter is carried by a support body, which has a first support body portion and a second support body portion. An at least thermally separating region is arranged between the two support body portions. At least one surface portion of at least one of the support body portions or of a body thermally coupled thereto is modified in such a way that a thermal emission coefficient ε m of the modified surface portion differs from a thermal emission coefficient ε u of the unmodified surface portion by at least 10%. The result is an optical assembly, in which an improved thermal stability is achieved by the predetermining of the thermal emission coefficients.

Подробнее
02-02-2012 дата публикации

Electrical Connector, Electrical Connection System and Lithographic Apparatus

Номер: US20120024585A1
Принадлежит: ASML Netherlands BV

An electrical connector comprises a high voltage pad and a high voltage plate. When connected to another electrical connector, the two plates, which are at the same voltage as the pads, form a region of high voltage in which the field is low. The pads are positioned in that region. An electrostatic clamp of an EUV lithographic apparatus may have such a pad and plate, for connecting to the electrical connector. By placing the interconnection in a low field region, triple points (points of contact between a conductor, a solid insulator and a gas) may be present in that region.

Подробнее
09-02-2012 дата публикации

Two dimensional encoder system and method

Номер: US20120032067A1
Принадлежит: Nikon Corp

An encoder system and method are provided, that is designed to improve 2D encoder systems and methods in areas such as accuracy, compactness, stability, resolution, and/or light efficiency. Moreover, the system and method of this invention provides a new concept in a retroreflector that while particularly useful in applicants' system and method, is believed to have more general utility in optical imaging systems and methods.

Подробнее
09-02-2012 дата публикации

Exposure apparatus, exposure method, and device producing method

Номер: US20120033192A1
Автор: Hiroyuki Nagasaka
Принадлежит: Nikon Corp

An exposure apparatus (EX) exposes a substrate (P) by irradiating exposure light (EL) on the substrate (P) via a projection optical system (PL) and a liquid (LQ) supplied from a liquid supply mechanism ( 10 ). The exposure apparatus (EX) has a pressure adjustment mechanism ( 90 ) for adjusting pressure of the liquid (LQ) supplied from the liquid supply mechanism ( 10 ). A liquid immersion area is satisfactorily formed to obtain high exposure accuracy and measurement accuracy.

Подробнее
16-02-2012 дата публикации

Optical Element With An Antireflection Coating, Projection Objective, And Exposure Apparatus Comprising Such An Element

Номер: US20120038897A1
Принадлежит: Individual

An optical element ( 14 ) transparent for radiation with a wavelength λ in the ultraviolet wavelength range below 250 nm, in particular at 193 nm, comprises a substrate ( 17 ) with a refractive index n s larger than 1.6, and an antireflection coating ( 16 ) formed on at least part of the surface of the substrate ( 17 ) between the substrate ( 17 ) and an ambient medium with a refractive index n A , preferably with n A =1.0. The antireflection coating ( 16 ) consists of a single layer of a material with a refractive index n L of about n L =√{square root over (n A n S )}, in particular n L >1.3, and the optical thickness d L of the single layer is about λ/4. The optical element ( 14 ) is preferably part of a projection objective ( 5 ) in a microlithography projection exposure apparatus ( 1 ) and located adjacent to a light-sensitive substrate ( 10 ).

Подробнее
16-02-2012 дата публикации

Method, device, and system for forming circular patterns on a surface

Номер: US20120040279A1
Принадлежит: D2S Inc

A stencil for character projection (CP) charged particle beam lithography and a method for manufacturing the stencil is disclosed, where the stencil contains two circular characters, where each character is capable of forming patterns on a surface in a range of sizes by using different dosages, and where the size ranges for the two characters is continuous. A method for forming circular patterns on a surface using variable-shaped beam (VSB) shots of different dosages is also disclosed. A method for forming circular patterns on a surface using a set of shots, where all of the shots comprise dosages, is also disclosed.

Подробнее
23-02-2012 дата публикации

Substrate support structure, clamp preparation unit, and lithography system

Номер: US20120043438A1
Принадлежит: Mapper Lithopraphy IP BV

A substrate support structure for clamping a substrate on a surface by means of a capillary layer of a liquid. The surface has an outer edge and includes one or more substrate supporting elements for receiving the substrate to be clamped, wherein the one or more substrate supporting elements are arranged to provide support for the substrate at a plurality of support locations. The substrate support structure further includes a sealing structure circumscribing the surface and having a top surface or edge forming a sealing rim. A distance between the outer edge of the surface and an outermost of the support locations is greater than a distance between the outer edge and the sealing rim.

Подробнее
23-02-2012 дата публикации

System and method for improving immersion scanner overlay performance

Номер: US20120045192A1

System and method for improving immersion scanner overlay performance are described. One embodiment is a method of improving overlay performance of an photolithography immersion scanner comprising a wafer table having lens cooling water (“LCW”) disposed in a water channel therein, the wafer table having an input for receiving the LCW into the water channel and an output for expelling the LCW from the water channel. The method comprises providing a water tank at at least one of the wafer table input and the wafer table output; monitoring a pressure of water in the water tank; and maintaining the pressure of the water in the water tank at a predetermined level.

Подробнее
23-02-2012 дата публикации

Vibration isolation device, exposure apparatus, and device manufacturing method using same

Номер: US20120045723A1
Автор: Katsumi Asada, Ryo Nawata
Принадлежит: Canon Inc

The vibration isolation device of the present invention includes a first position feedback control system including a reference body system that is fixed to an object to be isolated from vibration and includes a reference body; a first driving unit that drives the object with respect to a base; and a first compensator that calculates a command value to the first driving unit based on position information obtained from the reference body system. Also, the reference body system includes a second position feedback control system including a second driving unit that drives the reference body with respect to the object; a first measuring unit that measures the position of the reference body relative to the object; and a second compensator that calculates a command value to the second driving unit based on position information obtained from the first measuring unit. Here, the second compensator is a PD compensator.

Подробнее
23-02-2012 дата публикации

Method for enhancing wafer exposure effectiveness and efficiency

Номер: US20120046775A1
Автор: Chen-Fu Chien, Chia-Yu Hsu
Принадлежит: National Tsing Hua University NTHU

The present invention applies the data mining methodology by which the wafer exposure effectiveness and efficiency are predictable in terms of the chip size, chip length and chip width. More specifically, in the present invention, an index, named “Mask-field-utilization weighted Overall Wafer Effectiveness” (MOWE), integrates the two parameters of “Overall Wafer Effectiveness” (OWE) and “Mask-Field-Utilization” (MFU), mainly regarding the wafer exposure effectiveness and efficiency respectively, in order to construct a model tree of the MOWE to achieve the data mining. By the MOWE model tree, the causal relationship between design independent variables and fabrication dependent variables is constructed, which can be accordingly applied as design guidelines in the design phase to improve the chip layout in order to produce a better wafer exposure effectiveness and efficiency.

Подробнее
23-02-2012 дата публикации

Layout Decomposition Based on Partial Intensity Distribution

Номер: US20120047473A1
Принадлежит: Mentor Graphics Corp

Layout design data are decomposed for double dipole lithography based on partial intensity distribution information. The partial intensity distribution information is generated by performing optical simulations on the layout design data. The layout decomposition may further be adjusted during an optical proximity correction process. The adjustment may utilize the partial intensity distribution information.

Подробнее
08-03-2012 дата публикации

Alignment Mark, Substrate, Set of Patterning Devices, and Device Manufacturing Method

Номер: US20120057159A1
Автор: David Deckers, Sam Musa
Принадлежит: ASML Netherlands BV

An alignment mark determines alignment of a first and a second exposure on a substrate on a macro level and a micro level. The alignment mark includes a first alignment pattern projected during the first exposure and a second alignment pattern projected during the second exposure. The alignment mark includes a first sub-mark at least partially defined by the first alignment pattern and a second sub-mark at least partially defined by the second alignment pattern. Relative positions of the first and second sub-marks on the substrate are representative for alignment of the first and second exposures on the macro level. At least one sub-mark is defined by image lines of the first alignment pattern and the second alignment pattern, and wherein relative positions of image lines of the first alignment pattern and image lines of the second alignment pattern of the at least one sub-mark are representative for alignment of the first and second exposures on the micro level.

Подробнее
08-03-2012 дата публикации

Positioning apparatus judging moving method to control positioning timing

Номер: US20120059623A1
Автор: Masao Sambongi
Принадлежит: Casio Computer Co Ltd

A positioning apparatus includes: a position measuring section to obtain measured position data by measuring its own present position; a positioning controlling section to control operation timing of the position measuring section to make the position measuring section discontinuously obtain the measured position data; a movement measuring section to measure a movement operation; a moving method judging section to judge a moving method based on a measurement result of the movement measuring section; a map data storage section to store information of a rail route map; and a migration path judging section to judge a migration path in a period judged to be a moving state by an electric train by the moving method judging section based on the measured position data measured by the position measuring section and the information of the rail route map.

Подробнее
15-03-2012 дата публикации

Optical imaging with reduced immersion liquid evaporation effects

Номер: US20120062865A1
Автор: Stephan Six
Принадлежит: CARL ZEISS SMT GMBH

An optical arrangement for use in an optical imaging process includes an optical element, an immersion zone and a liquid repelling device. During the optical imaging process, the immersion zone is located adjacent to the optical element and is filled with an immersion liquid. The optical element has a first surface region and a second surface region. During the optical imaging process, the first surface region is wetted by the immersion liquid. At least temporarily during the optical imaging process, the liquid repelling device generates an electrical field in the region of the second surface. The electrical field being is adapted to cause a repellent force on parts of the immersion liquid which are responsive to the electrical field and inadvertently contact the second surface region. The repellent force has a direction to drive away the parts of the immersion liquid from the second surface region.

Подробнее
15-03-2012 дата публикации

Detecting dose and focus variations during photolithography

Номер: US20120065765A1
Принадлежит: International Business Machines Corp

A method, system, and computer usable program product for detecting dose and focus variations during photolithography are provided in the illustrative embodiments. A test shape is formed on a wafer, the wafer being used to manufacture integrated circuits, the test shape being formed using a dose value and a focus value that are predetermined for the manufacturing. A capacitance of the test shape is measured. The capacitance is resolved to a second dosing value and a second focus value using an extraction model. A difference between the dosing value and the second dosing value is computed. A recommendation is made for dosing adjustment in the manufacturing based on the difference.

Подробнее
22-03-2012 дата публикации

Support elements for an optical element

Номер: US20120067833A1
Принадлежит: CARL ZEISS SMT GMBH

Support elements for an optical element and a method for supporting an optical element are disclosed. The disclosure can be used in connection with arbitrary optical apparatuses or optical imaging methods. In particular, the disclosure can be used in connection with the microlithography employed in the manufacture of microelectronic circuits.

Подробнее
22-03-2012 дата публикации

Semiconductor microlithography projection exposure apparatus

Номер: US20120069310A1
Принадлежит: CARL ZEISS SMT GMBH

The disclosure relates to an optical correction arrangement including at least one optical element and at least one irradiation mechanism for the targeted local irradiation of the optical element with electromagnetic heating radiation for the targeted local heating of the optical element. The optical correction arrangement also includes a mechanism for dissipating the thermal energy introduced into the optical element by the at least one irradiation mechanism. The disclosure furthermore relates to a projection exposure apparatus for semiconductor lithography including an optical correction arrangement according to the disclosure.

Подробнее
22-03-2012 дата публикации

Imaging optics and projection exposure installation for microlithography with an imaging optics of this type

Номер: US20120069314A1
Принадлежит: CARL ZEISS SMT GMBH

An imaging optics has a plurality of mirrors which image an object field in an object plane in an image field in an image plane. A pupil plane is arranged in the imaging beam path between the object field and the image field. A stop is arranged in the pupil plane. The pupil plane is tilted at an angle (α) with respect to the object plane, where α is greater than 0.1°. The imaging optics results allows for a manageable combination of small imaging errors, manageable production and good throughput.

Подробнее
29-03-2012 дата публикации

Source Polarization Optimization

Номер: US20120075605A1
Автор: Luoqi Chen
Принадлежит: ASML Netherlands BV

A lithographic simulation process is described, where each source point in a preselected group of source points at a pupil plane of an illumination source is represented by one or more variable parameters, wherein at least some of the variable parameters characterize a polarization state at the source point. One or both of the preselected group of source points in the illumination source and a representation of the design layout are iteratively reconfigured based on a computed gradient of a cost function with respect to the one or more variable parameters until a desired lithographic response is obtained, wherein the cost function comprises an aerial image intensity of a representation of the design layout projected using the preselected group of source points. Physical hardware to implement the source polarization variation is also described.

Подробнее
05-04-2012 дата публикации

Method for determining exposure condition and computer-readable storage media storing program for determining exposure condition

Номер: US20120081689A1
Автор: Kouichirou Tsujita
Принадлежит: Canon Inc

A method for determining an exposure condition for use in projecting an image of a pattern of an original on a substrate includes a setting step of setting an exposure condition, an image calculating step of calculating a dimension of an image to be projected on the substrate under the set exposure condition, an electrical characteristic calculating step of calculating an electrical characteristic of at least one of a portion for use as an interconnection and a portion for use as a transistor in a pattern to be formed on the substrate in accordance with a result calculated in the image calculating step, a determining step of determining whether the electrical characteristic calculated in the electrical characteristic calculating step satisfies a requirement, and an adjusting step of adjusting the set exposure condition when the electrical characteristic is determined not to satisfy the requirement in the determining step.

Подробнее
05-04-2012 дата публикации

Method for bonding bodies and composite body

Номер: US20120082823A1
Принадлежит: CARL ZEISS SMT GMBH

A method for bonding a first body ( 2 ) to a second, panel-shaped body ( 3 ) at bonding surfaces ( 2 a, 3 a ) lying opposite each other, the second body ( 3 ) projecting in at least one direction (X) beyond an edge ( 2 ′) of the first body ( 2 ). The method includes: producing a plurality of spacers ( 4 a to 4 e, 4 a ′ to 4 e ′) on at least one of the bonding surfaces ( 2 a ), applying adhesive ( 5 ) into intermediate spaces ( 6 a to 6 d ) between the spacers beyond an outer spacer ( 4 e, 4 e ′) as far as an adhesive periphery ( 5 a ), which is formed at an edge ( 2 ′) of the first body ( 2 ), and bonding the bodies ( 2, 3 ) by bringing the bonding surfaces ( 2 a, 3 a ) into contact at the spacers ( 4 a ′ to 4 e ′). A prescribed distance (d) between the adhesive periphery ( 5 a ) and the outermost spacer ( 4 e ) is set to provide a desired state of deformation of the panel-shaped body ( 3 ) after a shrinkage of the applied adhesive ( 5 ) (e.g. minimized bending of the body.) In an associated composite body ( 1 ), the prescribed distance (d) lies between 20 μm and 250 μm, preferably between 30 μm and 200 μm, in particular between 40 μm and 150 μm.

Подробнее
19-04-2012 дата публикации

Reflective film interface to restore transverse magnetic wave contrast in lithographic processing

Номер: US20120092633A1
Принадлежит: International Business Machines Corp

A system for exposing a resist layer to an image that includes a layer reflective to imaging tool radiation and a resist layer having a region of photosensitivity over the reflective layer. An imaging tool projects radiation containing an aerial image onto the resist layer, with a portion of the radiation containing the aerial image passing through the resist and reflecting back to the resist to form an interference pattern of the projected aerial image through the resist layer thickness. The thickness and location of the resist layer region of photosensitivity are selected to include from within the interference pattern higher contrast portions of the interference pattern in the direction of the resist thickness, and to exclude lower contrast portions of the interference pattern in the resist thickness direction from said resist layer region of photosensitivity, to improve contrast of the aerial image in said resist layer region of photosensitivity.

Подробнее
19-04-2012 дата публикации

Method for Integrated Circuit Manufacturing and Mask Data Preparation Using Curvilinear Patterns

Номер: US20120094219A1
Принадлежит: D2S Inc

A method for manufacturing a semiconductor device is disclosed, wherein during the physical design process, a curvilinear path is designed to represent an interconnecting wire on the fabricated semiconductor device. A method for fracturing or mask data preparation (MDP) is also disclosed in which a manhattan path which is part of the physical design of an integrated circuit is modified to create a curvilinear pattern, and where a set of charged particle beam shots is generated, where the set of shots is capable of forming the curvilinear pattern on a resist-coated surface.

Подробнее
19-04-2012 дата публикации

Feedforward/feedback litho process control of stress and overlay

Номер: US20120094400A1
Принадлежит: KLA Tencor Corp

A method and apparatus for process control in a lithographic process are described. Metrology may be performed on a substrate either before or after performing a patterning process on the substrate. One or more correctables to the lithographic patterning process may be generated based on the metrology. The patterning process performed on the substrate (or a subsequent substrate) may be adjusted with the correctables.

Подробнее
26-04-2012 дата публикации

System and methods related to generating electromegnetic radiation interference patterns

Номер: US20120099090A1
Принадлежит: Massachusetts Institute of Technology

Systems and methods related to the generation of interference patterns using electromagnetic radiation are generally described. Some embodiments are directed to the use of such systems and methods to perform interference lithography.

Подробнее
10-05-2012 дата публикации

Pattern-Dependent Proximity Matching/Tuning Including Light Manipulation By Projection Optics

Номер: US20120117521A1
Автор: Hanying Feng, Jun Ye, Yu Cao
Принадлежит: ASML Netherlands BV

Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).

Подробнее
10-05-2012 дата публикации

Optimization of Source, Mask and Projection Optics

Номер: US20120117522A1
Автор: Hanying Feng, Jun Ye, Yu Cao
Принадлежит: ASML Netherlands BV

Embodiments of the present invention provide methods for optimizing a lithographic projection apparatus including optimizing projection optics therein, and preferably including optimizing a source, a mask, and the projection optics. The projection optics is sometimes broadly referred to as “lens”, and therefore the joint optimization process may be termed source mask lens optimization (SMLO). SMLO is desirable over existing source mask optimization process (SMO), partially because including the projection optics in the optimization can lead to a larger process window by introducing a plurality of adjustable characteristics of the projection optics. The projection optics can be used to shape wavefront in the lithographic projection apparatus, enabling aberration control of the overall imaging process. According to the embodiments herein, the optimization can be accelerated by iteratively using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).

Подробнее
17-05-2012 дата публикации

Extreme ultraviolet light source apparatus

Номер: US20120119116A1
Принадлежит: GIGAPHOTON INC

An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.

Подробнее
17-05-2012 дата публикации

Fluid handling structure, a lithographic apparatus and a device manufacturing method

Номер: US20120120376A1
Принадлежит: ASML Netherlands BV

A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; a gas supply opening radially outward of the meniscus pinning feature; and a gas recovery opening radially outward of the meniscus pinning feature and at least partly surrounding the gas supply opening.

Подробнее
17-05-2012 дата публикации

Determination of the relative position of two structures

Номер: US20120121205A1
Автор: Dirk Seidel, Michael Arnz
Принадлежит: Carl Zeiss SMS GmbH

A method is provided for determining the position of a first structure ( 8 a ) relative to a second structure ( 8 b ) or a part thereof, said method having the steps of: a) providing a first picture (F 1 ) having a multiplicity of pixels and which contains the first structure, b) providing a second picture (F 2 ) having a multiplicity of pixels and which contains the second structure, c) forming an optimization function with the displacement of the two pictures relative to one another as parameter, the optimization function overlying the two pictures and masking the overlay such that in a determination of an extreme value of the optimization function a contribution is made only by the region of the overlay that corresponds to the second structure or the part thereof, d) ascertaining the extreme value of the optimization function and determining the optimal value of the displacement based on the extreme value of the optimization function, and e) determining the position of the first structure relative to the second structure or a part thereof with the optimal displacement value ascertained in step d).

Подробнее
24-05-2012 дата публикации

Isolation system for an optical element of an exposure apparatus

Номер: US20120127445A1
Принадлежит: Nikon Corp

An optical isolation assembly ( 30 ) for reducing the transmission of vibration from an optical barrel ( 25 ) to an optical element assembly ( 28 ) includes an optical mover assembly ( 256 ), a first measurement system ( 258 ), a second measurement system ( 260 ), and a control system ( 24 ). The optical mover assembly ( 256 ) moves, positions and supports the optical element assembly ( 28 ) relative to the optical barrel ( 25 ). The first measurement system ( 258 ) generates one or more first measurement signals that relate to the relative position between the optical element assembly ( 28 ) and the optical barrel ( 25 ). The second measurement system ( 260 ) generates one or more second measurement signals that relate to the absolute movement of the optical element assembly ( 28 ) along the first axis. The control system ( 24 ) controls the optical mover assembly ( 256 ) utilizing the first measurement signals and the second measurement signals.

Подробнее
24-05-2012 дата публикации

Movable body drive method and movable body drive system, and pattern formation method and pattern formation apparatus

Номер: US20120127450A1
Автор: Yuho Kanaya
Принадлежит: Nikon Corp

A first positional information of a wafer stage is measured using an interferometer system such as, for example, a Z interferometer. At the same time, a second positional information of the wafer stage is measured using a surface position measurement system such as, for example, two Z heads. Moving average is applied to a difference between the first positional information and the second positional information for a predetermined measurement time to set a coordinate offset, which is used to inspect the reliability of output signals of the surface position measurement system. When the output signals are confirmed to be normal, servo control of the wafer stage is performed using a sum of the first positional information and the coordinate offset. According to this hybrid method, drive control of the wafer stage which has the stability of the interferometer and the precision of the Z heads becomes possible.

Подробнее
07-06-2012 дата публикации

Dose responsive uv indicator

Номер: US20120137958A1
Принадлежит: Individual

A UV radiation (UVR) response indicator comprises a first UVB and/or UVA radiation sensitive material which has been modified so as to display an altered characteristic in a delayed manner in response to UVB and/or UVA radiation exposure. The UV indicator is capable of displaying exposure to increased UV radiation over a period of time. The present invention also relates to a method of displaying a relative amount of exposure to UVR by a UV indicator over a period of time. The invention is particularly useful in detecting the level of exposure to sunlight or sunbed radiation.

Подробнее
07-06-2012 дата публикации

Optical system, in particular in a microlithographic projection exposure apparatus

Номер: US20120140241A1
Принадлежит: CARL ZEISS SMT GMBH

An optical system, such as a microlithographic projection exposure apparatus, includes a first optical component, a second optical component, and a measurement arrangement for determining the relative position of the first optical component and the second optical component in six degrees of freedom. The measurement arrangement is adapted to determine the relative position of the first optical component and the second optical component over six different length measurement sections. The length measurement sections extend directly between the first optical component and the second optical component.

Подробнее
07-06-2012 дата публикации

Holding arrangement for an optical element

Номер: US20120140341A1

A holding arrangement for an optical element includes a basic structure surrounding an optical element and a mounting device by which the optical element can be supported on the basic structure with two degrees of freedom for a rotational movement about an optical axis and a translational movement along a first axis which extends perpendicularly to the optical axis and intersects the optical axis in a center. The mounting device includes four joint locations arranged point-symmetrically with respect to the center and at least one parallel rocker which is displaceable parallel to the first axis. A manipulator unit includes a holding arrangement.

Подробнее
14-06-2012 дата публикации

Overlay vernier mask pattern, formation method thereof, semiconductor device including overlay vernier pattern, and formation method thereof

Номер: US20120146195A1
Автор: Joon Seuk LEE
Принадлежит: Hynix Semiconductor Inc

An overlay vernier mask pattern of a semiconductor device includes a first overlay vernier mask pattern having a first opening for exposing a first area of a layer to be etched on a substrate and a second opening for exposing a second area spaced apart from the first area, and a second overlay vernier mask pattern aligned on the first overlay vernier mask pattern and the layer to be etched, and having an opening for exposing the second opening while exposing a portion of the layer to be etched in the first area.

Подробнее
14-06-2012 дата публикации

Nanometer Scale Instrument for Biochemically, Chemically, or Catalytically Interacting with a Sample Material

Номер: US20120147722A1
Автор: Victor B. Kley
Принадлежит: General Nanotechnology LLC

A data storage system that includes a positioning system for positioning the write/read mechanism and the storage medium of the data storage device with respect to each other in first and second predefined directions. In several embodiments, the read/write mechanism is used to mechanically write data to and electrically read data from the storage medium. In still another embodiment, the read/write mechanism is used to optically write data to and electrically read data from the storage medium. In yet another embodiment, the read/write mechanism is acoustically aided in electrically writing data to and reading data from the storage medium.

Подробнее
21-06-2012 дата публикации

Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Номер: US20120153281A1
Автор: Mark Ghinovker
Принадлежит: KLA Tencor Technologies Corp

A semiconductor target for determining a relative shift between two or more successive layers of a substrate is provided. The target comprises a plurality of first structures formed in a first layer, and the first structures have a first center of symmetry (COS). The target further comprises a plurality of second structures formed in a second layer, and the second structures have second COS. The difference between the first COS and the second COS corresponds to an overlay error between the first and second layer and wherein the first and second structures have a 180° rotational symmetry, without having a 90° rotational symmetry, with respect to the first and second COS, respectively.

Подробнее
28-06-2012 дата публикации

Plasma light source system

Номер: US20120161631A1
Автор: Hajime Kuwabara
Принадлежит: IHI Corp

A plasma light source system includes a plurality of plasma light source 10 that periodically emits plasma light 8 from respective predetermined light emitting points 1 a and a light collecting device 40 that collects the plasma light emitted from the plurality of light emitting points of the plasma light sources to a single light collecting point 9.

Подробнее
05-07-2012 дата публикации

Mirror, method of manufacturing the same, exposure apparatus, and device manufacturing method

Номер: US20120170012A1
Принадлежит: Canon Inc

A method of manufacturing a mirror includes a first step of arranging, on a substrate, a shape adjusting layer having a layer thickness which changes by heat, a second step of arranging, on the shape adjusting layer, a reflection layer including a first layer, a second layer, and a barrier layer which is arranged between the first layer and the second layer, and prevents a diffusion of a material of the first layer and a material of the second layer, and a third step of bringing a shape of the reflection layer close to a target shape by changing a layer thickness profile of the shape adjusting layer after the second step, the third step including a process of partially annealing the shape adjusting layer.

Подробнее
05-07-2012 дата публикации

Asymmetric complementary dipole illuminator

Номер: US20120170017A1
Принадлежит: International Business Machines Corp

An apparatus, a method of designing the apparatus, a tool using the apparatus and a method of using the apparatus for optimizing optical photolithography during formation of integrated circuits. The apparatus includes: an asymmetrical complementary dipole element including: first and second openings being equidistant and mirror images about a first axis, the first and second openings having essentially a same first area and a same first optical density relative to a selected wavelength of light; third and fourth openings being equidistant and mirror images about a second axis, the third and fourth openings having essentially a same second area, and a same second optical density relative to the selected wavelength of light; and wherein the first axis is perpendicular to the second axis and the first and second optical densities are different.

Подробнее
12-07-2012 дата публикации

Method and device for the correction of imaging defects

Номер: US20120176591A1
Принадлежит: CARL ZEISS SMT GMBH

The disclosure relates to a microlithography projection exposure system having optical corrective elements configured to modify the imaging characteristics, as well as related systems and component.

Подробнее
19-07-2012 дата публикации

In-Situ Immersion Hood Cleaning

Номер: US20120180823A1

An apparatus includes a wafer stage configured to secure a wafer; and a cleaning module including a tank adjacent to the wafer stage, and is positioned outside the region occupied by the wafer. The cleaning module is configured to receive de-ionized (DI) water into the tank and extract the DI water out of the tank. The tank is configured to hold DI water with a top surface of the DI water substantially level with a top surface of the wafer.

Подробнее
19-07-2012 дата публикации

Energy beam drawing apparatus and method of manufacturing device

Номер: US20120181455A1
Принадлежит: Canon Inc

An energy beam drawing apparatus includes a member, positioned between an energy beam source and a substrate, on which a deposit is deposited and a removing unit which removes the deposit. The removing unit includes a catalyst for generating, from a gas, an active species for decomposing the deposit by irradiation with the energy beam, a supplying mechanism for supplying the gas to a position where the active species is generated, and a moving mechanism for moving, when executing processing of removing the deposit, the catalyst to a first position which is irradiated with the energy beam, and moving, when executing drawing processing on the substrate, the catalyst to a second position which is not irradiated with the energy beam.

Подробнее
19-07-2012 дата публикации

Frame cell for shot layout flexibility

Номер: US20120181669A1

A method includes establishing an initial shot layout in which a number of shots are arranged in vertically aligned columns and horizontally aligned rows to cover a semiconductor wafer. At least one of a row of shots or a column of shots is shifted relative to an adjacent row or column of shots to establish at least one additional shot layout that differs from the initial shot layout in that shots in the at least one shifted row or column of shots are not aligned with the shots in the adjacent row or column of shots with which they were aligned in the initial shot layout. One of the initial shot layout and the at least one additional shot layout is selected as a final shot layout. The wafer is exposed to light using the final shot layout.

Подробнее
26-07-2012 дата публикации

Method to compensate optical proximity correction

Номер: US20120192123A1
Принадлежит: United Microelectronics Corp

A method to compensate optical proximity correction adapted for a photolithography process includes providing an integrated circuit (IC) layout. The IC layout includes active regions, a shallow trench isolation (STI) region and ion implant regions overlapped with a part of the STI region and at least a part of the active regions. Subsequently, at least a photoresist line width compensation region disposed in the STI region is acquired in a photoresist covering region outside the ion implant regions according to the IC layout. Afterwards, the IC layout is corrected according to a width of the photoresist line width compensation region, a length of a side of the active region facing a side of the photoresist line width compensation region and a distance from the side of the photoresist line width compensation region to the active region facing the side. Then, the corrected IC layout is transferred to a photomask.

Подробнее
02-08-2012 дата публикации

Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus

Номер: US20120194797A1
Принадлежит: ASML Netherlands BV

A method to determine an improved configuration for a lithography apparatus, a computer-readable medium for use in carrying out the method, and a lithography apparatus are disclosed. In an example, the method involves intelligent selection of one or more device features to measure and use in a routine to optimize the configuration of the lithography apparatus. According to an example, the method comprises imposing a target error profile to one or more device features for which measurement data is not sufficient, for example in a regions where a selected device feature is sparsely distributed.

Подробнее
02-08-2012 дата публикации

Multilayer mirror for euv lithography and process for its production

Номер: US20120196208A1
Принадлежит: Asahi Glass Co Ltd

Provided are a multilayer mirror for EUVL in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, and a process for its production. A multilayer mirror for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.

Подробнее
02-08-2012 дата публикации

Layout decomposition method and apparatus for multiple patterning lithography

Номер: US20120196230A1
Автор: Minsik Cho, Xiaoping Tang
Принадлежит: International Business Machines Corp

An initial layout of at least a portion of a given layer of an integrated circuit design is decomposed into multiple sub-layouts by splitting each of a plurality of shapes of the initial layout into multiple segments, constructing a constraint graph to represent relationships between the segments, reducing the constraint graph to a stitch graph, determining at least one cut line of the stitch graph, and generating a decomposed layout based on the determined cut line. The decomposed layout in an illustrative embodiment includes first and second sub-layouts comprising respective disjoint subsets of the segments, with each of the sub-layouts of the decomposed layout being associated with a different pattern mask of a double patterning lithography process. The layout decomposition process advantageously minimizes the number of stitches between the sub-layouts without introducing excessive computational complexity.

Подробнее
02-08-2012 дата публикации

Lithography verification apparatus and lithography simulation program

Номер: US20120198393A1
Автор: Hironobu Taoka
Принадлежит: Renesas Electronics Corp

The present invention provides a lithography verification apparatus which executes high-precision lithography verification in consideration of the effects of individual errors integrated. Various information (simulation result, error standard, etc.) are input. A variation distribution value is calculated. The variation distribution value and a variation distribution error standard are compared to determine whether the variation distribution value is smaller than the error standard. The variation distribution error standard is a standard for a value or the like related to a standard deviation or the like for a dimensional displacement. When it is determined that the variation distribution value is smaller than the error standard, an error is determined not to exist, and the processing is ended. When it is determined that the variation distribution value is not smaller than the error standard, an error is determined to exist, and an error list and a variation distribution value are outputted.

Подробнее
09-08-2012 дата публикации

Keyboard design

Номер: US20120199455A1
Принадлежит: Apple Inc

Embodiments of a backlit keyboard assembly are described. A keycap assembly is mounted into a case web having intersecting ribs. A flange, which can be angled, is on the bottom perimeter of the keycap and a correspondingly shaped flange is on each rib of the case web. An overlap of the keycap flange and the case web flanges prevents direct sighting of the backlight light source and also provides a more evenly distributed halo around the keycap, thereby improving both aesthetics and functionality by providing better light control and contrast.

Подробнее
09-08-2012 дата публикации

Reduced lens heating methods, apparatus, and systems

Номер: US20120202143A1
Автор: Fei Wang, Xinya Lei
Принадлежит: Individual

In one embodiment, a system is disclosed that includes an illuminator having a source that produces light waves having a first wavelength, and a mask. The mask includes at least one partly opaque area and at least one opening within the opaque area includes a slanted, sub-resolution feature that redistributes a portion of the light passing through the open area to an off-axis location. A method of forming a device by way of photolithography might include forming unresolvable features on a mask and projecting light through the mask. Other systems, methods, and apparatus are disclosed.

Подробнее
16-08-2012 дата публикации

Inspection Apparatus and Method, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method

Номер: US20120206703A1
Принадлежит: ASML Netherlands BV

Asymmetry properties of a periodic target on a substrate, such as a grating on a wafer, are determined. An inspection apparatus has a broadband illumination source with illumination beams point mirrored in the pupil plane of a high numerical aperture objective lens. The substrate and target are illuminated via the objective lens from a first direction and a second direction mirror reflected with respect to the plane of the substrate. A quad wedge optical device separately redirects diffraction orders of radiation scattered from the substrate and separates diffraction orders from illumination along each of the first and second directions. For example the zeroth and first orders are separated for each incident direction. After capture in multimode fibers, spectrometers are used to measure the intensity of the separately redirected diffraction orders as a function of wavelength.

Подробнее
16-08-2012 дата публикации

Structured illumination for contrast enhancement in overlay metrology

Номер: US20120206729A1
Принадлежит: KLA Tencor Corp

Contrast enhancement in a metrology tool may include generating a beam of illumination, directing a portion of the generated beam onto a surface of a spatial light modulator (SLM), directing at least a portion of the generated beam incident on the surface of the SLM through an aperture of an aperture stop and onto one or more target structures of one or more samples, and generating a selected illumination pupil function of the illumination transmitted through the aperture utilizing the SLM in order to establish a contrast level of one or more field images of the one or more target structures above a selected contrast threshold, and performing one or more metrology measurements on the one or more target structures utilizing the selected illumination pupil function.

Подробнее
23-08-2012 дата публикации

Optical Apparatus, Method of Scanning, Lithographic Apparatus and Device Manufacturing Method

Номер: US20120212718A1
Автор: Arie Jeffrey Den Boef
Принадлежит: ASML Netherlands BV

An apparatus measures positions of marks on a lithographic substrate. A measurement optical system comprises illumination subsystem for illuminating the mark with a spot of radiation and a detecting subsystem for detecting radiation diffracted by the mark. A tilting mirror moves the spot of radiation relative to the reference frame of the measurement optical system synchronously with a scanning motion of the mark itself, to allow more time for accurate position measurements to be acquired. The mirror tilt axis is arranged along the intersection of the mirror plane with a pupil plane of the objective lens to minimize artifacts of the scanning. The same geometrical arrangement can be used for scanning in other types of apparatus, for example a confocal microscope.

Подробнее
30-08-2012 дата публикации

Lithographic apparatus, a method of controlling the apparatus and a device manufacturing method

Номер: US20120218534A1
Принадлежит: ASML Netherlands BV

An immersion lithographic apparatus is disclosed having a projection system configured to direct a patterned beam of radiation onto a substrate and a liquid handling system configured to supply and confine immersion liquid to a space defined between the projection system and a substrate, or a substrate table, or both. A controller is provided to adjust an angle of a lower surface of the liquid handling system relative to the top surface of the substrate during motion of the substrate and/or substrate table relative to the liquid handling system dependent upon a position of the substrate and/or substrate table relative to the liquid handling system and/or a direction of relative movement between the substrate and/or substrate table and the liquid handling system.

Подробнее
30-08-2012 дата публикации

Method and device for a spatially resolved introduction of an intensity pattern comprising electro-magnetic radiation into a photosensitive substance as well as applications thereof

Номер: US20120218535A1
Принадлежит: Nanoscribe GmbH

A method for the spatially resolved introduction of an intensity pattern of electro-magnetic radiation by at least one optic display system into a photosensitive substance having properties which can be changed by photon exposure. These properties include a first, liquid and at least one second state, with the electro-magnetic radiation being conducted via the optic display system into the photosensitive substance and here being projected on predetermined spatial coordinates, in order to create at or in an area of these spatial coordinates a change of the properties of the substance. A surface of an objective lens of the optic display system, through which the electro-magnetic radiation 4 is emitted, is immersed in the liquid photosensitive substance 2. A corresponding device is provided, and the device and method can be used for the creation of micro or nano-scaled structures.

Подробнее
30-08-2012 дата публикации

Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Номер: US20120219886A1
Принадлежит: D2S Inc

A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed.

Подробнее
30-08-2012 дата публикации

Optical member for euv lithography, and process for production of reflective layer-equipped substrate

Номер: US20120219890A1
Автор: Masaki Mikami
Принадлежит: Asahi Glass Co Ltd

There are provided an EUV optical member, in which deterioration in the reflectivity due to oxidation of the Ru protective layer is prevented, a functional film-equipped substrate to be employed for production of the EUV optical member, and a process for producing the functional film-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 20 at % of oxygen and from 80 to 99.5 at % of Si is formed between the reflective layer and the protective layer.

Подробнее
30-08-2012 дата публикации

Method of fabricating semiconductor device

Номер: US20120220058A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of fabricating a semiconductor device includes preparing a layout of the semiconductor device, obtaining contrast of an exposure image of the layout through a simulation under a condition of using a crosspole illumination system, separating the layout into a plurality of sub-layouts based on the contrast of the exposure image, forming a photomask having a mask pattern corresponding to the plurality of sub-layouts, and performing an exposure process using the photomask under an exposure condition of using a dipole illumination system.

Подробнее
06-09-2012 дата публикации

Exposure apparatus, exposure method, and method for producing device

Номер: US20120224154A1
Принадлежит: Nikon Corp

An exposure apparatus exposes a substrate by irradiating exposure light on the substrate through liquid. The exposure apparatus has a substrate holder for holding the substrate, a substrate stage capable of moving the substrate held by the substrate holder, and a temperature adjusting system for adjusting the temperature of the substrate holder. The temperature of the substrate is controlled so that there is no difference in temperature between the substrate and the liquid, thereby preventing a reduction in exposure accuracy resulting from variation in temperature of the liquid.

Подробнее
06-09-2012 дата публикации

Parallel Acquisition Of Spectra For Diffraction Based Overlay

Номер: US20120224176A1
Автор: Michael J. Hammond
Принадлежит: Nanometrics Inc

Spectra for diffraction based overlay (DBO) in orthogonal directions, i.e., along the X-axis and Y-axis, are acquired in parallel. A broadband light source produces unpolarized broadband light that is simultaneously incident on X-axis and Y-axis DBO targets. A polarization separator, such as a Wollaston prism or planar birefringent element, receives diffracted light from the X-axis and Y-axis DBO targets and separates the TE and TM polarization states of the diffracted light. A detector simultaneously detects the TE and TM polarization states of the diffracted light for both the X-axis DBO target and the Y-axis DBO target as a function of wavelength.

Подробнее
06-09-2012 дата публикации

Method for producing a mirror having at least two mirror surfaces, mirror of a projection exposure apparatus for microlithography, and projection exposure apparatus

Номер: US20120224186A1
Принадлежит: CARL ZEISS SMT GMBH

A mirror (M) of a projection exposure apparatus for microlithography configured for structured exposure of a light-sensitive material and a method for producing a mirror (M). The mirror (M) has a substrate body (B), a first mirror surface (S) and a second mirror surface (S′). The first mirror surface (S) is formed on a first side (VS) of the substrate body (B). The second mirror surface (S′) is formed on a second side (RS) of the substrate body (B), the second side being different from the first side of the substrate body (B). The mirror (M) may be embodied, in particular, such that the substrate body (B) is produced from a glass ceramic material.

Подробнее
13-09-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120229782A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus includes a substrate table constructed to hold a substrate, a projection system configured to project a patterned radiation beam through an opening and onto a target portion of the substrate, and a conduit having an outlet in the opening. The conduit is configured to deliver gas to the opening. The lithographic apparatus further includes a cooling apparatus controlled by a control system. The cooling apparatus is configured to cool the gas such that gas which travels from the opening to the substrate has a predetermined temperature when the gas is incident upon the substrate.

Подробнее
13-09-2012 дата публикации

Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process

Номер: US20120229786A1
Принадлежит: ASML Netherlands BV

A method of controlling a lithographic apparatus, the method including setting an illumination system of the lithographic apparatus to effect a selected illumination mode, measuring a value of a first parameter of the lithographic apparatus, calculating a value of a second parameter of a projected image of a feature of a test pattern having a plurality of features using a model of the lithographic apparatus and the measured value of the first parameter, and controlling the lithographic apparatus with reference to the calculated value of the second parameter.

Подробнее
13-09-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120229787A1
Принадлежит: ASML Netherlands BV

In a lithographic apparatus, an illumination mode is set using a field mirror comprising a plurality of movable facets to direct radiation to selectable positions on a pupil facet mirror. In the event that a field facet mirror is defective and cannot be set to a desired position, another of the movable facet mirrors is set to a corrective position, different than its desired position, to at least partially ameliorate a deleterious effect of the defective facet mirror.

Подробнее
20-09-2012 дата публикации

Mask Pattern Correction Device, Method of Correcting Mask Pattern, Light Exposure Correction Device, and Method of Correcting Light Exposure

Номер: US20120236279A1
Принадлежит: Fujitsu Semiconductor Ltd

In view of realizing a lithographic process which makes it possible to estimate and correct flare with an extremely high accuracy, and causes only an extremely small dimensional variation in width, over the entire portion not only of a single shot region, but also of a single chip region, a mask pattern correction device of the present invention has a numerical aperture calculation unit calculating, for every single shot region, flare energy for a mask pattern corresponding to a transferred pattern, based on an exposure layout of a plurality of shot regions, or more specifically, while considering flare from a plurality of shot regions located around every single shot region.

Подробнее
20-09-2012 дата публикации

Laser device for exposure apparatus

Номер: US20120236885A1
Принадлежит: GIGAPHOTON INC

A laser device for an exposure apparatus may include: a MOPA-type or MOPO-type laser device including a seed laser and at least one gas discharge-pumped amplifier stage that receives output light from the seed laser as an input, amplifies the light, and outputs the amplified light; and at least one of a laser gas control device that at least changes the total pressure of a laser gas in said amplifier stage in accordance with requested energy and a laser power source control device that at least changes pump intensity of discharge electrodes in said amplifier stage in accordance with said requested energy, in a case where the energy of laser output light from said laser device is to be changed discontinuously in response to a request from an exposure apparatus,

Подробнее
20-09-2012 дата публикации

Photomask and method for forming overlay mark using the same

Номер: US20120237857A1
Автор: Chui Fu CHIU
Принадлежит: Nanya Technology Corp

The present invention relates to a photomask and a method for forming an overlay mark in a substrate using the same. The photomask comprises a plurality of patterns. At least one of the patterns comprises a plurality of ring areas and a plurality of inner areas enclosed by the ring areas, wherein the light transmittancy of the ring areas is different from that of the inner areas. When the photomask is applied in a photolithography process, the formed overlay mark has a large thickness. Therefore, the contrast is high when a metrology process is performed, and it is easy to find the overlay mark.

Подробнее
27-09-2012 дата публикации

Method for evaluating overlay error and mask for the same

Номер: US20120244459A1
Принадлежит: Nanya Technology Corp

A mask for evaluating overlay error comprises a plurality of replicate device regions and an overlay mark. The plurality of replicate device regions are disposed uniformly on the mask, wherein each comprises a plurality of device patterns; and a plurality of current layer check patterns are formed adjacent to the plurality of device patterns. The overlay mark is formed on the corner of the mask's peripheral region. In particular, the current layer check patterns are configured to evaluate the pattern offset of a current mask, and the overlay mark and the current layer check patterns are configured to evaluate the overlay error by performing an exposure process using the current mask and a next mask.

Подробнее
04-10-2012 дата публикации

Mirror for extreme ultra violet, manufacturing method for mirror for extreme ultra violet, and far ultraviolet light source device

Номер: US20120248342A1
Принадлежит: GIGAPHOTON INC, KOMATSU LTD

An EUV light source is configured for generating an EUV light for an exposure device. The EUV light source includes a chamber, a target supply device configured for supplying a target into the chamber, an optical system for introducing laser light from a driver laser into the chamber and irradiating the target with the laser light to turn the target into plasma from which EUV light is emitted, and an EUV collector mirror in the chamber. The EUV collector mirror may include a multilayered reflecting surface with grooves and collect the EUV light from the plasma to a focal spot. The grooves can be arranged in a concentric fashion, and be configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser.

Подробнее
04-10-2012 дата публикации

Discharge lamp, light source apparatus, exposure apparatus, and exposure apparatus manufacturing method

Номер: US20120248960A1
Принадлежит: Nikon Corp

A light source apparatus is equipped with a discharge lamp, which has a glass tube that forms a light emitting part and a base member that is coupled thereto, and a mounting apparatus that holds the discharge lamp via the base member. Therein, the base member has a flange part that contacts positioning plate of the mounting apparatus, and a fixed part that is urged with a pressing force that presses the flange part to the positioning plate. Furthermore, the mounting apparatus has a fixing arm that urges the fixed part by a compression coil spring.

Подробнее
04-10-2012 дата публикации

Lithographic apparatus and method

Номер: US20120249987A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus includes: an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a deformation sensor to determine deformations of an object of the lithographic apparatus, wherein the deformation sensor includes at least one optical fiber arranged on or in the object, the optical fiber including one or more Bragg gratings, and an interrogation system to interrogate the one or more Bragg gratings.

Подробнее
04-10-2012 дата публикации

Optical beam deflecting element, illumination system including same, and related method

Номер: US20120249988A1
Принадлежит: CARL ZEISS SMT GMBH

An optical beam deflecting element may be used effectively as an energy distribution manipulator in an illumination system to vary the energy distribution within a given spatial intensity distribution in a pupil plane of the illumination system substantially without changing the shape and size and position of illuminated areas in the pupil plane.

Подробнее
04-10-2012 дата публикации

Lithographic apparatus and a device manufacturing method

Номер: US20120249994A1
Принадлежит: ASML Netherlands BV

An immersion lithographic apparatus includes a surface having at least one active group (e.g., lyophobic group) which, during use, comes into contact with immersion liquid, and an immersion liquid supply system configured to provide immersion liquid comprising a protection component which is more reactive with a product of photoionization of the immersion liquid than the active group of the surface, the protection component being present in an amount of between 1 ppm and 0.1 ppm.

Подробнее
11-10-2012 дата публикации

Lithographic apparatus, device manufacturing method, and method of correcting a mask

Номер: US20120257184A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus includes a mask correction system configured to controllably and locally alter a property of a mask, for example transmissivity, transmissivity to a particular polarization state, birefringence and/or geometry. The mask correction system, in an embodiment, directs a beam of radiation onto a spot of the mask, the mask being scanned relative to the mask correction system. The mask correction system may include an arrangement to irradiate multiple spots on the mask substantially simultaneously.

Подробнее
18-10-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120262689A1
Принадлежит: ASML Netherlands BV

In a lithographic apparatus, an illumination mode is set using a field mirror that includes a plurality of movable facets to direct radiation to selectable positions on a pupil facet mirror. A base illumination mode is selected from a set of predetermined illumination modes and the movable facets are set to effect that mode. In order to adjust an imaging parameter, a fraction of the movable facets are set to different positions. The determination of which facets to set to different positions is based on summing the effects of setting each facet to a different position.

Подробнее
25-10-2012 дата публикации

Radiation Detector, Method of Manufacturing a Radiation Detector, and Lithographic Apparatus Comprising a Radiation Detector

Номер: US20120268722A1
Принадлежит: ASML Netherlands BV

In one an embodiment, there is provided an assembly comprising at least one detector. Each of the at least one detector includes a substrate having a doped region of a first conduction type, a layer of dopant material of a second conduction type located on the substrate, a diffusion layer formed within the substrate and in contact with the layer of dopant material and the doped region of the substrate, wherein a doping profile, which is representative of a doping material concentration of the diffusion layer, increases from the doped region of the substrate to the layer of dopant material, a first electrode connected to the layer of dopant material, and a second electrode connected to the substrate. The diffusion layer is arranged to form a radiation sensitive surface.

Подробнее
01-11-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120274912A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate. The projection system has a final element. The apparatus also includes a barrier member surrounding a space between the projection system and, in use, the substrate, to define in part with the final element a reservoir for liquid. The barrier member is spaced from the final element to define a gap therebetween. The apparatus further includes a deformable seal between a radially outer surface of the final element and a radially outer surface of the barrier member. The deformable seal is configured to substantially prevent a gas from flowing past the seal towards or away from the reservoir of liquid.

Подробнее
01-11-2012 дата публикации

Systems and methods for stochastic models of mask process variability

Номер: US20120278768A1
Принадлежит: Micron Technology Inc

Systems and methods are disclosed for a stochastic model of mask process variability of a photolithography process, such as for semiconductor manufacturing. In one embodiment, a stochastic error model may be based on a probability distribution of mask process error. The stochastic error model may generate a plurality of mask layouts having stochastic errors, such as random and non-uniform variations of contacts. In other embodiments, the stochastic model may be applied to critical dimension uniformity (CDU) optimization or design rule (DR) sophistication.

Подробнее
08-11-2012 дата публикации

Multi-Method and Device with an Advanced Acousto-Optic Deflector (AOD) and a Dense Brush of Flying Spots

Номер: US20120281195A1
Автор: Torbjörn Sandström
Принадлежит: MICRONIC MYDATA AB

The technology disclosed relates to improved acousto-optic deflectors (AODs). In particular, it relates to compensation for subtle effects not previously addressed by AOD designers. A shifting center of gravity is described and addressed using advanced power equalisation strategies. Denser writing brushes are provided by using a two-dimensional array of beams with corrections for factors such as angle of incidence at the AOD interface.

Подробнее
08-11-2012 дата публикации

Euv lithography flare calculation and compensation

Номер: US20120284675A1
Автор: HUA Song, James SHIELY
Принадлежит: Synopsys Inc

Extreme ultraviolet (EUV) lithography flare calculation and compensation is disclosed herein. A method of calculating flare for a mask for use in EUV lithography includes decomposing the flare power spectrum density (PSD) into a low frequency component and a high frequency component. Further, the method includes receiving a plurality of layouts in a flare map generator. Each of the plurality of layouts corresponds to a chip pattern location on the mask. Moreover, the method includes generating, using the flare map generator, a low frequency flare map for the mask from the low frequency component by using fast Fourier transform (FFT).

Подробнее
15-11-2012 дата публикации

Image-forming optical system, exposure apparatus, and device producing method

Номер: US20120287413A1
Автор: Hideki Komatsuda
Принадлежит: Nikon Corp

There is provided a reflective image-forming optical system which is applicable to an exposure apparatus using, for example, EUV light and which is capable of increasing numerical aperture while enabling optical path separation of light fluxes. In a reflective imaging optical system ( 6 ) forming an image of a first plane ( 4 ) onto a second plane ( 7 ), the numerical aperture on a side of the second plane with respect to a first direction (X direction) on the second plane is greater than 1.1 times a numerical aperture on the side of the second plane with respect to a second direction (Y direction) crossing the first direction on the second plane. The reflecting imaging optical system has an aperture stop (AS) defining the numerical aperture on the side of the second plane, and the aperture stop has an elliptic-shaped opening of which size in a major axis direction (X direction) is greater than 1.1 times that in a minor axis direction (Y direction).

Подробнее
22-11-2012 дата публикации

Method and system for monitoring an etch process

Номер: US20120291952A1
Принадлежит: Individual

A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.

Подробнее
22-11-2012 дата публикации

Optical element having a plurality of reflective facet elements

Номер: US20120293785A1
Принадлежит: CARL ZEISS SMT GMBH

An optical element for use in an illumination optical unit of an EUV microlithography projection exposure apparatus includes a plurality of reflective facet elements. Each reflective facet element has at least one reflective surface. In this case, at least one facet element is arranged in a manner rotatable about a rotation axis. The rotation axis intersects the at least one reflective surface of the facet element. With such an optical element, it is possible to alter the direction and/or the intensity of at least part of the illumination radiation within the illumination optical unit in a simple manner.

Подробнее
29-11-2012 дата публикации

Lithographic apparatus and component

Номер: US20120300187A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus includes a substrate table constructed to hold a substrate, and a projection system configured to project a patterned radiation beam onto a target portion of the substrate. A surface of a component of the lithographic apparatus that is in a vacuum environment in use is provided with a repeating structure configured to increase the effective thermal accommodation coefficient of the surface.

Подробнее
29-11-2012 дата публикации

Frequency stabilized laser system

Номер: US20120300198A1
Принадлежит: Honeywell International Inc

A laser stabilization system includes laser source having first and second ends; first waveguide portion having first and second ends, first end of first waveguide portion coupled to first end of laser source; second waveguide portion having first and second ends, first end of second waveguide portion coupled to second end of laser source; micro-cavity coupled between second end of first waveguide portion and second end of second waveguide portion, micro-cavity having resonant frequency; and electronic locking loop coupled between micro-cavity and laser source, wherein electronic locking loop electronically locks laser source to resonant frequency of micro-cavity; wherein first waveguide portion is optical locking loop coupled between micro-cavity and laser source, wherein optical locking loop optically locks laser source to resonant frequency of micro-cavity; micro-cavity stabilization loop coupled with micro-cavity, wherein micro-cavity stabilization loop stabilizes resonant frequency of micro-cavity to reference frequency; and output for outputting light from system.

Подробнее
20-12-2012 дата публикации

Exposure apparatus and device fabrication method

Номер: US20120320360A1
Принадлежит: Canon Inc

The present invention provides an exposure apparatus including a light shielding plate which is placed on a plane conjugate to an object plane of a projection optical system in an illumination optical system, includes, on an edge thereof, an arc that overlaps a circular boundary line inside an outer periphery of a substrate, and defines a region on the substrate, to which a pattern is to be transferred, a detection unit which detects a shift amount between the center position of the substrate and the center position of an array of a plurality of shot regions on a layer, and a control unit which positions the plate at a position, at which the plate shields light incident on an outer peripheral region shifted inward from the outer periphery of the substrate by a predetermined width, based on the shift amount.

Подробнее
20-12-2012 дата публикации

Azicon beam polarization devices

Номер: US20120320458A1
Автор: Jay R. Knutson

Polarizers and polarizing beam splitter include one or more pairs of axicons that are configured to separate an input beam into a radially polarized component and a tangentially (or azimuthally) polarized component. A second axicon pair can be provided to recombine the tangentially polarized component so as to provide a more uniform beam intensity. The radially polarized component can be reflected or otherwise directed so that one or both the radial and tangential components are available for use.

Подробнее
20-12-2012 дата публикации

Simulation model creating method, computer program product, and method of manufacturing a semiconductor device

Номер: US20120324407A1
Принадлежит: Individual

According to a simulation model creating method of an embodiment, a resist pattern is formed by transferring a mask pattern on a first substrate with an exposing amount and a focus value being changed, and a line width of the resist pattern is measured. Next, measurement results which are not within an allowable change range due to an irregularity of the exposing amount, an irregularity of the focus value or pattern feature amount are removed. In addition, measurement results which are not with in an allowable change range due to an irregularity of the line width of the mask pattern are removed. Next, a simulation model is created by using measurement results which are not removed.

Подробнее
27-12-2012 дата публикации

System and Method to Ensure Source and Image Stability

Номер: US20120327383A1
Принадлежит: ASML Netherlands BV

The present invention discloses various system and process embodiments where wafer-metrology and direct measurements of the lithography apparatus characteristics are combined to achieve temporal drift reduction in a lithography apparatus/process using a simulation model. The simulation model may have sub-components. For example, a sub-model may represent a first set of optical conditions, and another sub-model may represent a second set of optical conditions. The first set of optical conditions may be a standard set of illumination conditions, and the second set may be a custom set of illumination conditions. Using the inter-relationship of the sub-models, stability control under custom illumination condition can be achieved faster without wafer metrology.

Подробнее