Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 8889. Отображено 100.
12-01-2012 дата публикации

Heating and cooling of substrate support

Номер: US20120006493A1
Принадлежит: Individual

A process chamber and a method for controlling the temperature of a substrate positioned on a substrate support assembly within the process chamber are provided. The substrate support assembly includes a thermally conductive body, a substrate support surface on the surface of the thermally conductive body and adapted to support a large area substrate thereon, one or more heating elements embedded within the thermally conductive body, and two or more cooling channels embedded within the thermally conductive body to be coplanar with the one or more heating elements. The cooling channels may be branched into two or more equal-length cooling passages being extended from a single point inlet and into a single point outlet to provide equal resistance cooling.

Подробнее
02-02-2012 дата публикации

Cryogenic specimen holder

Номер: US20120024086A1
Принадлежит: Fischione E A Instruments Inc

An improved cryogenic specimen holder for imaging and analysis facilitates imaging at very high tilt angles with a large field of view. A retractable specimen holder tip protects the specimen during transport. An optimized Dewar design is positioned at a fixed, tilted angle with respect to the axis of the holder, providing a means of continuously cooling the specimen irrespective of the high tilt angle and the amount of liquid nitrogen present in the vessel. The Dewar neck design reduces the entrapment of nitrogen gas bubbles and its shape prevents the spilling of liquid nitrogen at high tilt angles. The specimen holder has a retractable tip that completely encapsulates the specimen within a shielded environment internal to the specimen holder body. The cooling and specimen transfer mechanisms reduce thermal drift and the detrimental effects of vibrations generated by both the evaporation of liquid nitrogen present in the Dewar as well as other environmental effects.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
12-04-2012 дата публикации

Ion Beam Sample Preparation Apparatus and Methods

Номер: US20120085923A1
Принадлежит: Gatan Inc

Disclosed are embodiments of an ion beam sample preparation apparatus and methods for using the embodiments. The apparatus comprises an ion beam irradiating means in a vacuum chamber that may direct ions toward a sample, a shield blocking a portion of the ions directed toward the sample, and a shield retention stage with shield retention means that replaceably and removably holds the shield in a position. The shield has datum features which abut complementary datum features on the shield retention stage when the shield is held in the shield retention stage. The shield has features which enable the durable adhering of the sample to the shield for processing the sample with the ion beam. The complementary datum features on both shield and shield retention stage enable accurate and repeatable positioning of the sample in the apparatus for sample processing and reprocessing. Additionally, apparatus kits are disclosed that enable the use of the same shields in the observation of prepared samples.

Подробнее
10-05-2012 дата публикации

Environmental Cell for Charged Particle Beam System

Номер: US20120112062A1
Принадлежит: FEI Co

An environmental cell for a charged particle beam system allows relative motion between the cell mounted on an X-Y stage and the optical axis of the focusing column, thereby eliminating the need for a sub-stage within the cell. A flexible cell configuration, such as a retractable lid, permits a variety of processes, including beam-induced and thermally-induced processes. Photon yield spectroscopy performed in a charged particle beam system and using gas cascade amplification of the photoelectrons allows analysis of material in the cell and monitoring of processing in the cell. Luminescence analysis can be also performed using a retractable minor.

Подробнее
17-05-2012 дата публикации

Method and Apparatus for Rapid Preparation of Multiple Specimens for Transmission Electron Microscopy

Номер: US20120119084A1
Принадлежит: NANOTEM Inc

A method and apparatus for in-situ lift-out rapid preparation of TEM samples. The invention uses adhesives and/or spring-loaded locking-clips in order to place multiple TEM-ready sample membranes on a single TEM support grid and eliminates the use of standard FIB-assisted metal deposition as a bonding scheme. Therefore, the invention circumvents the problem of sputtering from metal deposition steps and also increases overall productivity by allowing for multiple samples to be produced without opening the FIB/SEM vacuum chamber.

Подробнее
24-05-2012 дата публикации

Semiconductor structure made using improved pseudo-simultaneous multiple ion implantation process

Номер: US20120126147A1
Автор: Sarko Cherekdjian
Принадлежит: Corning Inc

Methods and apparatus provide for: a source simultaneously producing first plasma, which includes a first species of ions, and second plasma, which includes a second, differing, species of ions; an accelerator system including an analyzer magnet, which cooperate to simultaneously: (i) accelerate the first and second plasma along an initial axis, (ii) alter a trajectory of the first species of ions from the first plasma, thereby producing at least one first ion beam along a first axis, which is transverse to the initial axis, and (iii) alter a trajectory of the second species of ions from the second plasma, thereby producing at least one second ion beam along a second axis, which is transverse to the initial axis and the first axis; and a beam processing system operating to simultaneously direct the first and second ion beams toward a semiconductor wafer such that the first and second species of ions bombard an implantation surface of the semiconductor wafer to create an exfoliation layer therein.

Подробнее
11-10-2012 дата публикации

Electron beam drift detection device and method for detecting electron beam drift

Номер: US20120256084A1
Принадлежит: National Taiwan University NTU

An electron beam drift detection device and a method for detecting electron beam drift are provided in which the method includes placing a predetermined characteristic identification pattern on a surface of a workpiece; emitting an electron beam, and focusing and deflecting the electron beam such that the focused and deflected electron beam scans the surface of the workpiece and the characteristic identification pattern; detecting backscattered electrons and secondary electrons; and detection signals; and receives the receiving detection signals and performs performing an image process on the detection signals to obtain an electronic image of the characteristic identification pattern, and measuring a drift degree by comparing the electronic image with the predetermined shape of the characteristic identification pattern.

Подробнее
15-11-2012 дата публикации

Cooled manipulator tip for removal of frozen material

Номер: US20120286175A1
Принадлежит: Gatan Inc

The disclosed apparatus enables attachment to a sample to be excised from a frozen bulk sample, the transfer of the excised sample from the bulk sample to a separate cooled support structure by means of a manipulator tip that can be cooled and maintained at a temperature below that of vitreous ice and which provides both an active cooling path and cryogenic shielding to maintain the temperature of the excised sample below that of vitreous ice. The cryogenic shielding also helps minimize contamination of the cooled sample by condensation of volatile material. A method is disclosed for extracting a portion of a frozen sample, comprising attaching a thermally-isolated cooled manipulator tip to the sample with vapor deposition and removing a portion of the sample affixed to the tip without changing phase of the portion of the sample being removed, with a focused ion beam.

Подробнее
10-01-2013 дата публикации

Specimen box for electron microscope

Номер: US20130009071A1
Автор: Chih Chen, King-Ning Tu
Принадлежит: Individual

The present invention relates to a specimen box for an electron microscope, comprising a first substrate, a second substrate, one or more photoelectric elements, and a metal adhesion layer. The first substrate has a first surface, a second surface, a first concave, and one or more first through holes, wherein the first through holes penetrate through the first substrate. The second substrate has a third surface, a forth surface, and a second concave. The photoelectric element is disposed between the first substrate and the second substrate. In addition, the metal adhesion layer is disposed between the first substrate and the second substrate to form a space for a specimen contained therein. Besides, the present specimen box further comprises one or more plugs. When the plugs are assembled into the first through holes to seal the specimen box, the in-situ observation can be accomplished by using the electron microscope.

Подробнее
28-02-2013 дата публикации

Capacitive cvd reactor and methods for plasma cvd process

Номер: US20130048216A1

A decoupled capacitive CVD reactor is described, which provides improved CVD capabilities, including processing at lower temperatures, performing alternating deposition and etching steps, and performing in situ cleaning of the chamber, without the need for a remote plasma source. Two RF frequencies are coupled to the susceptor, while the anode is grounded. The high frequency RF source is operated so as to control the plasma density, while the low frequency RF source is operated to control species bombardment on the substrate, so as to control the properties of the film being deposited. Additionally, both RF sources may be controlled, together with selection of gasses supplied to the chamber, to operate the chamber either in deposition mode, partial etch mode, etching mode, or cleaning mode.

Подробнее
14-03-2013 дата публикации

Method of deposition

Номер: US20130062194A1
Автор: Shoso Nishida
Принадлежит: Japan Steel Works Ltd

A method of deposition is provided in which a deposition operation can be immediately performed when a workpiece for deposition is carried into a deposition chamber irrespective of a shape or a structure of the workpiece for deposition. The workpiece for deposition is integrally molded with an assisting member, which is configured to maintain the workpiece for deposition in a predetermined orientation such that a deposition surface or a deposition portion thereof faces a target material when the workpiece for deposition is carried into a deposition chamber and is placed on a deposition stand, when the workpiece for deposition is injection-molded; and the workpiece for deposition is carried into the deposition chamber and is deposited.

Подробнее
04-04-2013 дата публикации

Stage apparatus

Номер: US20130082190A1
Принадлежит: Hitachi High Technologies Corp

Fluctuation in speed when a stage with a sample mounted thereon is moved at low speed is reduced such that an image to be observed is moved at constant speed when performing high-magnification observation using a scanning electron microscope. A control amount is obtained by compensation means from the deviation between position information obtained from position information detected by position detection means through a first low-pass filter and a command value obtained by integrating a speed command value input from stage operation input means and through a second low-pass filter having the same frequency characteristic as the first low-pass filter, and a driving signal to be output to driving means is generated from the added value of the control amount and the speed command value by waveform output means.

Подробнее
18-04-2013 дата публикации

Target positioning device, method for driving a target positioning device, and a lithography system comprising such a target positioning device

Номер: US20130094008A1
Принадлежит: Mapper Lithopraphy IP BV

A target positioning device, in particular for a lithography system, comprising a carrier for carrying a target, and a stage for carrying and moving the carrier along a first direction (X). The stage comprising two X-stage bases, both arranged on top of a common base plate, each X-stage base carries an X-stage carriage, and a Y-beam comprising a Y-stage for carrying said carrier and moving the carrier said carrier in a second direction (Y). The Y-beam bridges the space between the X-stage carriages and is connected to the X-stage carriages via a flexible coupling. The device further comprises two motors each for driving a corresponding X-stage carriage along its corresponding X-stage base. The two motors are arranged at least substantially below the stage. Each motor of said two motors is coupled to an eccentric cam or crank which is connected to the corresponding X-stage carriage via a crank shaft.

Подробнее
25-04-2013 дата публикации

Sample stage device

Номер: US20130098274A1
Автор: Takashi Kobayashi
Принадлежит: Hitachi High Technologies Corp

A sample stage device ( 10 ) is so configured as to calculate ideal position information xtg(i), tg(i) per predetermined period that is unaffected by drive conditions relating to gaps ( 25, 26 ), etc., and to determine, per predetermined cycle and in real time, deviations dx(i), dy(i) between real-time measured positions x(i), y(i) by position detectors comprising laser interferometers ( 33, 34 ), etc., and ideal position information xtg(i), tg(i). In addition, it calculates, based on deviations dx(i), dy(i) thus determined, such speed command values vx(i), vy(i) for motors ( 27, 28 ) that measured values x(i), y(i) would follow ideal position information xtg(i), tg(i), and performs stable and high-speed positioning control for a sample table ( 11 ) through feedback control that controls speed in real time. Thus, with respect to a sample stage device, it is possible to provide a stable and high-speed positioning control method for a sample table, which is capable of suppressing noise caused by thermal drift and vibration, without being affected by drive conditions, such as the initial states of gaps, etc.

Подробнее
25-04-2013 дата публикации

Manipulator carrier for electron microscopes

Номер: US20130099134A1
Автор: Changai Ru, Yong Zhang, Yu Sun
Принадлежит: University of Toronto

The present invention relates to a carrier device for transporting one or more manipulators into a vacuum specimen chamber of an electron microscope, characterized in that the carrier device comprises: (i) a platform having securing means for detachably securing the one or more manipulators to the platform, and (ii) electrical connectors secured to the platform for the electrical connection of the one or more manipulators. The present invention also relates to a method for transporting the carrier device into the vacuum specimen chamber of the electron microscope without altering the vacuum of the vacuum specimen chamber comprising transporting the carrier device of the invention through the specimen exchange chamber of the electron microscope and into the vacuum specimen chamber.

Подробнее
08-08-2013 дата публикации

Inspection apparatus and replaceable door for a vacuum chamber of such an inspection apparatus and a method for operating an inspection apparatus

Номер: US20130200262A1
Принадлежит: Delmic BV

An inspection apparatus is provided comprising in combination at least an optical microscope ( 2, 3, 4 ) and an ion- or electron microscope ( 7, 8 ) equipped with a source ( 7 ) for emitting a primary beam ( 9 ) of radiation to a sample ( 10 ) in a sample holder. The apparatus may comprise a detector ( 8 ) for detection of secondary radiation ( 11 ) backscattered from the sample and induced by the primary beam. The optical microscope is equipped with an light collecting device ( 2 ) to receive in use luminescence light ( 12 ) emitted by the sample and to focus it on a photon-detector ( 4 ).

Подробнее
08-08-2013 дата публикации

Charged particle beam device

Номер: US20130200271A1
Принадлежит: Hitachi High Technologies Corp

The objective of the present invention is to provide a charged particle beam device such that a tip part can be effectually maintained in a clean state, while the frequency of valve body replacements is also reduced. To achieve the objective, a charged particle beam device is offered, comprising: a partition that is positioned between a charged particle source-side vacuum space and a specimen stage-side vacuum space, said partition further comprising an opening for a charged particle beam to pass through; a driver mechanism that moves a shutter member between a first location within the optical axis of the charged particle beam and a second location outside the optical axis of the charged particle beam; and a control device that controls the driver mechanism. The first location is a location wherein the shutter member is distanced from the partition, and the control device carries out a control that opens a valve between the specimen chamber and the exchange chamber when the shutter member is in a state of being located in the first location.

Подробнее
15-08-2013 дата публикации

Specimen holder used for mounting samples in electron microscopes

Номер: US20130206984A1
Принадлежит: Protochips Inc

A novel specimen holder for specimen support devices for insertion in electron microscopes. The novel specimen holder of the invention provides mechanical support for specimen support devices and as well as electrical contacts to the specimens or specimen support devices.

Подробнее
29-08-2013 дата публикации

Ion milling device

Номер: US20130220806A1
Принадлежит: Hitachi High Technologies Corp

An ion milling device of the present invention is provided with a tilt stage ( 8 ) which is disposed in a vacuum chamber ( 15 ) and has a tilt axis parallel to a first axis orthogonal to an ion beam, a drive mechanism ( 9, 51 ) which has a rotation axis and a tilt axis parallel to a second axis orthogonal to the first axis and rotates or tilts a sample ( 3 ), and a switching unit which enables switching between a state in which the ion beam is applied while the sample is rotated or swung while the tilt stage is tilted, and a state in which the ion beams is applied while the tilt stage is brought into an untilted state and the sample is swung. Consequently, the ion milling device capable of performing cross-section processing and flat processing of the sample in the same vacuum chamber is implemented.

Подробнее
12-09-2013 дата публикации

Rapid thermal processing systems and methods for treating microelectronic substrates

Номер: US20130233834A1
Автор: SHU QIN
Принадлежит: Micron Technology Inc

Rapid thermal processing systems and associated methods are disclosed herein. In one embodiment, a method for heating a microelectronic substrate include generating a plasma, applying the generated plasma to a surface of the microelectronic substrate, and raising a temperature of the microelectronic substrate with the generated plasma applied to the surface of the microelectronic substrate. The method further includes continuing to apply the generated plasma until the microelectronic substrate reaches a desired temperature.

Подробнее
12-09-2013 дата публикации

Method for setting an operating parameter of a particle beam device and a sample holder for performing the method

Номер: US20130234011A2
Принадлежит: CARL ZEISS MICROSCOPY GMBH

A method for adjusting an operating parameter of a particle beam device and a sample holder, which is suitable in particular for performing the method are provided. An adjustment of an operating parameter of a particle beam device is possible without transfer of the sample holder out of the particle beam device. A reference sample is placed in a first sample receptacle, so that in ongoing operation of the particle beam device, the sample holder need only be positioned in such a way that the reference sample is bombarded and measured with the aid of a particle beam generated in the particle beam device.

Подробнее
26-09-2013 дата публикации

Sample observation method, sample preparation method, and charged particle beam apparatus

Номер: US20130248707A1
Автор: Atsushi Uemoto, Xin Man
Принадлежит: Hitachi High Tech Science Corp

A sample observation method including: placing a sample stage at a first tilt angle with respect to a charged particle beam, and irradiating an observation surface of a sample with the charged particle beam to acquire a first charged particle image; tilting the sample stage to a second tilt angle different from the first tilt angle about a first sample stage axis, and irradiating the observation surface with the charged particle beam to acquire a second charged particle image; tilting the sample stage to a tilt angle at which an area of the observation surface in the acquired charged particle image is larger between the first charged particle image and the second charged particle image; and irradiating the observation surface with the charged particle beam to observe the observation surface.

Подробнее
05-12-2013 дата публикации

Inert Atmospheric Pressure Pre-Chill and Post-Heat

Номер: US20130320208A1
Принадлежит: Axcelis Technologies Inc

An ion implantation system provides ions to a workpiece positioned in a process environment of a process chamber on a sub-ambient temperature chuck. An intermediate chamber having an intermediate environment is in fluid communication with an external environment and has a cooling station and heating station for cooling and heating the workpiece. A load lock chamber is provided between the process chamber and intermediate chamber to isolate the process environment from the intermediate environment. A positive pressure source provides a dry gas within the intermediate chamber at dew point that is less than a dew point of the external environment to the intermediate chamber. The positive pressure source isolates the intermediate environment from the external environment via a flow of the dry gas from the intermediate chamber to the external environment.

Подробнее
02-01-2014 дата публикации

Method for setting a position of a carrier element in a particle beam device

Номер: US20140001373A1
Автор: Matthias Knappich
Принадлежит: CARL ZEISS MICROSCOPY GMBH

A method is provided for setting a position of a carrier element, arranged in a particle beam device, that holds an object. The particle beam device has a beam generator for generating a particle beam and an objective for focusing the particle beam. The carrier element is movable using a first stepper motor. A movement of the carrier element is started by actuating the first stepper motor using a first motor current in the form of an alternating current. The first motor current is set to a first frequency and a first amplitude. The movement of the carrier element is decelerated by reducing the first frequency and by reducing the first amplitude of the first motor current. The first frequency is reduced to zero during a first period of time. The first amplitude is reduced to an amplitude of a first holding current during the first period of time.

Подробнее
06-02-2014 дата публикации

Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support

Номер: US20140034608A1
Принадлежит: Lam Research Corp

A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base has a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or disposed on an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently.

Подробнее
13-02-2014 дата публикации

Sample holding apparatus for electron microscope, and electron microscope apparatus

Номер: US20140042318A1
Принадлежит: Hitachi High Technologies Corp

A sample holding apparatus for electron microscope includes: a sample holding assembly including an assembly of three components of an upper diaphragm holding part, a sample holding plate and a lower diaphragm holding part; and a holding part that holds the sample holding assembly replaceably. The sample holding assembly includes a cell defined between a diaphragm of the upper diaphragm holding part and a diaphragm of the lower diaphragm holding part, and a flow channel connected to the cell, in which a sample mounted at a protrusion of the sample holding plate is placed. The diaphragm of the upper diaphragm holding part, the sample and the diaphragm of the lower diaphragm holding part are disposed along an optical axis of an electron beam.

Подробнее
20-02-2014 дата публикации

Bonding-substrate fabrication method, bonding substrate, substrate bonding method, bonding-substrate fabrication apparatus, and substrate assembly

Номер: US20140048805A1

[Problem] To provide a substrate bonding technique having a wide range of application. [Solution] A silicon thin film is formed on a bonding surface, and the interface with the substrate is surface-treated using energetic particles/metal particles.

Подробнее
06-03-2014 дата публикации

Specimen holder for holding a semiconductor device during a sample preparation procedure carried out using first and second sample preparation apparatuses

Номер: US20140061502A1
Автор: Marco Alfio Torrisi
Принадлежит: STMICROELECTRONICS SRL

A specimen holder is configured to hold, during a sample preparation procedure carried out using first and second sample preparation apparatuses, a semiconductor device to be analyzed using an electron microscope. The specimen holder includes a holding portion having a support configured to support the semiconductor device; and a supporting portion configured to releasable support the holding portion. The supporting portion includes an engaging element configured to couple the specimen holder into the first and second sample preparation apparatuses during the sample preparation procedure, and a guide configured to enable the holding portion to slide within the guide and vary a position of the holding portion with respect to the supporting portion.

Подробнее
20-03-2014 дата публикации

Substrate mounting table, substrate processing apparatus and temperature control method

Номер: US20140076515A1
Автор: Yasuharu Sasaki
Принадлежит: Tokyo Electron Ltd

A substrate mounting table for mounting a substrate in a substrate processing apparatus, includes a table body having a substrate mounting surface. An annular peripheral ridge portion is formed on the substrate mounting surface of the table body. The annular peripheral ridge portion makes contact with a peripheral edge portion of the substrate and forms a closed space for circulation of a heat transfer gas below the substrate, when the substrate is mounted on the substrate mounting surface of the table body. The table body has a heat transfer gas inlet port formed in a peripheral edge region of the substrate mounting surface, a heat transfer gas outlet port formed in a central region of the substrate mounting surface, and a flow path formed on the substrate mounting surface for forming a conductance C when the heat transfer gas flows from the inlet port to the outlet port.

Подробнее
03-04-2014 дата публикации

Charged particle beam apparatus and electrostatic chuck apparatus

Номер: US20140091232A1
Принадлежит: Hitachi High Technologies Corp

To improve an apparatus reliability by applying a voltage suitable to a situation, a charged-particle-beam apparatus 1 of the present invention includes: a sample stage 25; an electrostatic chuck 30; and an electrostatic-chuck controlling unit 13, and generates an image of a sample 24 by irradiating the sample 24 held on the sample stage 25 by the electrostatic chuck 30 with an electron beam 16. The electrostatic-chuck controlling unit 13, when the electrostatic chuck 30 holds the sample 24, applies a preset initial voltage to a chuck electrode of the electrostatic chuck 30; determines whether or not the sample 24 is normally clamped to the electrostatic chuck 30; and increases the voltage applied to the chuck electrode until determining that the sample 24 is clamped normally to the electrostatic chuck 30 if determining that the sample 24 is not clamped normally to the electrostatic chuck 30.

Подробнее
03-04-2014 дата публикации

Ion Beam Sample Preparation Apparatus and Methods

Номер: US20140091237A1
Принадлежит: Gatan Inc

Disclosed are embodiments of an ion beam sample preparation apparatus and methods. The apparatus has disposed in a vacuum chamber at least one tilting ion beam irradiating means with intensity control, a rotation stage with rotation control, a sample holder, and an adjustable positioning stage that has two axes of positional adjustment that are operable to move the region of the sample being prepared by the ion beam relative to the ion beam. The apparatus may also include a vacuum-tight optical window for observing the sample and a shutter for protecting the optical window from debris while the sample is prepared in the ion beam.

Подробнее
04-01-2018 дата публикации

Semiconductor Workpiece Temperature Measurement System

Номер: US20180003567A1
Принадлежит:

An improved system and method of measuring the temperature of a workpiece being processed is disclosed. The temperature measurement system determines a temperature of a workpiece by measuring the amount of expansion in the workpiece due to thermal expansion. The amount of expansion may be measured using a number of different techniques. In certain embodiments, a light source and a light sensor are disposed on opposite sides of the workpiece. The total intensity of the signal received by the light sensor may be indicative of the dimension of the workpiece. In another embodiment, an optical micrometer may be used. In another embodiment, a light sensor may be used in conjunction with a separate device that measures the position of the workpiece. 1. A temperature measurement system , comprising:a carrier to transport a workpiece;light arrays disposed on either side of the carrier;light sensors in alignment with the light arrays, such that the workpiece passes between the light arrays and the light sensors; anda controller, in communication with the light sensors, configured to receive an output from the light sensors while the workpiece is transported by the carrier, and based on the output from the light sensor, to determine a temperature of the workpiece.2. The temperature measurement system of claim 1 , wherein the controller compares the output from the light sensor to a predetermined value to determine a change in a dimension of the workpiece claim 1 , and uses a coefficient of thermal expansion of the workpiece to determine the temperature of the workpiece.3. The temperature measurement system of claim 1 , wherein the controller compares the output from the light sensor to an initial value measured at an initial temperature to determine a change in a dimension of the workpiece claim 1 , and uses a coefficient of thermal expansion of the workpiece to determine the temperature of the workpiece.4. The temperature measurement system of claim 1 , wherein the output ...

Подробнее
05-01-2017 дата публикации

Analytical apparatus, sample holder and analytical method

Номер: US20170004954A1
Принадлежит: Toshiba Corp

In accordance with an embodiment, an analytical apparatus includes a member, a voltage source connected to the member and a detecting section. The member has an inserting portion into which a sample holder supporting a sample is insertable and whose shape corresponds to a shape of the sample holder. The detecting section is configured to detect a substance to be emitted from the sample by field evaporation. The shape of the inserting portion in a cross section of a direction perpendicular to an inserting direction of the sample holder is a shape excluding a perfect circle.

Подробнее
07-01-2016 дата публикации

Charged Particle Beam Apparatus, Stage Controlling Method, and Stage System

Номер: US20160005568A1
Принадлежит: Hitachi High Technologies Corp

A stage system includes a stage that holds an object, a linear motor mechanism that moves the stage by a thrust force generated by a current flowing through the coil, and a control section that controls the current flowing through the coil. The current flowing through the coil in a state where the stage is maintained in the static state be greater than a minimum current amount required for generating the thrust force greater than a maximum static friction force of the stage with respect to the guide rails.

Подробнее
13-01-2022 дата публикации

CERAMIC STRUCTURE, ELECTROSTATIC CHUCK AND SUBSTRATE FIXING DEVICE

Номер: US20220013341A1
Автор: MINEMURA Tomotake
Принадлежит:

A ceramic structure includes a base body, and a thermoelectric device having a part in directly contact with the base body. The base body is a ceramic consisting of aluminum oxide. The thermoelectric device comprises a conductor part that is a sintered body having an alloy of tungsten and rhenium, as a main component, and including nickel oxide, aluminum oxide and silicon dioxide. 1. A ceramic structure comprising:a base body; anda thermoelectric device having a part in directly contact with the base body,wherein the base body is a ceramic consisting of aluminum oxide, andwherein the thermoelectric device comprises a conductor part that is a sintered body having an alloy of tungsten and rhenium, as a main component, and including nickel oxide, aluminum oxide and silicon dioxide.2. The ceramic structure according to claim 1 , wherein the conductor part has an addition amount of nickel oxide of 0.2 to 1.0 wt % with respect to tungsten.3. The ceramic structure according to claim 1 , wherein the conductor part has an addition amount of aluminum oxide of 0.2 to 3.0 wt % and an addition amount of silicon dioxide of 0.2 to 3.0 wt % with respect to tungsten.4. The ceramic structure according to claim 1 , wherein an average particle diameter of tungsten included in the conductor part is 0.5 μm to 3.0 μm claim 1 , an average particle diameter of rhenium is 1.5 m to 4.5 μm claim 1 , an average particle diameter of nickel oxide is 5.0 μm to 15.0 μm claim 1 , an average particle diameter of aluminum oxide is 0.1 μm to 4.0 μm claim 1 , and an average particle diameter of silicon dioxide is 0.1 μm to 12.0 μm.5. The ceramic structure according to claim 1 , wherein a component of tungsten claim 1 , a component of rhenium and a component of nickel are not present in the base body and are present only in the conductor part claim 1 , and a component of aluminum and a component of silicon are present both in the base body and in the conductor part.6. The ceramic structure according to ...

Подробнее
04-01-2018 дата публикации

SYSTEM AND METHOD FOR PERFORMING NANO BEAM DIFFRACTION ANALYSIS

Номер: US20180005798A1
Принадлежит:

A system for performing nano beam diffraction (NBD) analysis, includes a focused ion beam (FIB) device for preparing a transmission electron microscopy (TEM) sample, a broad beam ion mill for milling the TEM sample to remove a surface portion of the TEM sample, and a strain analyzer for performing NBD analysis on the milled TEM sample to acquire diffraction data. 1. A system for performing nano beam diffraction (NBD) analysis , comprising:a focused ion beam (FIB) device for preparing a transmission electron microscopy (TEM) sample;a broad beam ion mill for milling the TEM sample to remove a surface portion of the TEM sample; anda strain analyzer for performing NBD analysis on the milled TEM sample to acquire diffraction data.2. The system of claim 1 , wherein the milling of the TEM sample exposes an underlying surface of the TEM sample claim 1 , and the strain analyzer uses a TEM camera image resolution of at least 4000×4000 pixels to acquire the diffraction data on the underlying surface.3. The system of claim 1 , wherein the surface portion removed by the broad beam ion mill comprises a portion of the surface of the TEM sample which has been damaged by the FIB device.4. The system of claim 1 , wherein the TEM sample comprises a parallel-sided sample claim 1 , and the broad beam ion mill removes a surface portion from two parallel sides of the parallel-sided sample.5. The system of claim 1 , wherein the removed surface portion comprises a thickness in a range from 1 nm to 45 nm.6. The system of claim 1 , wherein the surface portion comprises at least 10% of a thickness of the TEM sample.7. The system of claim 1 , wherein the diffraction data comprises a sensitivity which is less than 0.1%.8. The system of claim 1 , wherein the diffraction data comprises strain measurement data.9. The system of claim 1 , wherein the TEM sample is extracted from a semiconductor structure.10. The system of claim 9 , wherein the semiconductor structure comprises one of a semiconductor ...

Подробнее
04-01-2018 дата публикации

MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD

Номер: US20180005799A1
Принадлежит: NuFlare Technology, Inc.

In one embodiment, a multi charged particle beam writing apparatus includes a blanking plate including a plurality of blankers, bitmap generation processing circuitry generating bitmap data for each writing pass of multi-pass writing, the bitmap data specifying irradiation time periods for a plurality of irradiation positions, a plurality of dose correction units configured to receive bitmap subdata items obtained by dividing the bitmap data from the bitmap generation processing circuitry, and correct the irradiation time periods to generate a plurality of dose data items corresponding to respective processing ranges, and data transfer processing circuitry transferring the plurality of dose data items to the blanking plate through a plurality of signal line groups. Each of the signal line groups corresponds to the blankers located in a predetermined region of the blanking plate. The data transfer processing circuitry changes the signal line groups, used to transfer the plurality of dose data items generated by the respective dose correction units, for each writing pass. 1. A multi charged particle beam writing apparatus comprising:a movable stage on which a substrate, serving as a writing target, is placed;an emitter emitting a charged particle beam;an aperture plate including a plurality of openings through which the charged particle beam passes to form multiple beams;a blanking plate including a plurality of blankers each performing blanking deflection on a corresponding one of the multiple beams;bitmap generation processing circuitry generating bitmap data for each writing pass of multi-pass writing, the bitmap data specifying irradiation time periods for a plurality of irradiation positions allocated to meshes obtained by virtually dividing a writing area of the substrate;a plurality of dose correction units configured to receive bitmap subdata items obtained by dividing the bitmap data from the bitmap generation processing circuitry, correct the irradiation ...

Подробнее
07-01-2021 дата публикации

Sample Support and Method of Fabricating Same

Номер: US20210005418A1
Принадлежит:

There is provided a sample support capable of easily placing a sample into position. The sample support is used such that a sample floating on the surface of water is scooped and held. The sample support has: a first region on which the sample is to be placed; and a second region of higher wettability than the first region. 1. A sample support for holding a sample floating on the surface of water , said sample support comprising:a first region on which the sample is to be placed; anda second region of higher wettability than the first region.2. The sample support as set forth in claim 1 , wherein said first region is a polygon in shape claim 1 , and wherein said second region is formed along two sides of the polygon.3. The sample support as set forth claim 1 , wherein said second region surrounds said first region.4. The sample support as set forth claim 1 , further comprising a third region that is higher in wettability than said first region but lower in wettability than said second region claim 1 , and wherein a distance between the first and third regions is less than a distance between the first and second regions.5. The sample support as set forth claim 1 , further comprising a baseplate claim 1 , a sample support film supported by the baseplate claim 1 , and a metal film formed on the sample support film claim 1 , and wherein said sample support film and said metal film have top surfaces which constitute said first region and said second region claim 1 , respectively.6. The sample support as set forth in claim 5 , wherein said baseplate has a through hole claim 5 , and wherein said first region is in registry with the through hole.7. The sample support as set forth claim 5 , wherein said sample support film is made of silicon nitride claim 5 , silicon oxide claim 5 , or carbon.8. The sample support as set forth claim 5 , wherein said metal film is made of a noble metal.9. The sample support as set forth claim 1 , further comprising a sample grid for ...

Подробнее
07-01-2021 дата публикации

SHOWER HEAD UNIT AND SYSTEM FOR TREATING SUBSTRATE WITH THE SHOWER HEAD UNIT

Номер: US20210005424A1
Принадлежит:

Provided is a shower head unit for control temperature for each area using a planar heating element and a substrate treating system having the same. The substrate treating system includes a housing, a shower head unit installed on an inner upper side of the housing and for entering a process gas for etching a substrate into the housing, and an electrostatic chuck installed on an inner lower side of the housing and for seating the substrate, wherein the shower head unit is installed as a planar heating element in a plurality of areas to control temperature for each area. 1. A substrate treating system comprising:a housing;a shower head unit installed on an inner upper side of the housing and for entering a process gas for etching a substrate into the housing; andan electrostatic chuck installed on an inner lower side of the housing and for seating the substrate,wherein the shower head unit is installed as a planar heating element in a plurality of areas to control temperature for each area.2. The substrate treating system of claim 1 ,wherein the shower head unit comprises,a shower plate having a plurality of first holes and for spraying the process gas into the housing through the first hole;a lower plate installed on the shower plate and having a plurality of second holes connected to the first hole and formed to be stepped;an upper plate installed on the lower plate and for distributing the process gas to the second hole; anda heating member installed on the shower plate and installed as the planar heating element in a center area, a middle area, and an edge area, respectively.3. The substrate treating system of claim 2 ,wherein the heating member is installed between the lower plate and the upper plate, installed inside the lower plate, or installed between the shower plate and the lower plate.4. The substrate treating system of claim 2 ,wherein the heating member comprises,a first heating element installed in the center area as the planar heating element;a second ...

Подробнее
07-01-2021 дата публикации

ELECTROSTATIC CHUCKS WITH COOLANT GAS ZONES AND CORRESPONDING GROOVE AND MONOPOLAR ELECTROSTATIC CLAMPING ELECTRODE PATTERNS

Номер: US20210005494A1
Принадлежит:

An electrostatic chuck for a substrate processing system is provided and includes a baseplate, an intermediate layer disposed on the baseplate, and a top plate. The top plate is bonded to the baseplate via the intermediate layer and is configured to electrostatically clamp to a substrate. The top plate includes a monopolar clamping electrode and seals. The monopolar clamping electrode includes a groove opening pattern with coolant gas groove opening sets. The seals separate coolant gas zones. The coolant gas zones include four or more coolant gas zones. Each of the coolant gas zones includes distinct coolant gas groove sets. The top plate includes the distinct coolant gas groove sets. Each of the distinct coolant gas groove sets has one or more coolant gas supply holes and corresponds to a respective one of the coolant gas groove opening sets. 1. A top plate of an electrostatic chuck for a substrate processing system , the top plate bonded to a baseplate of the electrostatic chuck via an intermediate layer disposed on the baseplate , the top plate comprising:a monopolar clamping electrode disposed in the top plate, wherein the monopolar clamping electrode comprises a groove opening pattern with a plurality of coolant gas groove opening sets; anda plurality of seals disposed on the top plate and separating a plurality of coolant gas zones, the plurality of coolant gas zones comprise four or more coolant gas zones,', 'each of the plurality of coolant gas zones includes a plurality of distinct coolant gas groove sets, wherein the top plate comprises the plurality of distinct coolant gas groove sets, and', 'each of the plurality of distinct coolant gas groove sets has one or more coolant gas supply holes and corresponds to a respective one of the plurality of coolant gas groove opening sets., 'wherein'}2. The top plate of claim 1 , wherein the plurality of coolant gas groove opening sets are disposed in one or more layers of the top plate and on a layer of the monopolar ...

Подробнее
02-01-2020 дата публикации

Charged Particle Beam Image Acquisition Apparatus

Номер: US20200006031A1
Принадлежит: NuFlare Technology, Inc.

According to one aspect of the present invention, a charged particle beam image acquisition apparatus includes a rectangular parallelepiped chamber where a target object is disposed; a primary electron optical column placed on an upper surface of the chamber so that a point of intersection between two diagonal lines on the upper surface of the chamber is located at a center of a horizontal section of the primary electron optical column, a primary charged particle beam optics irradiating the target object with a primary charged particle beam being disposed in the primary electron optical column; and a secondary electron optical column connected to a lower portion of the primary electron optical column, a secondary charged particle beam optics being disposed in the secondary electron optical column and a secondary charged particle beam passing through the secondary charged particle beam optics. 1. A charged particle beam image acquisition apparatus comprising:a rectangular parallelepiped chamber where a target object is disposed;a primary electron optical column placed on an upper surface of the chamber so that a point of intersection between two diagonal lines on the upper surface of the chamber is located at a center of a horizontal section of the primary electron optical column, a primary charged particle beam optics irradiating the target object with a primary charged particle beam being disposed in the primary electron optical column; anda secondary electron optical column connected to a lower portion of the primary electron optical column, a secondary charged particle beam optics being disposed in the secondary electron optical column and a secondary charged particle beam emitted as a result of an irradiation of the target object with the primary charged particle beam passing through the secondary charged particle beam optics.2. The apparatus according to claim 1 , further comprising:a plurality of stage pedestals disposed on a same contour line in a state of ...

Подробнее
02-01-2020 дата публикации

Characterization of regions with different crystallinity in materials

Номер: US20200006034A1

A method of characterizing a region in a sample under study, and related systems, is disclosed. In once aspect, the sample under study comprises a first region having first crystalline properties and a second region having second crystalline properties. The method comprises irradiating the sample under study with an electron beam, the average relative angle between the electron beam and the sample under study being selected so that a contribution in the backscattered or forward scattered signal of the first region is distinguishable from that of the second region. The method further comprises detecting the backscattered or forward scattered electrons, and deriving a characteristic of the first and/or the second region from the detected backscattered or forward scattered electrons. The instantaneous relative angle between the electron beam and the sample under study is modulated with a predetermined modulation frequency during the irradiating the sample under study with an electron beam. Detecting the backscattered or forward scattered electrons is performed at the predetermined modulation frequency.

Подробнее
02-01-2020 дата публикации

SUPPORT ASSEMBLY

Номер: US20200006054A1
Принадлежит:

A method and apparatus for removing native oxides from a substrate surface is provided. In one aspect, the apparatus comprises a support assembly. In one embodiment, the support assembly includes a shaft coupled to a disk-shaped body. The shaft has a vacuum conduit, a heat transfer fluid conduit and a gas conduit formed therein. The disk-shaped body includes an upper surface, a lower surface and a cylindrical outer surface. A thermocouple is embedded in the disk-shaped body. A flange extends radially outward from the cylindrical outer surface, wherein the lower surface of the disk-shaped body comprises one side of the flange. A fluid channel is formed in the disk-shaped body proximate the flange and lower surface. The fluid channel is coupled to the heat transfer fluid conduit of the shaft. A plurality of grooves are formed in the upper surface of the disk-shaped body, and are coupled by a hole in the disk-shaped body to the vacuum conduit of the shaft. A gas conduit is formed through the disk-shaped body and couples the gas conduit of the shaft to the cylindrical outer surface of the disk-shaped body. The gas conduit in the disk-shaped body has an orientation substantially perpendicular to a centerline of the disk-shaped body. 1. A processing system , comprising:a transfer chamber;a plurality of load lock chambers in selective communication with the transfer chamber; anda plurality of processing chambers coupled to and in selective communication with the transfer chamber, wherein one of the plurality of processing chambers comprises an etch chamber adapted to remove native oxides from a substrate prior to processing in a remainder of the plurality of processing chambers.2. The processing system of claim 1 , wherein one of the remainder of the plurality of processing chambers comprises a deposition chamber.3. The processing system of claim 2 , wherein the deposition chamber comprises a CVD chamber adapted to form a film on the substrate.4. The processing system of ...

Подробнее
20-01-2022 дата публикации

Ion Milling Apparatus and Method of Manufacturing Sample

Номер: US20220020558A1
Принадлежит:

An ion milling apparatus includes a pair of shielding members sandwiching a sample, and an ion source configured to irradiate the sample with an ion beam. The ion milling apparatus is configured to be capable of irradiating the sample with the ion beam in a first mode of irradiating the sample with the ion beam via one shielding member and in a second mode of irradiating the sample with the ion beam via the other shielding member. 1. An ion milling apparatus comprising:a pair of shielding members sandwiching a sample; andan ion source configured to irradiate the sample with an ion beam, whereinthe ion milling apparatus is configured to be capable of irradiating the sample with the ion beam in a first mode of irradiating the sample with the ion beam via one shielding member of the pair of shielding members and in a second mode of irradiating the sample with the ion beam via the other shielding member.2. The ion milling apparatus according to claim 1 , further comprising:a sample holder having the pair of shielding members; anda sample stage to and from which the sample holder is attachable and detachable, whereinthe ion milling apparatus is configured to be switchable between the first mode and the second mode by changing an orientation in which the sample holder is mounted on the sample stage.3. The ion milling apparatus according to claim 1 , further comprising:a sample holder having the pair of shielding members;a sample stage to and from which the sample holder is attachable and detachable; anda rotation mechanism configured to rotate the sample holder, whereinthe ion milling apparatus is configured to be switchable between the first mode and the second mode by rotating the sample holder by the rotation mechanism.4. The ion milling apparatus according to claim 3 , whereinthe rotation mechanism is configured to rotate the sample holder by 360°.5. The ion milling apparatus according to claim 3 , whereinthe rotation mechanism is configured to rotate the sample ...

Подробнее
20-01-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220020567A1
Автор: KOSHIMIZU Chishio
Принадлежит: TOKYO ELECTRON LIMITED

A disclosed plasma processing apparatus includes a chamber, a substrate support, a plasma generator, and first and second power sources. The first power source is configured to generate an electric bias and electrically connected to a lower electrode of the substrate support provided in the chamber. The second power source is configured to apply a positive voltage to a member in a first period that is a part of a whole period in which the electric bias output from the first power source to the lower electrode has a potential not less than an average potential of the electric bias within a cycle thereof. The member is disposed to be exposed to plasma generated in the chamber. The first power source is configured to output the electric bias having a positive potential to the lower electrode in a second period after the first period. 1. A plasma processing apparatus comprising:a chamber;a substrate support having a lower electrode and provided in the chamber;a plasma generator configured to generate plasma from a gas in the chamber;a first power source configured to generate an electric bias and electrically connected to the lower electrode; anda second power source configured to apply a positive voltage to a member that is exposed to the plasma generated in the chamber,wherein the second power source is configured to apply the positive voltage to the member in a first period that is a part of a whole period in which the electric bias that is output from the first power source to the lower electrode has a potential equal to or higher than an average potential of the electric bias within a cycle thereof, andthe first power source is configured to output the electric bias having a positive potential to the lower electrode in a second period after the first period.2. The plasma processing apparatus according to claim 1 ,wherein the plasma generator is configured to stop supply of radio frequency power for plasma generation in the chamber or reduce a power level of the ...

Подробнее
27-01-2022 дата публикации

Charged Particle Beam Apparatus and Setting Assisting Method

Номер: US20220028653A1
Принадлежит:

A reference image is generated based on an illumination condition and element information of a specimen. The reference image includes a figure indicating a characteristic X-ray generation range, a numerical value indicating a characteristic X-ray generation depth, or the like. The reference image changes with a change of an accelerating voltage, a tilt angle, or an element forming the specimen. The reference image may include a figure indicating a landing electron scattering range, a figure indicating a back-scattered electron generation range, or the like. 1. A charged particle beam apparatus comprising:a measurement unit configured to illuminate a charged particle beam onto a specimen, and that detects a signal emitted from the specimen;a reference image generator configured to generate a reference image including a figure simulating a signal generation range in the specimen and a numerical value indicating a size of the signal generation range, based on an illumination condition and specimen information for the generation of the reference image; anda display configured to display a graphical user interface image including the reference image when an actual illumination condition of the charged particle beam is set.2. The charged particle beam apparatus according to claim 1 , further comprising:an acquisition unit configured to acquire, as the illumination condition for the generation of the reference image, an illumination condition which is already set for the measurement unit; anda receiver configured to receive, as the illumination condition for the generation of the reference image, an illumination condition which is input through the graphical user interface image.3. The charged particle beam apparatus according to claim 1 , further comprising:an application unit configured to apply, to the measurement unit, as the illumination condition for the generation of the reference image, an illumination condition which is input through the graphical user interface ...

Подробнее
27-01-2022 дата публикации

PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220028666A1
Автор: Hirayama Masaki
Принадлежит:

A plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, a dielectric plate, an upper electrode, an introduction part, a driving shaft, and an actuator. The stage is provided in the processing container. The dielectric plate is provided above the stage via a space in the processing container. The upper electrode has flexibility, is provided above the dielectric plate, and provides a gap between the dielectric plate and the upper electrode. The introduction part is an introduction part of radio frequency waves that are VHF waves or UHF waves, is provided at a horizontal end portion of the space. The driving shaft is coupled to the upper electrode on a central axial line of the processing container. The actuator is configured to move the driving shaft in a vertical direction. 113-. (canceled)14. A plasma processing apparatus comprising:a processing container;a stage provided in the processing container;a dielectric plate provided above the stage via a space in the processing container;an upper electrode having flexibility and being provided above the dielectric plate, the upper electrode being configured to provide a gap between the dielectric plate and the upper electrode;an introduction part of radio frequency waves that are VHF waves or UHF waves, the introduction part being provided at a horizontal end portion of the space;a driving shaft that is a central axial line of the processing container extending in a vertical direction and is coupled to the upper electrode on the central axial line including a center of the stage; andan actuator configured to move the driving shaft in the vertical direction.15. The plasma processing apparatus of claim 14 , further comprising:an elastic member interposed between a peripheral edge portion of the dielectric plate and the processing container,wherein the peripheral edge portion of the dielectric plate is elastically supported between the processing container and the upper ...

Подробнее
10-01-2019 дата публикации

ARRAY FOR PROCESSING MATERIALS

Номер: US20190010662A1
Принадлежит:

Materials (e.g., plant biomass, animal biomass, and municipal waste biomass) are processed to produce useful intermediates and products, such as energy, fuels, foods or materials. For example, systems equipment, and methods are described that can be used to treat feedstock materials, such as cellulosic and/or lignocellulosic materials, using an array of vaults. 1. A treatment operating unit , comprising:a plurality of enclosure systems, each enclosure system including one or more vaults, andwithin each vault, an irradiation device and a treatment conveyor.2. The operating unit of claim 1 , wherein the enclosure systems are arranged in rows.3. The operating unit of claim 2 , wherein the rows extend in a first direction claim 2 , and wherein each enclosure system comprises two or more vaults extending in a direction generally perpendicular to the first direction.4. The operating unit of claim 3 , wherein the first and second vaults of each enclosure share a common wall.5. The operating unit of claim 4 , wherein each first vault is configured to accept untreated biomass from a storage facility claim 4 , and wherein the biomass material is treated in each vault utilizing the irradiation device and the treatment conveyor.6. The operating unit of claim 5 , wherein the first vault of each enclosure system further encloses equipment configured to transfer treated biomass from the first vault to the second vault of the enclosure system.7. The operating unit of claim 1 , wherein the irradiation device comprises an electron accelerator.8. The operating unit of claim 1 , wherein the treatment conveyor comprises a vibratory conveyor.9. A method for producing treated materials claim 1 , the method comprising;partitioning a material into a plurality of material portions,conveying the material portions into a plurality of first vaults, each first vault accepting one of the material portions,treating the material portions in the vaults,conveying the material portions out of the ...

Подробнее
27-01-2022 дата публикации

LIFT PIN INTERFACE IN A SUBSTRATE SUPPORT

Номер: US20220028720A1
Автор: SULYMAN Alexander
Принадлежит:

Methods and apparatus for lift pin interfaces for electrostatic chucks are provided herein. In some embodiments, a lift pin interface in an electrostatic chuck includes: a dielectric plate having a support surface for a substrate; a conductive plate disposed beneath the dielectric plate and having an opening formed therethrough, wherein the dielectric plate includes a protrusion extending into the opening in the conductive plate; and a lift pin guide disposed in the opening, wherein the lift pin guide includes one or more features that extend from an upper surface of the lift pin guide and that overlap with the protrusion of the dielectric plate. 1. A lift pin interface in an electrostatic chuck , comprising:a dielectric plate having a support surface for a substrate;a conductive plate disposed beneath the dielectric plate and having an opening formed therethrough, wherein the dielectric plate includes a protrusion extending into the opening in the conductive plate; anda lift pin guide disposed in the opening, wherein the lift pin guide includes one or more features that extend from an upper surface of the lift pin guide and that overlap with the protrusion of the dielectric plate, and wherein the lift pin guide includes a passageway to accommodate a lift pin.2. The lift pin interface of claim 1 , wherein the one or more features include a shoulder.3. The lift pin interface of claim 2 , wherein the protrusion in the dielectric plate includes a countersink that mates with the shoulder.4. The lift pin interface of claim 2 , wherein the one or more features include a single inner shoulder disposed around the passageway.5. The lift pin interface of claim 2 , wherein the one or more features include a single outer shoulder that surrounds the protrusion.6. The lift pin interface of claim 1 , further comprising:an o-ring disposed on the upper surface of the lift pin guide between the lift pin guide and the protrusion.7. The lift pin interface of claim 1 , wherein the one ...

Подробнее
12-01-2017 дата публикации

SYSTEM AND METHOD FOR SCANNING AN OBJECT WITH AN ELECTRON BEAM

Номер: US20170011883A1
Принадлежит:

A method and a charged particle beam system that includes charged particle beam optics and a movable stage; wherein the movable stage is configured to introduce a movement between the object and charged particle beam optics; wherein the movement is of a constant velocity and along a first direction; wherein the charged particle beam optics is configured to scan, by the charged particle beam, multiple areas of the object so that each point of the multiple areas is scanned multiple times; wherein the multiple areas partially overlap; wherein the scanning is executed by the charged particle beam optics; wherein the scanning comprises performing counter-movement deflections of the charged particle beam for at least partially compensating for the movement; and wherein each area of the multiple areas is scanned by following an area scan scheme that defines multiple scan lines that differ from each other. 1. A charged particle beam system , comprising:charged particle beam optics including a module that generates a charged particle beam, wherein the charged particle beam optics are configured to scan, by the charged particle beam, multiple partially overlapping areas of an object so that each point of the multiple areas is scanned multiple times and each area of the multiple areas is scanned by following an area scan scheme that defines multiple scan lines that differ from each other; anda movable stage configured to introduce a movement along a first direction at a constant velocity between the object and the charged particle beam optics;wherein the scanning is executed by the charged particle beam optics and includes performing counter-movement deflections of the charged particle beam for at least partially compensating for the movement.2. The charged particle beam system according to wherein a first area scan scheme differs from a second area scan scheme by at least one charged particle beam parameter; wherein the first area scan scheme is used for scanning a first area ...

Подробнее
14-01-2016 дата публикации

METHOD AND APPARATUS FOR A HIGH RESOLUTION IMAGING SYSTEM

Номер: US20160013017A1
Автор: Flitsch Frederick A.
Принадлежит:

The present invention provides apparatus for an imaging system comprising a multitude of imaging elements upon a substrate. In some embodiments the substrate may be approximately round with a radius of approximately one inch. Various methods relating to using and producing an imaging system are discussed. 1) An imaging apparatus comprising:a first apparatus comprising a first substrate with a multitude of imaging elements arrayed thereupon, wherein the imaging elements are capable of emitting an imaging signal from their structure to a material sensitive to their emissions on a surface in a vicinity of the first apparatus, wherein the imaging elements are emission tips formed into silicon deposited into trenches, and wherein the emission tips protrude from a backside of a base layer into a front-side of which the trenches are etched, and wherein there are more than 1000 emission tips in the first apparatus;a support component for a second substrate to be processed by the imaging apparatus;an alignment feature and alignment apparatus to measure the alignment feature; anda processor operant to collect data from imaging apparatus components, process the data and control imaging apparatus components based on the data.2) The imaging apparatus of further comprising a cooling device in thermal communication with the second substrate.3) The imaging apparatus of further comprising a piezoelectric actuating device to raster the imaging apparatus.4) The imaging apparatus of wherein the rastering comprises at least ten steps within a distance separating two of the emission tips.5) A method of forming an imaging system comprising: etching a plurality of trenches into a base layer;', 'partially filing the trenches with conformal dielectric films;', 'filling the trenches with polysilicon;', 'finishing processing of an integrated circuit with metal layers;', 'processing the integrated circuit to thin a backside of the base layer, wherein the thinning exposes a dielectric film of ...

Подробнее
11-01-2018 дата публикации

Apparatus with Two or More Particle Beams for Processing a Specimen

Номер: US20180012729A1
Автор: HRNCIR Tomas, Lopour Filip
Принадлежит: TESCAN ORSAY HOLDING, A.S.

An apparatus for processing a specimen with two or more particle beams, wherein the specimen has a milled side that is processed by a first particle beam and observed by a second particle beam. The specimen is milled during a first milling operation by the first particle beam with the specimen in a first position. Thereafter, the specimen tilts in a second position around an axis of tilt of the specimen. Thereafter, the specimen is milled during a second milling operation. Milling can be performed during continuous tilting of the specimen around the axis of tilt. The axis of tilt of the specimen intersects the milled side. In all the aforementioned positions of the specimen, the second particle beam impinges on the milled side, which enables monitoring of the milling in real time. 1. Apparatus for processing a specimen , the apparatus comprising at least two sources of particle beams which pass through first and second columns , and where the first column is equipped with a first electric or electromagnetic scanning device for generating at least two force fields , which are approximately perpendicular to one another , and where the second column is equipped with a second electric or electromagnetic scanning device for generating at least two force fields , which are approximately perpendicular to one another , and where axes of the columns are intersecting or skew , while lines of force of the force fields of the first electric or electromagnetic scanning device deviate from the axis of the first column by an angle of 90° or near 90° and lines of force of the force fields of the second electric or electromagnetic scanning device deviate from the axis of the second column by an angle of 90° or near 90° , and which further contains a first set of manipulators consisting of at least one manipulator for attachment of a specimen , the first set of manipulators being tiltable at least around one axis which is identical with an axis of tilt of the specimen attached to ...

Подробнее
10-01-2019 дата публикации

APERTURE SIZE MODULATION TO ENHANCE EBEAM PATTERNING RESOLUTION

Номер: US20190013175A1
Принадлежит:

Lithographic apparatuses suitable for complementary e-beam lithography (CEBL) are described. In an example, a blanker aperture array (BAA) for an e-beam tool includes a first column of openings along a first direction and having a pitch. Each opening of the first column of openings has a dimension in the first direction. The BAA also includes a second column of openings along the first direction and staggered from the first column of openings. The second column of openings has the pitch. Each opening of the second column of openings has the dimension in the first direction. A scan direction of the BAA is along a second direction orthogonal to the first direction. The openings of the first column of openings overlap with the openings of the second column of openings by at least 5% but less than 50% of the dimension in the first direction when scanned along the second direction. 1. A blanker aperture array (BAA) for an e-beam tool , the BAA comprising:a first column of openings along a first direction and having a pitch, each opening of the first column of openings having a dimension in the first direction; anda second column of openings along the first direction and staggered from the first column of openings, the second column of openings having the pitch, and each opening of the second column of openings having the dimension in the first direction, wherein a scan direction of the BAA is along a second direction orthogonal to the first direction, and wherein the openings of the first column of openings overlap with the openings of the second column of openings by at least 5% but less than 50% of the dimension in the first direction when scanned along the second direction.2. The BAA of claim 1 , wherein the openings of the first column of openings overlap with the openings of the second column of openings between 5% and 25% of the dimension in the first direction when scanned along the second direction.3. The BAA of claim 1 , wherein the first column of openings is a ...

Подробнее
10-01-2019 дата публикации

OBSERVATION SUPPORT UNIT FOR CHARGED PARTICLE MICROSCOPE AND SAMPLE OBSERVATION METHOD USING SAME

Номер: US20190013177A1
Принадлежит:

In order to observe a water-containing sample with excellent convenience under an air atmosphere or a gas atmosphere, or under a desired pressure, in the present invention, there is provided an observation support unit for observation by irradiating the sample disposed in a non-vacuum space separated by a diaphragm from an inner space of a charged particle optical lens barrel that generates a charged particle beam, with the charged particle beam. The observation support unit includes a main body portion for covering a hole portion that forms an observation region where the sample is observed, and the sample, and the observation support unit is directly mounted between the sample and the diaphragm, that is, on the sample. 1. An observation support unit which is for observation by irradiating a sample disposed in a non-vacuum space separated by a diaphragm from an inner space of a charged particle optical lens barrel that generates a charged particle beam , with the charged particle beam , comprising:a main body portion for covering a hole portion that forms an observation region where the sample is observed, and the sample,wherein the observation support unit is directly mounted between the sample and the diaphragm, that is, on the sample.2. The observation support unit according to claim 1 ,wherein the observation support unit holds the diaphragm and the sample in a non-contact state.3. The observation support unit according to claim 1 ,wherein the size of the hole portion is equal to or larger than the size of a window portion which is a part through which the charged particle beam transmits in the diaphragm.4. The observation support unit according to claim 1 ,wherein the size of the main body portion is larger than the size of a diaphragm holding member which holds the diaphragm.5. The observation support unit according to claim 1 ,wherein the hole portion is smaller than the size of the diaphragm holding member which holds the diaphragm.6. The observation ...

Подробнее
14-01-2021 дата публикации

PROCESS KIT WITH ADJUSTABLE TUNING RING FOR EDGE UNIFORMITY CONTROL

Номер: US20210013014A1
Принадлежит:

Process kits, processing chambers, and methods for processing a substrate are provided. The process kit includes an edge ring, a sliding ring, an adjustable tuning ring, and an actuating mechanism. The edge ring has a first ring component interfaced with a second ring component that is movable relative to the first ring component forming a gap therebetween. The sliding ring is positioned beneath the second ring component of the edge ring. The adjustable tuning ring is positioned beneath the sliding ring. The actuating mechanism is interfaced with the lower surface of the adjustable tuning ring and configured to actuate the adjustable tuning ring such that the gap between the first and second ring components is varied. In one or more examples, the sliding ring includes a matrix and a coating, the matrix contains an electrically conductive material and the coating contains an electrically insulting material. 1. A process kit for a substrate processing chamber , comprising:an edge ring having a first ring component and a second ring component, the first ring component interfaced with the second ring component such that the second ring component is movable relative to the first ring component forming a gap therebetween, and the second ring component having an upper surface and a lower surface;a sliding ring positioned beneath the second ring component, the sliding ring having an upper surface and a lower surface, and the upper surface of the sliding ring contacting the lower surface of the second ring component;an adjustable tuning ring positioned beneath the sliding ring, the adjustable tuning ring having an upper surface and a lower surface, and the upper surface of the adjustable tuning ring contacting the lower surface of the sliding ring;a cover ring at least partially adjacent to the second ring component, and wherein the cover ring is completely positioned radially outward of the adjustable tuning ring;a sleeve at least partially positioned beneath the cover ring ...

Подробнее
14-01-2021 дата публикации

PROCESSING METHOD, PLACING PEDESTAL, PLASMA PROCESSING APPARATUS, AND RECORDING MEDIUM

Номер: US20210013016A1
Автор: TSUTO Takashi
Принадлежит: TOKYO ELECTRON LIMITED

A processing method includes a), b), and c). The a) includes measuring a load imposed on a lift pin when the lift pin lifts a processed substrate from an electrostatic chuck holding the substrate. The b) includes calculating a difference of the load is calculated based on the measured load and an initial load imposed on the lift pins when the lift pins lift the substrate without any residual adsorption force between the electrostatic chuck and the substrate. The c) includes exposing a surface of the electrostatic chuck to first plasma when the difference of the load is equal to or greater than a preset first threshold. 1. A processing method comprising:a) measuring a load imposed on a lift pin when the lift pin lifts a processed substrate from an electrostatic chuck holding the substrate;b) calculating a difference of the load based on the measured load, and an initial load imposed on the lift pin when the lift pin lifts the substrate without any residual adsorption force between the electrostatic chuck and the substrate; andc) exposing a surface of the electrostatic chuck to first plasma when the difference of the load is equal to or greater than a preset first threshold.2. The processing method according to claim 1 , wherein the first threshold is a value smaller than a difference between the initial load and a load imposed on the lift pin at which the substrate jumps when the lift pin lifts the substrate.3. The processing method according to claim 1 , wherein the first plasma is plasma generated by turning nitrogen-containing gas into plasma.4. The processing method according to claim 1 , further comprising:d) measuring an electric charge in the substrate using a sensor provided to a tip of the lift pin, the tip being on a side that is brought into contact with the substrate, when the lift pin lifts the processed substrate from the electrostatic chuck;e) calculating a difference of the electric charge based on the measured electric charge and an initial electric ...

Подробнее
14-01-2021 дата публикации

ELECTROSTATIC CHUCK WITH SEAL SURFACE

Номер: US20210013080A1
Принадлежит:

Apparatuses and systems for pedestals are provided. An example pedestal may have a body with an upper annular seal surface that is planar, perpendicular to a vertical center axis of the body, and has a radial thickness, a lower recess surface offset from the upper annular seal surface, and a plurality of micro-contact areas (MCAs) protruding from the lower recess surface, each MCA having a top surface offset from the lower recess surface by a second distance less, and one or more electrodes within the body. The upper annular seal surface may be configured to support an outer edge of a semiconductor substrate when the semiconductor substrate is being supported by the pedestal, and the upper annular seal surface and the tops of the MCAs may be configured to support the semiconductor substrate when the semiconductor substrate is being supported by the pedestal. 1. A pedestal comprising: an upper annular seal surface that is planar, is perpendicular to a vertical center axis of the body, and has a radial thickness,', 'a lower recess surface that is offset from the upper annular seal surface by a first distance,', 'a plurality of micro-contact areas (MCAs) protruding from the lower recess surface, each MCA having a top surface that is offset from the lower recess surface by a second distance less than or equal to the first distance; and', the upper annular seal surface is configured to support an outer edge of a semiconductor substrate when the semiconductor substrate is being supported by the pedestal,', 'the upper annular seal surface and the top surfaces of the MCAs are configured to support the semiconductor substrate when the semiconductor substrate is being supported by the pedestal, and', 'the one or more electrodes are configured to electrically connect with one or more items selected from the group consisting of: a radio frequency (RF) power supply, an electrical ground, and a direct current (DC) power supply., 'one or more electrodes within the body, wherein], ...

Подробнее
09-01-2020 дата публикации

3D DEFECT CHARACTERIZATION OF CRYSTALLINE SAMPLES IN A SCANNING TYPE ELECTRON MICROSCOPE

Номер: US20200013581A1
Принадлежит:

The invention relates to a method 3D defect characterization of crystalline samples in a scanning type electron microscope. The method comprises Irradiating a sample provided on a stage, selecting one set of crystal lattice planes of the sample and orienting said set to a first Bragg condition with respect to a primary electron beam impinging on said sample, and obtaining Electron Channeling Contrast Image for an area of interest on the sample. The method is characterized by performing, at least once, the steps of orienting said selected set of crystal lattice planes to a further Bragg condition by at least tilting the sample stage with the sample by a user-selected angle about a first tilt axis, and obtaining by Electron Channeling Contrast Image for a further area of interest. 1. A method of 3D defect characterization of crystalline samples in a scanning type electron microscope , said scanning type electron microscope comprising:a sample stage for holding a sample;an electron source for producing a primary electron beam, as well as an illuminator having an electron-optical axis, wherein said electron microscope is arranged for directing said primary electron beam through the illuminator so as to irradiate said sample for producing an interaction that causes particle radiation to emerge from the sample, said radiation including backscattered electrons (BSEs); andat least one detector for detecting said BSEs;wherein said method comprises the step of:irradiating said sample provided on said stage;selecting one set of crystal lattice planes of the sample and orienting said set of crystal lattice planes to a first Bragg condition with respect to the primary electron beam impinging on said sample;obtaining by means of said at least one detector an Electron Channeling Contrast Image for an area of interest on the sample;{'b': '1', 'orienting said selected set of crystal lattice planes to a further Bragg condition, by at least tilting the sample stage about a first tilt ...

Подробнее
18-01-2018 дата публикации

RECONFIGURABLE PROCESSING ENCLOSURES

Номер: US20180016745A1
Принадлежит:

Biomass (e.g., plant biomass, animal biomass, and municipal waste biomass) or other materials are processed to produce useful intermediates and products, such as energy, fuels, foods or materials. For example, systems and methods are described that can be used to treat feedstock materials, such as cellulosic and/or lignocellulosic materials, in a vault in which the walls and optionally the ceiling include discrete units. Such vaults are re-configurable. 1. A treatment facility comprising:a vault, having walls, ceiling, and a foundation; andwithin the vault, a material conveying system configured to convey biomass under an electron beam, wherein each of the walls comprises a plurality of discrete reconfigurable units and at least one unit of the plurality of units comprises a high Z material.2. The facility as in claim 1 , wherein the ceiling comprises a plurality of discrete units.3. The facility as in claim 1 , wherein the high Z material is a metal with a Z value above 25.4. The facility as in claim 1 , further comprising an electron irradiation device supported by the ceiling of the vault and disposed to irradiate biomass conveyed by the conveying system.5. The facility as in claim 4 , wherein the irradiation device weighs at least 5 Tons.6. The facility as in claim 4 , wherein the irradiation device weighs at least 10 tons.7. The facility as in claim 4 , wherein the irradiation device weighs between about 5 and about 20 tons.8. The facility as in claim 1 , wherein the foundation comprises a concrete slab.9. The facility as in claim 1 , wherein the walls comprise interlocking blocks.10. The facility as in claim 1 , wherein the walls support a network of I-beams and the network of I-beams supports ceiling panels.11. The facility as in claim 1 , wherein the walls claim 1 , ceiling and foundation are at least about 4 feet thick.12. The facility as in claim 1 , wherein the walls claim 1 , ceiling and foundation include concrete and the concrete is selected from the ...

Подробнее
21-01-2016 дата публикации

TEM SAMPLE MOUNTING GEOMETRY

Номер: US20160020065A1
Автор: Foord David
Принадлежит: FEI COMPANY

A system and method for transmission electron microscopy is provided. The sample can be examined from multiple directions using an electron beam in a transmission electron microscope. The sample has at least three observation faces that are not parallel to each other with the thickness of the sample orthogonal to each of the observation faces being less than 200 nm. The sample is mounted on a needle that is needle rotatable about more than one axis so the needle can orient at least three of the observation faces to be normal to the electron beam of the electron microscope for observation.

Подробнее
03-02-2022 дата публикации

Charged Particle Beam Device

Номер: US20220037108A1
Принадлежит: Hitachi High Tech Corp

Provided is a charged particle beam device using a detector that detects electromagnetic waves, in which a circumstance in a sample chamber can be checked, and a sample is observed with the detector at the same time. The charged particle beam device that observes a sample by using a charged particle beam, including: a component used for observing the sample; a detector that detects electromagnetic waves; a chamber scope that photographs a picture while irradiating the sample with the electromagnetic waves; and a control unit that controls the detector, the component, and an operation of the chamber scope, in which the control unit can be selectively operated in any one of a pre-photographing mode and an observation mode, the control unit causes the chamber scope to photograph the picture, in a state in which an operation of observing the sample by the detector is not performed in the pre-photographing mode, and the control unit, in the observation mode, does not cause the chamber scope to apply the electromagnetic waves, generates a guide image showing a positional relationship between the sample and the component based on the picture, and outputs the guide image.

Подробнее
03-02-2022 дата публикации

SHOWER PLATE, PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037117A1
Принадлежит:

In a shower plate, a plasma processing apparatus, and a plasma processing method, improvement of in-plane uniformity of plasma on a stage is required. The shower plate according to an exemplary embodiment includes an upper dielectric disposed to face a stage and an upper electrode embedded in the upper dielectric. A distance between a bottom surface of the upper dielectric and the upper electrode is shorter in a peripheral portion than in a central portion. 17-. (canceled)8. A shower plate comprising:an upper dielectric disposed to face a stage; andan upper electrode embedded in the upper dielectric,wherein a distance between a bottom surface of the upper dielectric and the upper electrode is shorter in a peripheral portion of the shower plate than in a central portion of the shower plate.9. The shower plate of claim 8 , wherein the upper electrode includes two or more conductive layers.10. The shower plate of claim 9 , wherein the upper dielectric includes gas ejection holes.11. The shower plate of claim 10 , wherein a radio frequency voltage of 30 to 300 MHz is12. The shower plate of claim 8 , wherein the upper dielectric includes gas ejection holes.13. The shower plate of claim 8 , wherein a radio frequency voltage of 30 to 300 MHz is applied to the upper electrode.14. A plasma processing apparatus comprising a shower plate that comprises an upper dielectric disposed to face a stage and an upper electrode embedded in the upper dielectric claim 8 ,wherein a distance between a bottom surface of the upper dielectric and the upper electrode is shorter in a peripheral portion of the shower plate than in a central portion of the shower plate, a lower dielectric disposed to face the upper dielectric; and', 'a lower electrode embedded in the lower dielectric, and, 'wherein the stage includes a processing container configured to accommodate the shower plate and the stage; and', 'a radio frequency power supply configured to generate plasma in the processing container., ' ...

Подробнее
03-02-2022 дата публикации

Pulsed-voltage hardware assembly for use in a plasma processing system

Номер: US20220037120A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing.

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING USING PULSED-VOLTAGE AND RADIO-FREQUENCY POWER

Номер: US20220037121A1
Принадлежит:

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing. 1. A method of processing of a substrate in a plasma processing chamber , comprising:delivering, by use of a radio frequency generator, a radio frequency signal to a support base disposed within a substrate support assembly, wherein the radio frequency generator is electrically coupled to the support base through a pulsed voltage filter assembly; andestablishing, by use of a first pulsed-voltage waveform generator, a first pulsed voltage waveform at a biasing electrode disposed within the substrate support assembly, wherein the first pulsed-voltage waveform generator is electrically coupled to the biasing electrode through a first radio frequency filter assembly, the biasing electrode is disposed between the support base and a substrate supporting surface of the substrate support assembly,', 'a first dielectric layer is disposed between the support base and the biasing electrode, and', 'a second dielectric layer is disposed between the biasing electrode and the substrate supporting surface., 'wherein'}2. The method of claim 1 , wherein the first pulsed voltage waveform comprises a series of repeating cycles claim 1 , such thata waveform within each cycle has a first portion that occurs during a first time interval and a second portion that occurs ...

Подробнее
16-01-2020 дата публикации

Coating arrangement and method

Номер: US20200017953A1
Автор: Deus Carsten
Принадлежит:

In accordance with various embodiments, a coating arrangement may comprise: an electron beam gun for providing an electron beam; a beam trap for trapping the electron beam; a control device for driving the electron beam gun and/or the beam trap, wherein the control device is configured to switch over the driving between a plurality of configurations, of which: in a first configuration, the electron beam is directed onto the beam trap; and in a second configuration, the electron beam is directed past the beam trap. 1. A coating arrangement , comprising:an electron beam gun for providing an electron beam;a beam trap for trapping the electron beam;a control device for driving the electron beam gun and/or the beam trap,wherein the control device is configured to switch over the driving between a plurality of configurations, of which:in a first configuration, the electron beam is directed onto the beam trap; andin a second configuration, the electron beam is directed past the beam trap.2. The coating arrangement as claimed in claim 1 ,wherein the beam trap comprises a heat exchanger and/or remains in a solid state of matter up to a temperature, wherein the temperature is greater than 1000° C.3. The coating arrangement as claimed in claims 1 ,wherein the beam trap provides a reflection coefficient and an absorption coefficient for electrons, wherein the reflection coefficient is greater than the absorption coefficient.4. The coating arrangement as claimed in claim 1 ,wherein an averaged spatial power density provided by the electron beam is greater in the second configuration than in the first configuration.5. The coating arrangement as claimed claim 1 ,wherein, in the first configuration, the electron beam irradiates a surface of the beam trap, wherein an angle of incidence of the electron beam with respect to the surface is greater than 45°.6. The coating arrangement as claimed in claim 1 ,wherein the beam trap is mounted displaceably between two positions relative to ...

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037129A1
Автор: KOSHIMIZU Chishio
Принадлежит: TOKYO ELECTRON LIMITED

A disclosed plasma processing apparatus includes a chamber, a substrate support, a radio frequency power source, and a bias power source. The radio frequency power source supplies radio frequency power. The bias power source supplies an electric to a bias electrode. An edge ring receives a part of the electric bias or another electric bias. An outer ring extends outside the edge ring in a radial direction and receives a part of the radio frequency power. A level of the radio frequency power is changed in synchronization with the electric bias within each cycle of the electric bias. 1. A plasma processing apparatus comprising:a chamber;a substrate support having a bias electrode;a radio frequency power source configured to generate radio frequency power that is supplied to a radio frequency electrode to generate plasma above a substrate supported by the substrate support in the chamber; anda bias power source connected to the bias electrode through an electrical path,wherein an edge ring that is mounted on the substrate support is electrically connected to the bias power source through an impedance adjuster that provides variable impedance between the bias electrode and the edge ring or between the electrical path and the edge ring, or is electrically connected to an other bias power source,an outer ring extending outside the edge ring in a radial direction is electrically connected to the radio frequency power source to receive a part of the radio frequency power, andthe radio frequency power source is configured to change a power level of the radio frequency power in synchronization with an electric bias that is output from the bias power source to the bias electrode, within each cycle of the electric bias.2. The plasma processing apparatus according to claim 1 , further comprising:a first electrode that is electrically coupled to the edge ring; anda second electrode that is electrically coupled to the outer ring,wherein the impedance adjuster provides variable ...

Подробнее
03-02-2022 дата публикации

GLASS PALLET FOR SPUTTERING SYSTEMS

Номер: US20220037130A1
Принадлежит:

Pallets for transporting one or more glass substrates in a substantially vertical orientation through a sputtering system. In some cases, a pallet comprising a frame with an aperture and an adjustable grid array within the aperture. The adjustable grid array is configurable to hold a plurality of glass substrates of different shapes and/or sizes. In one case, the adjustable grid array comprises a system of vertical and horizontal support bars, wherein the vertical support bars configured to both support the plurality of glass substrates at their vertical edges, wherein the horizontal support bars are configured to support the plurality of glass substrates at their horizontal edges, wherein the ends of the horizontal support bars are slideably engaged with the vertical support bars. 163-. (canceled)64. A pallet for transporting at least one rectangular glass substrate through a sputtering apparatus , the pallet comprising:a frame; andan aperture in the frame; andwherein the pallet is configured to hold the at least one glass substrate by at least two edges, and in a substantially vertical orientation during transport through the sputtering apparatus,wherein the at least one rectangular glass substrate has dimensions of between 60 inches and 130 inches along one side and between 72 inches and 205 inches along an other side, and wherein the at least one rectangular glass substrate has a thickness of between 2 mm and 20 mm.65. The pallet of claim 64 , further comprising an electrically conductive component configured to establish electrical communication with an electrically conductive coating on a work surface of the at least one rectangular glass substrate.66. The pallet of claim 65 , wherein the electrically conductive component comprises one or more springs.67. The pallet of claim 64 , wherein the pallet is configured to maintain its temperature to within +5° C. of the temperature of the at least one rectangular glass substrate during sputtering.68. The pallet of ...

Подробнее
03-02-2022 дата публикации

STAGE AND PLASMA PROCESSING APPARATUS

Номер: US20220037131A1
Автор: SAKANE Ryota
Принадлежит:

A stage for mounting a substrate thereon, includes: an electrostatic chuck configured to attract the substrate; a base having a first region on which the electrostatic chuck is supported and a second region on which an edge ring arranged around the substrate is supported, the first region and the second region being divided by a groove extending in an annular shape; and a shield provided in the groove and configured to thermally separate the first region and the second region. 1. A stage for mounting a substrate thereon , the stage comprising:an electrostatic chuck configured to attract the substrate;a base having a first region on which the electrostatic chuck is supported and a second region on which an edge ring arranged around the substrate is supported, the first region and the second region being divided by a groove extending in an annular shape; anda shield provided in the groove and configured to thermally separate the first region and the second region.2. The stage of claim 1 , wherein the base is provided with a flow path through which a refrigerant flows.3. The stage of claim 2 , wherein a heater is provided in the first region.4. The stage of claim 3 , wherein the shield is formed by extending the electrostatic chuck to a side surface of the base in the groove.5. The stage of claim 4 , wherein the shield includes a heater provided in an extended portion extending to the side surface of the base in the groove.6. The stage of claim 1 , wherein a heater is provided in the first region.7. The stage of claim 1 , wherein the shield is formed by extending the electrostatic chuck to a side surface of the base in the groove.8. The stage of claim 1 , wherein the shield is formed in a cylindrical shape to protect side surfaces of the electrostatic chuck and the base facing the groove.9. The stage of claim 1 , wherein the shield is an elastic member formed in an annular shape and is configured to protect side surfaces of the electrostatic chuck and the base facing ...

Подробнее
21-01-2021 дата публикации

METHOD AND APPARATUS FOR CAPTURING VOLUME INFORMATION OF THREE-DIMENSIONAL SAMPLES

Номер: US20210018403A1
Принадлежит:

Methods and apparatuses for capturing volume information of microscopic samples include a microscope system having at least one particle beam column, by which a beam of focused, charged particles can be generated, and an in-situ microtome, i.e., a microtome integrated in the microscope system. The method cam include a) providing a sample including a volume of interest (VOI); b) setting a cut surface lying within the sample; c) defining the set cut surface as processing surface; d) exposing the cut surface by virtue of ablating sample material by cutting with the in-situ microtome; and e) processing the sample with the particle beam, wherein the start point of the processing is disposed on the exposed processing surface. 1. A method of using a microscope system that comprises an in-situ microtome and a first particle beam column configured to generate a first beam of focused , charged particles , the method comprising:defining a cut surface lying within a sample as a processing surface;using the microtome to remove sample material to expose the cut surface; andprocessing the sample with a first particle beam of focused, charged particles generated by the first particle beam column,wherein a start point of the processing is on the exposed processing surface.2. The method of claim 1 , further comprising imaging the sample.3. The method of claim 2 , wherein:the microscope system further comprises a second particle beam column configured to generate a second beam of focused, charged particles; andthe method further comprises using the second particle beam to image the sample.4. The method of claim 3 , wherein:the first particle beam column has first optical axis;the second particle beam column has a second optical axis;the first and second optical axes define a first angle relative to each other;the in-situ microtome generates a cut surface in the sample; andthe method further comprises rotating the sample about an axis of rotation that extends perpendicular to the first ...

Подробнее
17-01-2019 дата публикации

PLASMON-EXCITED ELECTRON BEAM ARRAY FOR COMPLEMENTARY PATTERNING

Номер: US20190019648A1
Автор: PAN Liang, Xu Xianfan
Принадлежит: PURDUE RESEARCH FOUNDATION

A system for generating an electron beam array, comprising a light source, a first substrate having a plurality of plasmonic lenses mounted thereon, the plasmonic lenses configured to received light from the light source and produce an electron emission, and a plurality of electrostatic microlenses configured to focus the electron emissions into a beam for focusing on a wafer substrate. A light source modulator and digital micro mirror may be included which captures light from the light source and projects light beamlets on the plasmonic lenses. 1. A system comprising:a light source configured to provide a plurality of light beams;a first substrate having a plurality of plasmonic lenses mounted thereon, the plasmonic lenses configured to produce a plurality of corresponding electron emissions onto a wafer substrate, wherein the plasmonic lenses are configured to receive light beams from the light source, and wherein an entirety of each plasmonic lens of the plurality of plasmonic lenses comprise a metal layer.2. The system of claim 1 , further comprising a plurality of electrostatic microlenses configured to focus the electron emissions into corresponding electron beams for focusing on the wafer substrate.3. The system of claim 1 , further comprising a light source modulator connected to the light source.4. The system of claim 1 , further comprising a digital micro mirror which captures light from the light source and projects the light beamlets on the plasmonic lenses.5. The system of claim 1 , further comprising a positioning platform claim 1 , the positioning platform connected between the first substrate and the wafer substrate claim 1 , the positioning device configured to move the wafer substrate in relation to the first substrate.6. The system of claim 5 , wherein the positioning platform is a spinning positioning system.7. The system of claim 5 , wherein the positioning platform is a linear translation positioning system.8. The system of claim 2 , wherein ...

Подробнее
16-01-2020 дата публикации

Systems and methods for using multimodal imaging to determine structure and atomic composition of specimens

Номер: US20200020507A1
Автор: Peter V. Liddicoat
Принадлежит: Atomnaut Inc

An imaging system that selectively alternates between a first, non-destructive imaging mode and a second, destructive imaging mode to analyze a specimen so as to determine an atomic structure and composition of the specimen is provided. The field ionization mode can be used to acquire first images of ionized atoms of an imaging gas present in a chamber having the specimen disposed therein, and the field evaporation mode can be used to acquire second images of ionized specimen atoms evaporated from a surface of the specimen with the imaging gas remaining in the chamber. The first and second image data can be analyzed in real time, during the specimen analysis, and results can be used to dynamically adjust operating parameters of the imaging system.

Подробнее
21-01-2021 дата публикации

Method for Reducing Residual Micro-Particles on Wafer Surfaces

Номер: US20210020466A1

A method for reducing residual micro-particles on wafer surfaces includes: providing a plasma reaction etching chamber and wafer in it, a semiconductor structure is arranged on the wafer, and the first metal layer is etched; then, forming a polymer shield layer on the surface of the wafer; afterwards, implanting a plasma source into the plasma reaction etching chamber to remove charges on the surface of the wafer; finally, stopping the implantation of the plasma source, and keeping the wafer standing. According to the method, a polymer gas source is deposited to form a shield layer on the surface of the wafer in the subsequent process, a macromolecular gas source is used in the subsequent electrostatic eliminating process to eliminate static electricity, so that particles are adsorbed and carried out of the etching chamber, and particle adhesion to the wafer is avoided in the charge removal process. 1. A method for reducing residual micro-particles on wafer surfaces , at least including the following steps:{'b': '1', 'Step : providing a plasma reaction etching chamber and a wafer located in the plasma reaction etching chamber, wherein the wafer is provided with a semiconductor structure which is in a process condition where a trench of a first metal layer is etched;'}{'b': '2', 'Step : forming a polymer shield layer on a surface of the wafer;'}{'b': '3', 'Step : implanting a plasma source into the plasma reaction etching chamber to remove charges on the surface of the wafer; and'}{'b': '4', 'Step : stopping the implantation of the plasma source, and keeping the wafer standing.'}21. The method for reducing residual micro-particles on wafer surfaces according to claim 1 , wherein the first metal layer of the semiconductor structure is etched in Step with a barrier layer containing TiN.3. The method for reducing residual micro-particles on wafer surfaces according to claim 2 , wherein the first metal layer of the semiconductor structure is etched as follows: 1 claim 2 ...

Подробнее
21-01-2021 дата публикации

WAFER SUPPORT UNIT AND WAFER TREATMENT SYSTEM INCLUDING THE SAME

Номер: US20210020488A1
Принадлежит:

Provided are a wafer support unit, in which a dam is installed outside an O-ring to prevent the O-ring from being etched, and a wafer treatment system including the wafer support unit. The wafer treatment system includes: a housing; a shower head introduces a process gas for etching a wafer into the housing; and a support unit includes an electrostatic chuck on which the wafer is mounted, a base supporting the electrostatic chuck, and a focus ring installed on side surfaces of the electrostatic chuck, wherein the support unit includes: a fixing component which fixes the focus ring to the base; a sealing component which is disposed between the focus ring and the base to seal a circumference of a fastening component; and a dam component which is installed outside the sealing component to prevent the sealing component from being etched by the process gas. 1. A wafer treatment system comprising:a housing;a shower head which is installed on an upper side inside the housing and introduces a process gas for etching a wafer into the housing; anda support unit which is installed on a lower side inside the housing and comprises an electrostatic chuck on which the wafer is mounted, a base supporting the electrostatic chuck, and a focus ring installed on side surfaces of the electrostatic chuck, a fixing component which fixes the focus ring to the base;', 'a sealing component which seals a circumference of a fastening component for fastening the focus ring and the base to each other; and', 'a dam component which is installed outside the sealing component to prevent the sealing component from being etched by the process gas., 'wherein the support unit comprises2. The system of claim 1 , wherein the dam component is joined to at least one of the base and the focus ring and then installed outside the sealing component.3. The system of claim 1 , wherein the dam component is made of the same material as the base or made of an insulating material.4. The system of claim 1 , wherein ...

Подробнее
28-01-2016 дата публикации

Ion Beam Sample Preparation and Coating Apparatus and Methods

Номер: US20160024645A1
Принадлежит: Gatan Inc

Disclosed are embodiments of an ion beam sample preparation and coating apparatus and methods. A sample may be prepared in one or more ion beams and then a coating may be sputtered onto the prepared sample within the same apparatus. A vacuum transfer device may be used with the apparatus in order to transfer a sample into and out of the apparatus while in a controlled environment. Various methods to improve preparation and coating uniformity are disclosed including: rotating the sample retention stage; modulating the sample retention stage; variable tilt ion beam irradiating means, more than one ion beam irradiating means, coating thickness monitoring, selective shielding of the sample, and modulating the coating donor holder.

Подробнее
26-01-2017 дата публикации

ELECTRON MICROSCOPE SAMPLE HOLDER FOR FORMING A GAS OR LIQUID CELL WITH TWO SEMICONDUCTOR DEVICES

Номер: US20170025245A1
Принадлежит:

A novel sample holder for specimen support devices for insertion in electron microscopes. The novel sample holder of the invention allows for the introduction of gases or liquids to specimens for in situ imaging, as well as electrical contacts for electrochemical or thermal experiments. 1a sample holder body and a sample holder lid, wherein the sample holder body defines a first pocket for receiving a first microelectronic device therein and a second pocket for receiving a second microelectronic device therein. A sample holder assembly for an electron microscope, said sample holder assembly comprising: This application is a continuation of U.S. patent application Ser. No. 14/994,724 filed on Jan. 13, 2016, which is a divisional of U.S. patent application Ser. No. 14/481,390 filed on Sep. 9, 2014, now U.S. Pat. No. 9,324,539, which is a divisional of U.S. patent application Ser. No. 13/813,818, filed Jun. 13, 2013, now U.S. Pat. No. 8,829,469 issued on Sep. 9, 2014, which was filed under the provisions of 35 U.S.C. §371 and claims the priority of International Patent Application No. PCT/US2011/46282 on Aug. 2, 2011, which claims priority to U.S. Provisional Patent Application No. 61/369,772, filed on Aug. 2, 2010, all of which are hereby incorporated by reference herein in their entireties.FieldThe invention relates generally to sample holders used for mounting samples in an electron microscope, e.g., a transmission electron microscope (TEM), a scanning transmission electron microscopy (STEM) and variations of the scanning electron microscopes (SEM) that use traditional TEM-type holders and stages, for imaging and analysis.BackgroundThe sample holder is a component of an electron microscope providing the physical support for specimens under observation. Sample holders traditionally used for TEMs and STEMs, as well as some modern SEMs, consist of a rod that is comprised of three key regions: the end (), the barrel () and the sample tip () (see, e.g., ). In addition to ...

Подробнее
26-01-2017 дата публикации

Preparation of specimen arrays on an em grid

Номер: US20170025250A1
Принадлежит: Individual

The invention provides systems or apparatuses for dispensing aqueous materials for electron microscopy (EM). The systems allow dispensing of aqueous materials onto an EM sample grid at individual specimen locations in an ordered array of specimen locations, with each individual specimen location in the array of locations. The systems contain a holder for reversibly receiving an EM sample grid, and a dispenser containing one or more dispensing elements that are configured to discretely dispense one or more aqueous solutions from the dispensing elements onto a plurality of individual specimen locations. The dispenser is able to provide an ordered array of discrete specimen locations discontinuous with one another. In the systems, at least one dispensing element is configured to dispense picoliter volumes of one or more of the aqueous solutions. Additionally, the systems contain a drive mechanism to position the EM sample grid relative to the one or more dispensing elements, as well as one or more reservoirs operably linked to the dispenser for holding the one or more aqueous solutions to be discretely dispensed onto each individual specimen location in the array of locations.

Подробнее
26-01-2017 дата публикации

Selective Processing Of A Workpiece

Номер: US20170025253A1
Принадлежит:

Systems and methods for the selective processing of a particular portion of a workpiece are disclosed. For example, the outer portion may be processed by directing an ion beam toward a first position on the workpiece, where the ion beam extends beyond the outer edge of the workpiece at two first locations. The workpiece is then rotated relative to the ion beam about its center so that certain regions of the outer portion are exposed to the ion beam. The workpiece is then moved relative to the ion beam to a second position and rotated in the opposite direction so that all regions of the outer portion are exposed to the ion beam. This process may be repeated a plurality of times. The ion beam may perform any process, such as ion implantation, etching or deposition. 1. A method of processing a workpiece , comprising:rotating the workpiece about a center in a first direction while an ion beam is directed toward a first position, where the ion beam extends beyond an outer edge of the workpiece at two first locations and the first position is a predetermined distance from the outer edge of the workpiece, so as to process a portion of an outer portion of the workpiece;moving the workpiece relative to the ion beam so as to direct the ion beam toward a second position on the workpiece, where the ion beam extends beyond an outer edge of the workpiece at two second locations and the second position is the predetermined distance from the outer edge of the workpiece; androtating the workpiece about the center in a second direction, opposite the first direction, while the ion beam is directed toward the second position, so as to process a remainder of the outer portion of the workpiece.2. The method of claim 1 , wherein the workpiece is rotated at least 180° in the first direction and at least 180° in the second direction.3. The method of claim 1 , wherein the ion beam does not impact the workpiece during the moving.4. The method of claim 3 , wherein the ion beam is blocked by a ...

Подробнее
28-01-2016 дата публикации

HYBRID ELECTRON MICROSCOPE

Номер: US20160027609A1
Принадлежит:

A hybrid electron microscope includes: an electron source to emit an electron beam; a parabolic mirror including: a reflective surface; and an aperture to communicate the electron beam through the parabolic mirror; and a sample holder interposed between the electron source and the parabolic mirror such that the reflective surface of the parabolic mirror faces the electron source and the sample holder. A process for acquiring hybrid electron microscopy data includes: disposing a parabolic mirror in a chamber, the parabolic mirror including: a reflective surface; and an aperture to communicate an electron beam through the parabolic mirror; disposing a sample on a sample holder; interposing a sample holder between an electron source and the parabolic mirror such that the reflective surface of the parabolic mirror faces the electron source and the sample holder; producing the electron beam from the electron source; subjecting the sample to the electron beam; communicating the electron beam through the sample and the aperture of the parabolic mirror; and collecting imaging data of the sample in response to the subjecting the sample to the electron beam to acquire the hybrid electron microscopy data. 1. A hybrid electron microscope comprising:an electron source to emit an electron beam; a reflective surface; and', 'an aperture to communicate the electron beam through the parabolic mirror; and, 'a parabolic mirror comprisinga sample holder interposed between the electron source and the parabolic mirror such that the reflective surface of the parabolic mirror faces the electron source and the sample holder.2. The hybrid electron microscope of claim 1 , further comprising an armature comprising an optical path to communicate a probe light to the reflective surface and to communicate a collected light from the reflective surface claim 1 ,3. The hybrid electron microscope of claim 2 , the armature further comprising:a first end disposed proximate to the sample holder;a second ...

Подробнее
10-02-2022 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE SUPPORT UNIT

Номер: US20220044917A1
Принадлежит:

The inventive concept relates to a substrate support unit provided in an apparatus for treating a substrate using plasma. In an embodiment, the substrate support unit includes a dielectric plate on which the substrate is placed, a lower electrode that is disposed under the dielectric plate and that has a first diameter, a power supply rod that applies RF power to the lower electrode and has a second diameter, and a ground member disposed under the lower electrode and spaced apart from the lower electrode by a first gap by an insulating member, the ground member including a plate portion having a through-hole formed therein through which the power supply rod passes, in which the through-hole has a third diameter. 1. A substrate support unit provided in an apparatus for treating a substrate using plasma , the substrate support unit comprising:a dielectric plate on which the substrate is placed;a lower electrode disposed under the dielectric plate, the lower electrode having a first diameter;a power supply rod configured to apply RF power to the lower electrode, the power supply rod having a second diameter; anda ground member disposed under the lower electrode and spaced apart from the lower electrode by a first gap by an insulating member, the ground member including a plate portion having a through-hole formed therein through which the power supply rod passes, wherein the through-hole has a third diameter,wherein the lower electrode includes a deformation portion extending downward from a center of a lower surface of the lower electrode and having a decreasing diameter toward the bottom, and the power supply rod is coupled to an end portion of the deformation portion.2. The substrate support unit of claim 1 , wherein the ground member further includes a guide portion extending upward from an inner diameter of the through-hole by a predetermined length and spaced apart from the power supply rod by a second gap.3. The substrate support unit of claim 2 , wherein the ...

Подробнее
24-01-2019 дата публикации

SAMPLE HOLDING MECHANISM, MANUFACTURING METHOD FOR SAME, AND CHARGED PARTICLE BEAM DEVICE

Номер: US20190027338A1
Принадлежит: Hitachi, Ltd.

Continuous and automatic acquisition of electron beam holograms is made possible by using a sample holding mechanism that includes a sample end region that has a linear shape that is suited for electron beam holography, separates a thin-film rectangular window with an extreme-thin support film that supports a sample being disposed and a rectangular hole that has a linear-shaped edge and through which a reference wave is transmitted from each other, and configures a part of a layer that is thicker than the support film. 1. A sample holding mechanism comprising:a window with a support film that supports a sample being disposed;a hole that has a linear-shaped edge and through which a reference wave is transmitted; anda layer that is thicker than the support film that is disposed on an edge of the window.2. The sample holding mechanism according to claim 1 ,wherein a plurality of the windows and a plurality of the holes are included, andrespective regions of the layer that is thicker than the support film that is disposed on the edge of each of the plurality of windows are continuously linked together as one layer.3. The sample holding mechanism according to claim 1 ,wherein the hole is a rectangular hole, the window is a rectangular window, and a plurality of the rectangular holes and a plurality of the rectangular windows are periodically arrayed.4. The sample holding mechanism according to claim 3 ,wherein a width of the rectangular hole is at least two times a width of the rectangular window.5. The sample holding mechanism according to claim 3 ,wherein a width of a region of the thick layer that separates the rectangular hole and the rectangular window from each other is not more than about 500 nanometers.6. The sample holding mechanism according to claim 1 ,wherein a substance that configures the window includes amorphous carbon, graphite, graphene, boron nitride, beryllium oxide, silicon oxide, and silicon nitride that each contain a light element as a principal ...

Подробнее
24-01-2019 дата публикации

MULTI-PIECE SUBSTRATE HOLDER AND ALIGNMENT MECHANISM

Номер: US20190027635A1
Принадлежит:

A system for transporting substrates and precisely align the substrates horizontally and vertically. The system decouples the functions of transporting the substrates, vertically aligning the substrates, and horizontally aligning the substrates. The transport system includes a carriage upon which plurality of chuck assemblies are loosely positioned, each of the chuck assemblies includes a base having vertical alignment wheels to place the substrate in precise vertical alignment. A pedestal is configured to freely slide on the base. The pedestal includes a set of horizontal alignment wheels that precisely align the pedestal in the horizontal direction. An electrostatic chuck is magnetically held to the pedestal. 1. A system for transporting substrates in a processing system , comprising:a carriage configured for supporting and transporting a plurality of chuck assemblies; a plurality of vertical height control rollers configured to control vertical position of the substrate;', 'a plurality of horizontal alignment rollers configured to control horizontal position of the substrate;', 'an electrostatic chuck configured to hold a substrate in precise alignment to the vertical control rollers and the horizontal alignment rollers., 'a plurality of chuck assemblies positioned loosely on the carriage, each of the chuck assemblies comprising2. The system of claim 1 , wherein the carriage defines a plurality of seats claim 1 , each seat configured for supporting one of the plurality of chuck assemblies.3. The system of claim 2 , wherein each of the chuck assemblies further comprises:a base, wherein the vertical height control rollers are attached to the base;a pedestal slidably positioned on top of the base and wherein the horizontal alignment rollers are attached to the pedestal.4. The system of claim 3 , further comprising a vertical urging mechanism urging each of the chuck assemblies vertically upwards from the carriage.5. The system of claim 4 , wherein each of the chuck ...

Подробнее
04-02-2016 дата публикации

Deposition Tool for Combinatorial Thin Film Material Libraries

Номер: US20160030909A1
Принадлежит: Universiteit Gent

A system for combinatorial deposition of a thin layer on a substrate is described. The system comprises at least one deposition material source holder and a substrate holder. The system also comprises a rotatable positioning system for subsequently positioning the at least one substrate in parallel and in non-parallel configuration with at least one deposition material source. The system comprises at least one mask holder arranged for positioning a mask between at least one of the target holder and the positioning system, for allowing variation of the material flux across the at least one substrate when the combinatorial deposition is performed. The mask holder is in a fixed arrangement with respect to the at least one deposition material source holder during the combinatorial depositing. 116-. (canceled)17. A system for combinatorial depositing of a thin film having a varying composition and/or a varying thickness on at least one substrate , the system comprisingat least one deposition material source holder arranged so as to carry a deposition material source,a substrate holder adapted for carrying at least one substrate,a rotatable positioning system having a rotation axis for relatively moving the substrate holder and the at least one deposition material source holder with respect to each other for subsequently positioning the at least one substrate in a parallel configuration in front of at least one of the at least one deposition material source and in a non-parallel configuration non parallel with the at least one of the at least one deposition material source during the combinatorial deposition, andat least one mask holder arranged for positioning a mask between at least one of the at least one deposition material source holder and the substrate holder, for allowing variation of the material flux across the at least one substrate when the combinatorial deposition is performed, and the at least one mask holder being in a fixed arrangement with respect to the ...

Подробнее
29-01-2015 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20150031213A1
Принадлежит:

A plasma processing method is provided for a plasma processing apparatus which includes a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to respective refrigerant inlets and respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets. The method includes adjusting openings of the upstream-side expansion valves and openings of the downstream-side expansion valves so that no change in flow rate of the refrigerant occurs in a plurality of refrigerant channels between the plurality of upstream-side expansion valves and the plurality of downstream-side expansion valves via the plurality of refrigerant channels in a refrigeration cycle allowing the refrigerant to flow therein. 1. A plasma processing method for processing a sample that is an object to be processed by plasma , by mounting the sample on an upper surface of a sample stage arranged in a processing chamber inside a vacuum container and forming the plasma in the processing chamber ,the sample stage including a refrigerant inlet and a refrigerant outlet arranged in each of a plurality of refrigerant channels that are concentrically arranged inside the sample stage and configured to allow a refrigerant to flow inside, and configured to function as a first evaporator,wherein the sample stage is included in a refrigeration cycle including a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to the respective refrigerant inlets and the respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets, in which a compressor, a condenser, the plurality of upstream-side expansion ...

Подробнее
23-01-2020 дата публикации

TRANSMISSION ELECTRON MICROSCOPE SPECIMEN AND METHOD OF MANUFACTURING THE SAME

Номер: US20200027691A1
Принадлежит:

An electron microscope specimen includes a first electron-transport layer, a second electron-transport layer, a spacer layer, and a carrier layer. The second electron-transport layer has a first opening, a second opening, and a viewing area, wherein the viewing area is between the first opening and the second opening. The spacer layer is sandwiched between the first electron-transport layer and the second electron-transport layer, and the spacer layer has an accommodating space communicating with the first opening and the second opening. The carrier layer is disposed on the second electron-transport layer, and has a viewing window, a first injection hole, and a second injection hole, wherein the viewing window is substantially aligned with the viewing area and the accommodating space, and the first injection hole and the second injection hole respectively communicate with the first opening and the second opening. 1. A transmission electron microscope specimen , comprising:a first electron-transport layer;a second electron-transport layer having a first opening, a second opening, and a viewing area, wherein the viewing area is between the first opening and the second opening;a spacer layer sandwiched between the first electron-transport layer and the second electron-transport layer, wherein the spacer layer has an accommodating space communicating with the first opening and the second opening; anda carrier layer disposed on the second electron-transport layer, and having a viewing window, a first injection hole, and a second injection hole, wherein the viewing window is substantially aligned with the viewing area and the accommodating space, and the first injection hole and the second injection hole respectively communicate with the first opening and the second opening.2. The transmission electron microscope specimen of claim 1 , wherein each of the first electron-transport layer and the second electron-transport layer comprises SiN.3. The transmission electron ...

Подробнее
23-01-2020 дата публикации

TOMOGRAPHY-ASSISTED TEM PREP WITH REQUESTED INTERVENTION AUTOMATION WORKFLOW

Номер: US20200027692A1
Принадлежит: FEI COMPANY

Provided is a process for lamella thinning and endpointing that substitutes a series of automated small angle tilts for the motions in the conventional endpointing sequence. STEM images or through-surface BSE scans are acquired at each tilt. The results are analyzed automatically to determine feature depths, and an intervention request is made requesting a user decision based on marked-up images and summary information displayed. 1. A method comprising:milling a layer of material from one major lateral side of a workpiece, the workpiece including two major lateral sides;acquiring an image at each tilt orientation of a small-angle tilt series, the small-angle tilt series including a plurality of tilt orientations;identifying one or more features in each of the images;applying metrology to determine respective depths of the one or more features from at least one of the major lateral sides;requesting an operator intervention in the process by (I) displaying prepared images with measured depths displayed and identified, (II) displaying measured thickness of the workpiece lamella, and (III) presenting a limited choice intervention menu with a first option to mill away a layer on the same major lateral side of the workpiece previously milled and a second option to flip the workpiece and then mill away a layer on the opposing major lateral side of the workpiece;receiving an operator choice through the limited choice intervention menu; andbased on the operator choice, either flipping the lamella or presenting the previously milled major lateral side of the workpiece lamella toward the ion beam, milling a layer of material from the presented side of the workpiece.2. The method of claim 1 , wherein the small-angle tilt series includes no more than two projections.3. The method of claim 1 , wherein the images are STEM projections.4. The method of claim 3 , wherein identifying one or more features in each of the images includes:aligning the STEM projections; anddetermining ...

Подробнее
23-01-2020 дата публикации

SYSTEM AND METHOD FOR BARE WAFER INSPECTION

Номер: US20200027693A1
Автор: Fang Wei, Wang Joe
Принадлежит:

A wafer inspection system includes a controller in communication with an electron-beam inspection tool. The controller includes circuitry to: acquire, via an optical imaging tool, coordinates of defects on a sample; set a Field of View (FoV) of the electron-beam inspection tool to a first size to locate a subset of the defects; determine a position of each defect of the subset of the defects based on inspection data generated by the electron-beam inspection tool during a scanning of the sample; adjust the coordinates of the defects based on the determined positions of the subset of the defects; and set the FoV of the electron-beam inspection tool to a second size to locate additional defects based on the adjusted coordinates. 1. A defect review tool comprising: acquire, via an optical imaging tool, coordinates of defects on a bare wafer;', 'set a Field of View (FoV) of the electron-beam inspection tool to a first size to locate a subset of the defects on the bare wafer;', 'determine a position on the hare wafer of each defect of the subset of the defects based on inspection data generated by the electron-beam inspection tool during a scan of the bare wafer;', 'adjust the coordinates of the defects based on the determined positions of the subset of the defects; and', 'set the FoV of the electron-beam inspection tool to a second size to locate additional defects based on the adjusted coordinates., 'a controller in communication with an electron-beam inspection tool, the controller having circuitry to2. The defect review tool of claim 1 , wherein the first size is larger than the second size.3. The defect review tool of claim 1 , wherein the bare wafer is an un-patterned wafer.4. The defect review tool of claim 1 , wherein the controller having circuitry to adjust the coordinates of the defects based on the determined positions of the subset of the defects includes the controller having circuitry to:determine a transformation relationship for the coordinates of he ...

Подробнее
23-01-2020 дата публикации

TECHNIQUES, SYSTEM AND APPRATUS FOR SELECTIVE DEPOSITION OF A LAYER USING ANGLED IONS

Номер: US20200027707A1

A method is provided. The method may include providing a substrate, the substrate comprising a substrate surface, the substrate surface having a three-dimensional shape. The method may further include directing a depositing species from a deposition source to the substrate surface, wherein a layer is deposited on a deposition region of the substrate surface. The method may include performing a substrate scan during the directing or after the directing to transport the substrate from a first position to a second position. The method may also include directing angled ions to the substrate surface, in a presence of the layer, wherein the layer is sputter-etched from a first portion of the deposition region, and wherein the layer remains in a second portion of the deposition region. 1. A method , comprising:providing a substrate, the substrate comprising a substrate surface, the substrate surface having a three-dimensional shape;directing a depositing species from a deposition source to the substrate surface, wherein a layer is deposited on a deposition region of the substrate surface;performing a substrate scan during the directing or after the directing to transport the substrate from a first position to a second position; anddirecting angled ions to the substrate surface, in a presence of the layer, wherein the layer is sputter-etched from a first portion of the deposition region, and wherein the layer remains in a second portion of the deposition region.2. The method of claim 1 , wherein the deposition source comprises a first ion source claim 1 , the first ion source directing the depositing species at a first non-zero angle of incidence with respect to a perpendicular to a plane of the substrate claim 1 , wherein the deposition region comprises less than an entirety of the substrate surface.3. The method of claim 2 , wherein the substrate surface comprises a shadowed region claim 2 , wherein the layer does not form on the shadowed region.4. The method of claim 2 , ...

Подробнее
23-01-2020 дата публикации

Sputtering device

Номер: US20200027708A1
Принадлежит: Nissin Electric Co Ltd

The purpose of the present invention is to improve uniformity of film deposition by a plasma-based sputtering device. Provided is a sputtering device 100 for depositing a film on a substrate W through sputtering of targets T by using plasma P, said sputtering device being provided with a vacuum chamber 2 which can be evacuated to a vacuum and into which a gas is to be introduced; a substrate holding part 3 for holding the substrate W inside the vacuum chamber 2 ; target holding parts 4 for holding the targets T inside the vacuum chamber 2 ; multiple antennas 5 which are arranged along a surface of the substrate W held by the substrate holding part 3 and generate plasma P; and a reciprocal scanning mechanism 14 for scanning back and forth the substrate holding part 3 along the arrangement direction X of the multiple antennas 5.

Подробнее
23-01-2020 дата публикации

COMPOSITE SINTERED BODY, ELECTROSTATIC CHUCK MEMBER, ELECTROSTATIC CHUCK DEVICE, AND METHOD FOR PRODUCING COMPOSITE SINTERED BODY

Номер: US20200027770A1
Принадлежит: Sumitomo Osaka Cement Co., Ltd.

A composite sintered body is a ceramic composite sintered body which includes metal oxide which is a main phase, and silicon carbide which is a sub-phase, in which crystal grains of the silicon carbide are dispersed in crystal grains of the metal oxide and at crystal grain boundaries of the metal oxide, and a proportion of the crystal grains of the silicon carbide dispersed in the crystal grains of the metal oxide is 25% or more in an area ratio with respect to a total crystal grains of the silicon carbide. 1. A composite sintered body which is a ceramic composite sintered body , comprising:a metal oxide which is a main phase; andsilicon carbide which is a sub-phase,wherein crystal grains of the silicon carbide are dispersed in crystal grains of the metal oxide and at crystal grain boundaries of the metal oxide, anda proportion of the crystal grains of the silicon carbide dispersed in the crystal grains of the metal oxide is 25% or more in an area ratio with respect to a total crystal grains of the silicon carbide.2. The composite sintered body according to claim 1 , wherein the metal oxide is aluminum oxide or yttrium oxide.3. The composite sintered body according to claim 1 , wherein an average crystal grain size of the metal oxide is 1.2 μm or more and 10 μm or less.4. An electrostatic chuck member comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'a plate-shaped base which is formed of, as a forming material, the composite sintered body according to , the base having one principal surface which is a placing surface on which a plate-shaped sample is placed; and'}an electrostatic attraction electrode provided on a side opposite to the placing surface of the base, or in an interior of the base.5. An electrostatic chuck device comprising:{'claim-ref': {'@idref': 'CLM-00004', 'claim 4'}, 'the electrostatic chuck member according to .'}6. A method for producing a composite sintered body claim 1 , comprising:a step of mixing metal oxide particles and silicon ...

Подробнее
28-01-2021 дата публикации

Stage Device, Charged Particle Beam Apparatus, and Vacuum Apparatus

Номер: US20210027978A1
Принадлежит: HITACHI LTD

The problem addressed by the present disclosure is to provide a stage device, a charged particle beam device, and a vacuum device, with which it is possible to increase the speed and the acceleration of positioning and to suppress the leakage of a magnetic field. As a means to resolve this problem, a stage device 100 comprises a support stage 10, a floating mechanism 20, and a movement stage 30. The movement stage 30 has a propulsion-applying unit 36, and the support stage 10 has a propulsion-receiving unit 11. The stage device 100 is configured so that when the movement stage 30 moves and the propulsion-applying unit 36 contacts or approaches the propulsion-receiving unit 11, the propulsion-applying unit 36 applies propulsion in the movement direction to the propulsion-receiving unit 11.

Подробнее
28-01-2021 дата публикации

LIFT PIN ALIGNMENT METHOD AND ALIGNMENT APPARATUS AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210028052A1
Принадлежит:

A substrate processing apparatus and a lift pin alignment apparatus, the substrate processing apparatus including a chamber; a substrate plate on which the substrate is seatable; a plurality of movable lift in the substrate plate to support the substrate; a leveling sensor configured to be loadable in the chamber on the lift pins; a controller configured to receive measurement values of roll (φ) and pitch (θ) of a plane of the lift pins to calculate a rotation matrix (T) of the plane from the measurement values of roll (φ) and pitch (θ), and to calculate travel distances of the lift pins for leveling the plane to be parallel with a horizontal reference plane by using the rotation matrix (T) and to output a lift pin control signal for aligning the lift pins; and a lift pin driver to move the lift pins according to the lift pin control signal. 1. A substrate processing apparatus , comprising:a chamber to provide a space for processing a substrate;a substrate plate within the chamber and on which the substrate is seatable;a plurality of lift pins protruding from within the substrate plate to support the substrate, the plurality of lift pins being configured to move upwardly and downwardly;a leveling sensor configured to be loadable in the chamber on the plurality of lift pins that protrude from the substrate plate;a controller configured to receive measurement values of roll (φ) and pitch (θ) representing an angle of a plane of the plurality of lift pins from the leveling sensor to calculate a rotation matrix (T) of the plane from the measurement values of roll (φ) and pitch (θ), to calculate travel distances of the lift pins for leveling the plane to be parallel with a horizontal reference plane by using the rotation matrix (T), and to output a lift pin control signal for aligning the plurality of lift pins in a horizontal plane; anda lift pin driver configured to move the plurality of lift pins according to the lift pin control signal.2. The substrate processing ...

Подробнее
04-02-2016 дата публикации

Functionalized grids for locating and imaging biological specimens and methods of using the same

Номер: US20160032281A1
Принадлежит: FEI Co

A functionalized specimen support for use in charged particle microscopy is provided that includes a specimen support surface configured to support specimens during an interrogation of the specimens with a charged particle microscope, the specimen support surface having functionalized sites, each functionalized site configured to maintain position of a portion of one of the specimens at the functionalized site by way of attachment, attraction, or a combination thereof.

Подробнее
02-02-2017 дата публикации

TESTING ASSEMBLY INCLUDING A MULTIPLE DEGREE OF FREEDOM STAGE

Номер: US20170030812A1
Принадлежит:

A multiple degree of freedom sample stage or testing assembly including a multiple degree of freedom sample stage. The multiple degree of freedom sample stage includes a plurality of stages including linear, and one or more of rotation or tilt stages configured to position a sample in a plurality of orientations for access or observation by multiple instruments in a clustered volume that confines movement of the multiple degree of freedom sample stage. The multiple degree of freedom sample stage includes one or more clamping assemblies to statically hold the sample in place throughout observation and with the application of force to the sample, for instance by a mechanical testing instrument. Further, the multiple degree of freedom sample stage includes one or more cross roller bearing assemblies that substantially eliminate mechanical tolerance between elements of one or more stages in directions orthogonal to a moving axis of the respective stages. 1. (canceled)2. A testing assembly configured for enclosure and operation within a chamber of an instrument assembly , the testing assembly comprising: a movable probe including a probe tip, and', 'a transducer coupled with the movable probe; and, 'a mechanical deformation based testing instrument configured to conduct deformation based testing on a sample, the mechanical deformation based testing instrument includes a sample stage surface configured for reception of the sample,', 'at least one linear stage,', 'at least one of a rotation stage or a tilt stage coupled with the one or more linear stages, and', 'wherein at least two of the linear stage, the rotation stage or the tilt stage is configured to orient the sample stage surface relative to the probe tip into at least first and second orientations, and each of the first and second orientations are different and the sample stage surface is proximate the probe tip in each of the first and second orientations., 'a multiple degree of freedom sample stage coupled with ...

Подробнее
04-02-2016 дата публикации

METHOD FOR SAFE CONTROL OF GAS DELIVERY TO AN ELECTRON MICROSCOPE SAMPLE HOLDER

Номер: US20160033355A1
Принадлежит:

System and method for safely controlling the containment of gas within a manifold system and the delivery of gas to a sample holder for an electron microscope for imaging and analysis. 11212. A gas delivery system comprising an environmental electron microscope sample holder with at least one inlet port and at least one outlet port wherein the at least one inlet port is communicatively connected to a tank T and the at least one outlet port is communicatively connected to a tank T such that at least one gas can travel from tank T through the sample holder to tank T , said gas delivery system further comprising at least one pressure sensor and at least one valve , wherein the at least one pressure sensor is monitored using controls software with logic that is programmed to identify a leak in the system and the controls software will signal the closure of the at least one valve if a leak is detected.2. The gas delivery system of claim 1 , further comprising at least one set of components communicatively connected and selected from the group consisting of{'b': 1', '1', '1', '1', '1, '(a) a pressure sensor S and a valve V between tank T and the at least one inlet port, optionally wherein the pressure sensor S is attached to the tank T;'}{'b': 2', '2', '2', '2', '2, '(b) a pressure sensor S and a valve V between tank T and the at least one outlet port, optionally wherein the pressure sensor S is attached to the tank T;'}{'b': '1', '(c) a pressure sensor SH for sensing the pressure within the sample holder and a valve VH between valve V and the at least one inlet port;'}(d) a mechanical vacuum pump with a valve VP positioned between the mechanical vacuum pump and the rest of the system; and(e) any combination of (a)-(e).3. The gas delivery system of claim 1 , further comprising communicatively connected components (a)-(d):{'b': 1', '1', '1', '1', '1, '(a) a pressure sensor S and a valve V between tank T and the at least one inlet port, optionally wherein the pressure ...

Подробнее
05-02-2015 дата публикации

Driving apparatus, charged particle beam irradiation apparatus, and method of manufacturing device

Номер: US20150033546A1
Автор: Shinji Uchida
Принадлежит: Canon Inc

A driving apparatus includes an electromagnetic actuator configured to generate a motive power by an electromagnetic force; movable portions configured to be moved by the electromagnetic actuator, and a magnetic shield unit including a first magnetic shield and a second magnetic shield that surround the electromagnetic actuator in this order, and from a side closer to a magnetic field generating portion of the electromagnetic actuator. An opening through which a demagnetizing coil penetrates provided on at least one of the magnetic shields is opposite to the first magnetic shield or the second magnetic shield in a part of the area of the opening.

Подробнее
31-01-2019 дата публикации

PLASMA CVD APPARATUS, PLASMA CVD METHOD, AND AGITATING DEVICE

Номер: US20190032205A1
Автор: ABE Takayuki, Honda Yuuji
Принадлежит: Advanced Material Technologies, Inc.

A plasma CVD apparatus efficiently coats the surfaces of fine particles with a thin film or super-fine particles by concentrating a plasma near the fine particles. The plasma CVD apparatus includes a chamber, a container disposed in the chamber for housing the fine particles, the container having a polygonal inner shape in a cross section substantially perpendicular to a longitudinal axis of the container, a ground shielding member for shielding a surface of the container other than a housing face, a rotation mechanism for causing the container to rotate or act as a pendulum on an axis of rotation substantially perpendicular to the cross section, an opposed electrode disposed in the container so as to face the housing face, a plasma power source electrically connected to the container, a gas introducing mechanism for introducing a raw gas into the container, and an evacuation mechanism for evacuating the chamber. 118-. (canceled)19. A plasma CVD method comprising the steps of:housing fine particles or electronic parts in a container having a circular inner shape in a section approximately parallel to the direction of the gravity,shielding the surface of the container other than a housing face for housing the fine particles or the electronic parts with a ground shielding member,disposing an opposed electrode facing the housing face in the container,evacuating the inside of the container,causing the container to rotate or act as a pendulum on the axis of rotation approximately perpendicular to the section,introducing a raw gas into the container, andsupplying a plasma power to the container to coat the surfaces of said fine particles or said electronic parts with super-fine particles having smaller diameters than said fine particles or said electronic parts, or with a thin film by a plasma CVD method while agitating or rotating the fine particles or the electronic parts in the container.20. A plasma CVD method comprising the steps of:housing fine particles or ...

Подробнее
04-02-2016 дата публикации

SAMPLE HOLDER, CHARGED PARTICLE BEAM APPARATUS, AND OBSERVATION METHOD

Номер: US20160035535A1
Принадлежит:

An object of the present invention is to provide a sample holder that can carry out a series of observations in which a rotational series image at arbitrary angles, namely, from −180° to +180° around the x-axis of an observation region and a rotational series image at arbitrary angles, namely, from −180° to +180° around the y-axis are obtained without taking a sample out of a sample chamber. 1. A sample holder comprising:a first rotational jig to a tip end part of which a charged-particle-beam-irradiated sample is attached;a power separator that separates one input movement into two movements;a holding rod that holds the power separator;a linear movement transmission mechanism that transmits power generated from the power separator and provides the first rotational jig with a linear movement;a rotational movement transmission mechanism that transmits the power generated from the power separator and provides the first rotational jig with a rotational movement;a power unit that provides the power separator with a movement; anda first rotation control unit that provides the first rotational jig with a first rotation using the extending direction of the holding rod as a rotational axis.2. The sample holder according to claim 1 ,wherein the power separator includes: a rotor; a first pin attached to a first surface of a rotational surface of the rotor; and a second pin attached to a second surface opposite to the first surface, and the input movement is converted into the rotational movement of the rotor.3. The sample holder according to claim 2 ,wherein the rotational movement transmission mechanism includes: a third gear having a first pin traveling long hole into which the first pin is inserted; and a second gear that transmits the rotation of the third gear to the first rotational jig, and the first rotational jig is rotationally moved by the first pin.4. The sample holder according to claim 2 , wherein the linear movement transmission mechanism includes: a V-shaped ...

Подробнее
04-02-2016 дата публикации

TOTAL RELEASE METHOD FOR SAMPLE EXTRACTION IN AN ENERGETIC-BEAM INSTRUMENT

Номер: US20160035540A1

A substrate located in an energetic-beam instrument has a region of interest to be extracted as a sample for further analysis. Cuts are made in the substrate to define a sample, and a stress-buffer layer is formed over the region of interest or adjacent to it. An isolating cut is made to separate the portion of the substrate containing the region of interest from the bulk substrate; however, the isolated area remains attached to the stress-buffer layer. An end-effector, such as the probe of a nano-manipulator, is attached to the stress-buffer layer, and the stress-buffer layer is cut to free the sample. The sample may then be attached to a holder by attachment of the stress-buffer layer thereto. Thus the sample is never at the same time connected directly and rigidly to two different objects that may move relatively to one another, creating undesirable stresses in the sample. 1. A method for sample extraction in an energetic-beam instrument , comprising:positioning a substrate having a region of interest in the energetic-beam instrument; the region of interest comprising first and second sides;forming a stress-buffer layer on the substrate over the region of interest, where the stress-buffer layer extends in at least one dimension beyond the region of interest;cutting with the energetic beam a first cut into the substrate on the first side of the region of interest;cutting with the energetic beam a second cut into the substrate on the second side of the region of interest; and,cutting with the energetic beam an isolating cut into the substrate, whereby the region of interest is isolated from the substrate but remains attached to at least a portion of the stress-buffer layer.2. The method of claim 1 , further comprising attaching an end-effector of a nano-manipulator to the stress-buffer layer.3. The method of claim 2 , where attaching of the end-effector to the stress-buffer layer comprises attaching the probe of a nano-manipulator by gas-assisted deposition.4. The ...

Подробнее
01-02-2018 дата публикации

APPARATUS AND METHOD FOR PROCESSING OR IMAGING A SAMPLE

Номер: US20180033586A1
Принадлежит:

The invention relates to an apparatus and method for exposing a sample. The apparatus comprises a source for electromagnetic radiation or particles having energy, an exposing unit for exposing said sample to said electromagnetic radiation or particles, and a substrate holding device for holding said sample at least during said exposing. 1. Apparatus for exposing a sample , wherein said apparatus comprisesa source for electromagnetic radiation or particles having energy,an exposing unit for exposing said sample to said electromagnetic radiation or particles, wherein the exposing unit comprises a component for at least partially and/or temporally manipulating and/or blocking at least part of the electromagnetic radiation or charged particles, wherein the component comprises a cooling arrangement which is arranged for substantially maintaining the component at a predetermined first temperature, anda substrate holding device for holding said sample at least during said exposing, wherein the substrate holding device comprises a temperature stabilizing arrangement which is arranged to substantially stabilize the temperature of a sample arranged on said substrate holding device, wherein the temperature stabilizing arrangement comprises a phase change material having a phase change at a second temperature,wherein the cooling arrangement and the temperature stabilizing arrangement are arranged such that the second temperature is at or near the first temperature.2. Apparatus according to claim 1 , wherein the cooling arrangement and the temperature stabilizing arrangement are arranged such that a difference between the first temperature and the second temperature is not more than 4° C. claim 1 , preferably not more than 2° C.3. Apparatus according to claim 1 , wherein the first temperature is lower than the second temperature.4. Apparatus according to claim 1 , wherein the first temperature is substantially equal to the second temperature claim 1 , preferably wherein the ...

Подробнее