Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1808. Отображено 100.
16-05-2013 дата публикации

TEMPERATURE CONTROLLED CHAMBER LINER

Номер: US20130118686A1
Принадлежит: Applied Materials, Inc.

A liner for a semiconductor processing chamber and a semiconductor processing chamber are provided. In one embodiment, a liner for a semiconductor processing chamber includes a body having an outwardly extending flange. A plurality of protrusions extend from a bottom surface of the flange. The protrusions have a bottom surface defining a contact area that is asymmetrically distributed around the bottom surface of the flange. 1. A liner for a semiconductor processing chamber , comprising:a body;a flange extending outward from the body;a plurality of protrusions extending from a bottom surface of the flange, the protrusions having a bottom surface defining a contact area, the contract area of the protrusions having an asymmetrical distribution around the bottom surface of the flange.2. The liner of claim 1 , wherein the bottom surface of the protrusions are non-uniform.3. The liner of claim 2 , wherein the bottom surface of the protrusions in a first region of the flange have a first profile and the bottom surface of the protrusions in a second region of the flange have a second profile that is flatter than the first profile.4. The liner of claim 3 , wherein the first region of the flange is defined above a substrate passage slot formed through the body.5. The liner of claim 3 , wherein the second region of the flange is defined above a substrate passage slot formed through the body.6. The liner of claim 2 , wherein the bottom surface of the protrusions in a first region of the flange have a first contact area and the bottom surface of the protrusions in a second region of the flange have a second contact area that is different than the first contact area.7. The liner of claim 6 , wherein the first region of the flange is defined above a substrate passage slot formed through the body.8. The liner of claim 6 , wherein the second region of the flange is defined above a substrate passage slot formed through the body.9. The liner of claim 1 , wherein the protrusions are ...

Подробнее
05-09-2013 дата публикации

Method and Apparatus for Plasma Dicing a Semi-conductor Wafer

Номер: US20130230971A1
Принадлежит: Plasma Therm LLC

The present invention provides a method for plasma processing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; loading a work piece onto the work piece support, the work piece having a support film, a frame and the substrate; providing a cover ring above the work piece, the cover ring having at least one perforated region, and at least one non-perforated region; generating a plasma using the plasma source; and processing the work piece using the generated plasma.

Подробнее
20-02-2014 дата публикации

MOVABLE CHAMBER LINER PLASMA CONFINEMENT SCREEN COMBINATION FOR PLASMA PROCESSING APPARATUSES

Номер: US20140051254A1
Принадлежит: LAM RESEARCH CORPORATION

A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts. 1. (canceled)2. The method of claim 19 , wherein the inner rim includes a sloped surface which extends axially upward from an inner perimeter of the bottom wall.3. The method of claim 19 , further comprising embedding the heater in the inner rim.4. The method of claim 19 , wherein the inner rim comprises four radially outwardly extending bosses spaced 90° apart claim 19 , at least one of the bosses housing electrical connections to the heater.5. The method of claim 19 , wherein the outer cylindrical wall and the bottom wall consist of a single plate of hydroformed aluminum.6. The method of claim 19 , further comprising friction-stir welding the inner rim to the bottom wall.7. The method of claim 19 , wherein:at least one electrical receptacle is on a lower surface of the liner, the at least one electrical receptacle comprising one or more conductive contacts (heater contacts) electrically connected to the heater and electrically insulated from the liner;at least one electrical receptacle is on the lower surface of the liner, the at least one electrical receptacle comprising a conductive contact (RF ground return button) electrically connected to the liner and electrically insulated from any heater contacts.8. The method of claim 7 , wherein the electrical receptacles are azimuthally symmetrically positioned.9. The method ...

Подробнее
07-01-2021 дата публикации

Aluminum apparatus with aluminum oxide layer and method for forming the same

Номер: US20210005433A1

In a method, an aluminum body is chemically treated with at least one of an alkaline solution and an acid solution. Anode-oxidization is performed on the chemically treated aluminum body to form an aluminum oxide layer. The aluminum oxide layer is treated with hot water at a temperature more than 75° C. or steam. The aluminum oxide layer after being treated with hot water or steam includes plural columnar grains, and an average width of the columnar grains is in a range from 10 nm to 100 nm.

Подробнее
03-01-2019 дата публикации

PLASMA REACTOR HAVING A FUNCTION OF TUNING LOW FREQUENCY RF POWER DISTRIBUTION

Номер: US20190006155A1
Принадлежит:

The present disclosure provides a plasma reactor having a function of tuning low frequency RF power distribution, comprising: a reaction chamber in which an electrically conductive base is provided, the electrically conductive base being connected to a low frequency RF source via a first match, an electrostatic chuck being provided on the electrically conductive base, an upper surface of the electrostatic chuck being configured for fixing a to-be-processed substrate, an outer sidewall of the electrically conductive base being coated with at least one layer of plasma corrosion-resistance dielectric layer, a coupling ring made of a dielectric material surrounding an outer perimeter of the base, a focus ring being disposed above the coupling ring, the focus ring being arranged surround the electrostatic chuck and be exposed to a plasma during a plasma processing procedure; the plasma reactor further comprising an annular electrode that is disposed above the coupling ring but below the focus ring; a wire, a first end of which is electrically connected to the base, and a second end of which is connected to the annular electrode, a variable capacitance being serially connected to the wire. 1. A plasma reactor having a function of tuning low frequency RF power distribution , comprising:a reaction chamber in which an electrically conductive base is provided, the electrically conductive base being connected to a low frequency RF source via a first match, an electrostatic chuck being provided on the electrically conductive base, an upper surface of the electrostatic chuck being configured for fixing a to-be-processed substrate, a coupling ring being arranged to surround an outer perimeter of the base, a focus ring being disposed above the coupling ring, the focus ring being arranged to surround the electrostatic chuck and to be exposed to a plasma during a plasma processing procedure;an annular electrode that is disposed above the coupling ring; and{'i': 'intermedia', 'an ...

Подробнее
27-01-2022 дата публикации

Member for semiconductor manufacturing device

Номер: US20220028657A1
Автор: Yasutaka NITTA
Принадлежит: TOTO LTD

A member for a semiconductor manufacturing device includes an alumite base material including a concavity and a first layer formed on the alumite base material and including an yttrium compound. The first layer includes an outer surface, a first region on a side of the outer surface, and a second region provided in the concavity and located between the first region and the alumite base material. The concavity includes first and second portions respectively provided with the first and second regions. A width of the second portion is narrower than a width of the first portion in a cross section along a stacking direction and a boundary of the first layer in the concavity and the alumite base material being curved convex toward the outer surface of the first layer.

Подробнее
09-01-2020 дата публикации

PROTECTIVE LAYER FOR CHUCKS DURING PLASMA PROCESSING TO REDUCE PARTICLE FORMATION

Номер: US20200013590A1
Принадлежит:

Embodiments are described herein to reduce formation of undesired particles during plasma processing for microelectronic workpieces by depositing a layer (e.g., think film) on the surface of a chuck, such as an electrostatic chuck (ESC), prior to plasma processing such as a plasma etch process (e.g., a reactive ion etch (RIE) process) and/or a plasma deposition process. This layer works as a lubricant or protective coating to reduce or minimize physical contact between the microelectronic workpiece (e.g., semiconductor wafer) and the chuck. This reduction in physical contact reduces scratching of the backside of the microelectronic workpiece and reduces related formation of undesired particles that can be transported to the front side of the microelectronic workpiece and cause defects and reduce yields. As such, the disclosed embodiments improve particle (PA) performance parameters for plasma etch and/or deposition processes. 1. A method of processing microelectronic workpieces , comprising:forming a layer on a surface of a chuck within a processing chamber;positioning a microelectronic workpiece on the surface of the chuck; andperforming at least one of an etch process or a deposition process on the microelectronic workpiece.2. The method of claim 1 , wherein the performing comprises an etch process.3. The method of claim 2 , wherein the etch process comprises a plasma etch process.4. The method of claim 3 , wherein the plasma etch process comprises a reactive ion etch (RIE) process.5. The method of claim 1 , wherein the performing comprises a deposition process.6. The method of claim 5 , wherein the deposition process comprises a plasma deposition process.7. The method of claim 1 , further comprising injecting an inert gas between the chuck and the microelectronic workpiece to facilitate thermal conductance.8. The method of claim 1 , wherein the chuck is an electrostatic chuck.9. The method of claim 1 , wherein the microelectronic workpiece is a semiconductor ...

Подробнее
19-01-2017 дата публикации

USE OF SINTERED NANOGRAINED YTTRIUM-BASED CERAMICS AS ETCH CHAMBER COMPONENTS

Номер: US20170018408A1
Принадлежит:

In accordance with this disclosure, there are provided several inventions, including an apparatus and method for creating a plasma resistant part, which may be formed of a sintered nanocrystalline ceramic material comprising yttrium, oxide, and fluoride. Example parts thus made may include windows, edge rings, or injectors. In one configuration, the parts may be yttria co-sintered with alumina, which may be transparent. 1. A plasma resistant part adapted for use in a plasma processing chamber which is configured to produce a plasma while in an operating mode , wherein the part comprises a plasma-facing surface configured to face the plasma when the plasma chamber is in the operating mode , wherein the surface is formed of a sintered nanocrystalline ceramic material comprising yttrium in addition to oxide and/or fluoride.2. The plasma resistant part of claim 1 , wherein the ceramic material comprises YO.3. The plasma resistant part of claim 1 , wherein the ceramic material comprises YFor YOF.4. The plasma resistant part of claim 1 , wherein the part is an edge ring.5. The plasma resistant part of claim 1 , wherein the part is a gas injector.6. The plasma resistant part of claim 1 , further comprising a first layer and a second layer that are co-sintered together claim 1 , and wherein the plasma-facing surface is part of the second layer claim 1 , and the second layer is a nanocrystalline ceramic material.7. The plasma resistant part of claim 6 , wherein the first layer is a microcrystalline ceramic material.8. The plasma resistant part of claim 7 , wherein the first layer comprises alumina.9. The plasma resistant part of claim 7 , wherein the plasma resistant part is a window.10. A plasma processing apparatus comprising the plasma resistant part of claim 1 , further comprising:the plasma processing chamber; anda substrate support,wherein the plasma resistant part is situated in the plasma processing chamber, such that its plasma-facing surface faces the plasma when ...

Подробнее
19-01-2017 дата публикации

EXTREME EDGE SHEATH AND WAFER PROFILE TUNING THROUGH EDGE-LOCALIZED ION TRAJECTORY CONTROL AND PLASMA OPERATION

Номер: US20170018411A1
Принадлежит:

An edge ring assembly for a plasma processing chamber is provided, including: an edge ring configured to surround an electrostatic chuck (ESC) that is configured for electrical connection to a first RF power supply, the ESC having a top surface for supporting a substrate and an annular step surrounding the top surface, the annular step defining an annular shelf that is lower than the top surface; an annular electrode disposed below the edge ring in the annular step and above the annular shelf; a dielectric ring disposed below the annular electrode for isolating the annular electrode from the ESC, the dielectric ring positioned in the annular step over the annular shelf; and, a plurality of insulated connectors disposed through the ESC and through the dielectric ring, each of the plurality of insulated connectors providing electrical connection between a second RF power supply and the annular electrode. 1. An edge ring assembly for a plasma processing chamber , comprising:an edge ring configured to surround an electrostatic chuck (ESC) that is configured for electrical connection to a first RF power supply, the ESC having a top surface for supporting a substrate and an annular step surrounding the top surface, the annular step defining an annular shelf that is lower than the top surface;an annular electrode disposed below the edge ring and above the annular shelf;a dielectric ring disposed below the annular electrode for isolating the annular electrode from the ESC, the dielectric ring positioned over the annular shelf; anda plurality of insulated connectors disposed through the ESC and through the dielectric ring, each of the plurality of insulated connectors providing electrical connection between a second RF power supply and the annular electrode.2. The edge ring assembly of claim 1 , wherein each of the plurality of insulated connectors is defined by a coaxial connector.3. The edge ring assembly of claim 1 , wherein the plurality of insulated connectors are ...

Подробнее
03-02-2022 дата публикации

FLUORIDE COATING TO IMPROVE CHAMBER PERFORMANCE

Номер: US20220037126A1
Принадлежит:

Embodiments of the disclosure relate to articles, coated chamber components and methods of coating chamber components with a protective coating that includes at least one metal fluoride having a formula selected from the group consisting of M1F, M1M2Fand M1M2M3F, where at least one of M1, M2, or M3 is magnesium or lanthanum. The protective coating can be deposited by atomic layer deposition, chemical vapor deposition, electron beam ion assisted deposition, or physical vapor deposition. 1. A semiconductor chamber component comprising:a substrate; and{'sub': x', 'w', 'x', 'y', 'w', 'x', 'y', 'z', 'w, 'a protective coating deposited on an un-roughened surface of the substrate, the protective coating comprising at least one metal fluoride having a formula selected from the group consisting of M1F, M1M2Fand M1M2M3F,'}wherein:{'sub': x', 'w, 'a) when the metal fluoride has the formula of M1F, x is 1, and w ranges from 1 to 3,'}{'sub': x', 'y', 'w, 'b) when the metal fluoride has the formula of M1M2F, x ranges from 0.1 to 1, y ranges from 0.1 to 1, and w ranges from 1 to 3, and'}{'sub': x', 'y', 'z', 'w, 'b': '1', 'c) when the metal fluoride has the formula of M1M2M3F, x ranges from 0.1 to 1, y ranges from 0.1 to , z ranges from 0.1 to 1, and w ranges from 1 to 3;'}wherein at least one of M1, M2, or M3 comprises magnesium or lanthanum.2. The semiconductor chamber component of claim 1 , wherein the protective coating comprises at least one of MgF claim 1 , LaF claim 1 , YMgF claim 1 , YLaF claim 1 , LaMgF claim 1 , or YMgLaF.3. The semiconductor chamber component of claim 1 , further comprising an adhesion and fluorine diffusion barrier layer deposited between the surface of the substrate and the protective coating.4. The semiconductor chamber component of claim 1 , wherein the protective coating is crystalline.5. The semiconductor chamber component of claim 4 , wherein a top surface of the protective coating has a nano-roughness ranging from about 0.1 microinches to about ...

Подробнее
18-01-2018 дата публикации

SUBSTRATE PROCESSING CHAMBER COMPONENT ASSEMBLY WITH PLASMA RESISTANT SEAL

Номер: US20180019104A1
Принадлежит:

Embodiments disclosed herein relate to a substrate processing chamber component assembly with plasma resistant seal. In one embodiment, the semiconductor processing chamber component assembly includes a first semiconductor processing chamber component, a second semiconductor processing component, and a sealing member. The sealing member has a body formed substantially from polytetrafluoroethylene (PTFE). The sealing member provides a seal between the first and second semiconductor processing chamber components. The body includes a first surface, a second surface, a first sealing surface, and a second sealing surface. The first surface is configured for exposure to a plasma processing region. The second surface is opposite the first surface. The first sealing surface and the second sealing surface extend between the first surface and the second surface. The first sealing surface contacts the first semiconductor processing chamber component. The second sealing surface contacts the second semiconductor processing chamber component. 1. A semiconductor processing chamber component assembly , comprising:a first semiconductor processing chamber component;a second semiconductor processing chamber component; and a first surface configured for exposure to a plasma processing region;', 'a second surface opposite the first side;', 'a first sealing surface extending between the first side and the second side, the first sealing surface contacting the first semiconductor processing chamber component; and', 'a second sealing surface extending between the first side and the second side, the second sealing surface contacting the second semiconductor processing chamber component., 'a sealing member having a body formed substantially from polytetrafluoroethylene (PTFE) and providing a seal between the first and second semiconductor processing chamber components, the body comprising2. The semiconductor processing chamber component assembly of claim 1 , wherein the body includes a first ...

Подробнее
17-01-2019 дата публикации

PLASMA RESISTANT SEMICONDUCTOR PROCESSING CHAMBER COMPONENTS

Номер: US20190019655A1
Принадлежит:

Described herein are components of a semiconductor processing apparatus, where at least one surface of the component is resistant to a halogen-containing reactive plasma. The component includes a solid structure having a composition containing crystal grains of yttrium oxide, yttrium fluoride or yttrium oxyfluoride and at least one additional compound selected from an oxide, fluoride, or oxyfluoride of neodymium, cerium, samarium, erbium, aluminum, scandium, lanthanum, hafnium, niobium, zirconium, ytterbium, hafnium, and combinations thereof. 1. A component of a semiconductor processing apparatus , wherein a surface of the component is resistant to a halogen-comprising reactive plasma , the component comprising: crystal grains selected from a group consisting of yttrium oxide, yttrium fluoride and yttrium oxyfluoride, and', 'at least one additional compound selected from a group consisting of an oxide, fluoride, or oxyfluoride of neodymium, cerium, samarium, erbium, aluminum, scandium, lanthanum, hafnium, niobium, zirconium, ytterbium and combinations of an oxide, fluoride or oxyfluoride of at least one of these elements., 'a solid structure having an overall uniform composition, wherein the composition comprises2. The component of claim 1 , wherein the composition further comprises an amorphous phase comprising yttrium and fluorine.3. The component of claim 1 , wherein the composition comprises a yttrium aluminum oxyfluoride (Y—Al—O—F) amorphous phase.4. The component of claim 1 , wherein the composition comprises a yttrium oxide.5. The component of claim 1 , wherein in the composition comprises a yttrium fluoride.6. The component of claim 1 , wherein the composition comprises a yttrium oxyfluoride.7. The component of claim 1 , wherein the at least one additional compound comprises aluminum oxide claim 1 , aluminum fluoride or aluminum oxyfluoride.8. The component of claim 1 , wherein the at least one additional compound comprises zirconium oxide claim 1 , ...

Подробнее
28-01-2021 дата публикации

FILM STRUCTURE REPRODUCTION METHOD AND REPRODUCTION FILM STRUCTURE

Номер: US20210025054A1
Автор: Nakamura Masaki
Принадлежит: ADMAP INC.

A method of easily reproducing a film structure with low cost and a reproduction film structure manufactured using the same, the film structure reproduction method includes: a new film layer deposition step of depositing a new SiC layer on a non-active surface opposite to a damaged active surface; and an active surface fabrication step of fabricating the active surface to obtain a focus ring. 1. A film structure reproduction method comprising:a new film layer deposition step of depositing a new film layer having a thickness equal to or larger than a depth of a damage by setting a surface opposite to a damaged surface as a main body formation surface; andan active surface fabrication step of fabricating the damaged surface to have a desired shape,wherein, in the active surface fabrication step, fabrication is performed until a depth at which the damaged portion is completely removed.2. The film structure reproduction method according to claim 1 , whereinthe new film layer deposition step is performed while active surfaces of two film structures come into contact or are adjacent to each other.3. The film structure reproduction method according to claim 1 , whereinthe film structure is a SiC member.4. A reproduction film structure comprising:an active surface obtained by performing fabrication to completely remove a damaged portion on an old film layer having a damage; anda non-active surface obtained by forming a new film layer having a thickness equal to or larger than a depth of the damage on the old film layer as integrated two sides.5. The film structure reproduction method according to claim 2 , whereinthe film structure is a SiC member. The present invention relates to reproduction of a structure configured by forming a film containing SiC, and more particularly, to a reproduction method and a reproduction structure suitable for reducing a reproduction cost of the film structure.When semiconductor manufacturing is performed through plasma etching treatment or ...

Подробнее
24-01-2019 дата публикации

MEMBER FOR SEMICONDUCTOR MANUFACTURING DEVICE

Номер: US20190027343A1
Автор: Nitta Yasutaka
Принадлежит:

According to one embodiment, a member for a semiconductor manufacturing device includes an alumite base material including a concavity, and a first layer formed on the alumite base material and including an yttrium compound. The first layer includes a first region, and a second region provided in the concavity and located between the first region and the alumite base material. An average particle diameter in the first region is shorter than an average particle diameter in the second region. 1. A member for a semiconductor manufacturing device , comprising:an alumite base material including a concavity; anda first layer formed on the alumite base material and including an yttrium compound, a first region, and', 'a second region provided in the concavity and located between the first region and the alumite base material,, 'the first layer including'}an average particle diameter in the first region being shorter than an average particle diameter in the second region.2. The member for the semiconductor manufacturing device according to claim 1 , whereinthe average particle diameter of the first region is not less than 10 nanometers and not more than 19 nanometers, andthe average particle diameter of the second region is not less than 20 nanometers and not more than 43 nanometers.3. A member for a semiconductor manufacturing device claim 1 , comprising:an alumite base material including a concavity; anda first layer formed on the alumite base material and including yttrium oxide, a first region, and', 'a second region provided in the concavity and located between the first region and the alumite base material,, 'the first layer including'}the first region having a monoclinic crystal as a main phase, andthe second region having a cubic crystal as a main phase.4. A member for a semiconductor manufacturing device claim 1 , comprising:an alumite base material including a concavity; anda first layer formed on the alumite base material and including yttrium oxide,a crystal ...

Подробнее
28-01-2021 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING SYSTEM HAVING THE SAME

Номер: US20210027993A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A substrate treating apparatus, including a process chamber having a bottom portion configured to secure a substrate while a substrate treating process is performed on the substrate; and a dielectric window arranged at an upper portion of the process chamber to define a process space, and including: an insulative body, an antenna disposed on an upper surface of the insulative body, a protection layer disposed on a lower surface of the insulative body, and an etch resistor protruding from at least a portion of the protection layer toward the process space, wherein, based on power being applied to the antenna, a plasma is generated in the process space, and wherein the insulative body is protected from the plasma by the protection layer and the etch resistor. 1. A substrate treating apparatus , comprising:a process chamber having a bottom portion configured to secure a substrate while a substrate treating process is performed on the substrate; and an insulative body,', 'an antenna disposed on an upper surface of the insulative body,', 'a protection layer disposed on a lower surface of the insulative body, and', 'an etch resistor protruding from at least a portion of the protection layer toward the process space., 'a dielectric window arranged at an upper portion of the process chamber to define a process space, and including2. The substrate treating apparatus of claim 1 , wherein the insulative body includes at least one of alumina (AlO) claim 1 , quartz claim 1 , silicon carbide (SiC) claim 1 , and resin claim 1 , andthe protection layer includes a metal oxide.3. The substrate treating apparatus of claim 2 , wherein the metal oxide includes at least one of yttrium oxide (YO) claim 2 , zirconium oxide (ZrO) claim 2 , manganese oxide (MnO) and yttrium aluminum garnet (YAlO).4. The substrate treating apparatus of claim 1 , wherein a thickness of the etch resistor is in a range of 30% to 50% of a thickness of the protection layer.5. The substrate treating apparatus of ...

Подробнее
01-02-2018 дата публикации

Ion assisted deposition top coat of rare-earth oxide

Номер: US20180030589A1
Принадлежит: Applied Materials Inc

A chamber component comprises a body, a first protective layer and a conformal second protective layer over the first protective layer. The first protective layer comprises a plasma resistant ceramic, has a thickness of greater than approximately 50 microns and comprises a plurality of cracks and pores. The conformal second protective layer comprises a plasma resistant rare earth oxide, has a thickness of less than 50 microns, has a porosity of less than 1%, and seals the plurality of cracks and pores of the first protective layer.

Подробнее
02-02-2017 дата публикации

SURFACE COATING FOR CHAMBER COMPONENTS USED IN PLASMA SYSTEMS

Номер: US20170032942A1
Автор: WALDFRIED Carlo
Принадлежит:

Disclosed herein are surface coatings for plasma components that have the benefit of being robust against chemical and plasma physical attack in aggressive (e.g., fluorine-based) plasma environments. The coatings also provide low plasma surface recombination rates for active oxygen, nitrogen, fluorine, and hydrogen species when compared with other known surface treatments. The coatings can be applied to any plasma system component not requiring etching or plasma cleaning including but not limited to materials like quartz, aluminum, or anodized aluminum. Additionally, the efficiency of the system is increased by applying a non-reactive coating to system components thereby increasing the flow of excited plasma species to the plasma chamber of the system. 2. The coating of claim 1 , wherein the coating is yttria comprising:yttrium in an amount of about 60% to about 80%;oxygen in an amount of about 20% to about 40%.3. The coating of claim 1 , wherein the coating is aluminum oxynitride comprising:aluminum in an amount of between about 25% to about—60%;oxygen in an amount of between about 20% to about 40%;nitrogen in an amount of between about 20% to about 40%.4. The coating of claim 1 , wherein the plasma comprises one or more of:atomic oxygen, molecular oxygen, atomic hydrogen, molecular hydrogen, atomic nitrogen, molecular nitrogen, molecular argon, atomic argon, atomic fluorine, molecular fluorine.5. The coating of claim 4 , wherein the plasma comprises one or more of a fluorine-bearing plasma claim 4 , an oxygen-bearing plasma claim 4 , a hydrogen-bearing plasma and a nitrogen-bearing plasma.6. The coating of - claim 4 , wherein the fluorine-bearing plasma comprises: CF4 claim 4 , CHF3 claim 4 , CF3H claim 4 , C2F6 claim 4 , C4F8 claim 4 , SF6 claim 4 , NF3 claim 4 , F2 and C4F8O.7. The coating of - claim 4 , wherein the oxygen-bearing plasma comprises: O2 claim 4 , O3 claim 4 , N2O claim 4 , CO claim 4 , CO2 claim 4 , C4F8O claim 4 , H2O and H2O2.8. The coating of - ...

Подробнее
30-01-2020 дата публикации

PLASMA SPRAY COATING ENHANCEMENT USING PLASMA FLAME HEAT TREATMENT

Номер: US20200035463A1
Принадлежит:

A method for forming a plasma resistant ceramic coating on an article includes placing the article into a chamber or spray cell of a plasma spraying system. A ceramic powder is then fed into the plasma spraying system at a powder feed rate, and a plasma resistant ceramic coating is deposited onto at least one surface of the article in a plasma spray process by the plasma spray system. The plasma spray system is then used to perform an in-situ plasma flame heat treatment of the plasma resistant ceramic coating to form crust on the plasma resistant ceramic coating. 1. A chamber component for a plasma etch reactor , the chamber component comprising:{'sub': 2', '3', '2', '3', '3', '5', '12', '3', '5', '12', '2', '3', '2', '3', '3', '5', '12', '3, 'a plasma resistant ceramic coating on at least one surface of a body of the chamber component, wherein the plasma resistant ceramic coating has a porosity of 1-5%, and wherein the plasma resistant ceramic coating consists essentially of a material selected from a group consisting of ErO, GdO, ErAlO, GdAlO, and NdO, and wherein the plasma resistant ceramic coating does not comprise YO, YAlO, or YF; and'}a crust on a surface of the plasma resistant ceramic coating, the crust having a thickness of less than about 50 microns and a porosity that is lower than the porosity of the plasma resistant ceramic coating.2. The chamber component of claim 1 , wherein the crust has a surface roughness of about 100-150 micro-inches.3. The chamber component of claim 1 , wherein the plasma resistant ceramic coating consists of ErO.4. The chamber component of claim 1 , wherein the plasma resistant ceramic coating consists of ErAlO.5. The chamber component of claim 1 , wherein the body comprises at least one of a metal or a sintered ceramic.6. The chamber component of claim 1 , wherein the plasma resistant ceramic coating comprises at least one of loosely bonded particles claim 1 , partially melted surface nodules claim 1 , or surface cracks claim ...

Подробнее
09-02-2017 дата публикации

PLASMA ETCHING DEVICE WITH PLASMA ETCH RESISTANT COATING

Номер: US20170040146A1
Принадлежит:

An apparatus for use in a plasma processing chamber is provided. The apparatus comprises part body and a coating with a thickness of no more than 30 microns consisting essentially of a Lanthanide series or Group III or Group IV element in an oxyfluoride covering a surface of the part body. 1. An apparatus for use in a plasma processing chamber , comprising:a part body; anda coating with a thickness of no more than 30 microns consisting essentially of a Lanthanide series or Group III or Group IV element in an oxyfluoride covering at least part of a surface of the part body, wherein the coating is deposited by physical vapor deposition or chemical vapor deposition.2. The apparatus claim 1 , as recited in claim 1 , wherein the coating has a porosity of less than 1%.3. The apparatus claim 2 , as recited in claim 2 , wherein the part body is of ceramic.4. The apparatus claim 3 , as recited in claim 3 , wherein the part body forms an RF window or a gas injector.5. The apparatus claim 4 , as recited in claim 4 , wherein the coating is deposited by electron beam physical vapor deposition.6. The apparatus claim 4 , as recited in claim 4 , wherein the coating is deposited by ion assisted electron beam deposition.7. (canceled)8. The apparatus claim 1 , as recited in claim 1 , wherein the coating consists essentially of yttrium oxyfluoride.9. The apparatus claim 8 , as recited in claim 8 , wherein the coating has a thickness of 2-18 μm.10. The apparatus claim 1 , as recited in claim 1 , wherein the coating consists essentially of yttrium claim 1 , lanthanum claim 1 , zirconium claim 1 , samarium (Sm) claim 1 , gadolinium (Gd) claim 1 , dysprosium (Dy) claim 1 , erbium (Er) claim 1 , ytterbium (Yb) claim 1 , or thulium (Tm) in an oxyfluoride.11. (canceled)12. The apparatus claim 2 , as recited in claim 2 , wherein the coating consists essentially of yttrium oxyfluoride.13. The apparatus claim 2 , as recited in claim 2 , wherein the coating consists essentially of yttrium claim 2 ...

Подробнее
09-02-2017 дата публикации

Systems Comprising Silicon Coated Gas Supply Conduits and Methods for Applying Coatings

Номер: US20170040147A1
Принадлежит: LAM RESEARCH CORPORATION

In one embodiment, a plasma etching system may include a process gas source, a plasma processing chamber, and a gas supply conduit. A plasma can be formed from a process gas recipe in the plasma processing chamber. The gas supply conduit may include a corrosion resistant layered structure forming an inner recipe contacting surface and an outer environment contacting surface. The corrosion resistant layered structure may include a protective silicon layer, a passivated coupling layer and a stainless steel layer. The inner recipe contacting surface can be formed by the protective silicon layer. The passivated coupling layer can be disposed between the protective silicon layer and the stainless steel layer. The passivated coupling layer can include chrome oxide and iron oxide. The chrome oxide can be more abundant in the passivated coupling layer than the iron oxide. 113-. (canceled)14. A method for applying a coating , the method comprising:electropolishing an inner surface of a stainless steel gas supply conduit to yield a electropolished inner surface of the gas supply conduit;applying a passivation solution to the electropolished inner surface to deposit a passivated coupling layer, wherein the passivation solution comprises nitric acid; andapplying a protective silicon layer to the passivated coupling layer, wherein the passivated coupling layer comprises chrome oxide and iron oxide, such that the chrome oxide is more abundant in the passivated coupling layer than the iron oxide.15. The method of claim 14 , further comprising welding the gas supply conduit claim 14 , wherein the gas supply conduit comprises a microfit claim 14 , a corrugated bellows and an injector block.16. The method of claim 14 , wherein the gas supply conduit comprises 316L stainless steel claim 14 , 316L VIM/VAR stainless steel claim 14 , or both.17. The method of claim 14 , wherein the electropolished inner surface of the gas supply conduit has a surface roughness Ra of less than about 20 ...

Подробнее
07-02-2019 дата публикации

MINIMIZATION OF RING EROSION DURING PLASMA PROCESSES

Номер: US20190043697A1
Принадлежит:

A ring assembly for a substrate support is disclosed herein. The ring assembly has a ring shaped body. The ring shaped body has an inner diameter and an outer diameter, a top surface, an inner portion at the inner diameter, and an outer portion at the outer diameter. A carbon based coating is disposed on the top surface of the ring shaped body, wherein the carbon based coating is thicker on the inner portion of the ring shaped body than the outer portion of the ring shaped body. 1. A process kit ring for a substrate support of a plasma etch chamber , the ring comprising: a top surface having an inner top surface and an outer top surface;', 'an inner portion extending from the inner diameter along the inner top surface; and', 'an outer portion extending from the outer diameter along the outer top surface to the inner portion; and, 'a ring shaped body having an inner diameter and an outer diameter, the ring shaped body comprisinga carbon based coating disposed on the top surface of the ring shaped body, wherein the carbon based coating is thicker on the inner top surface than the outer top surface.2. The process ring of claim 1 , wherein the inner portion is more conductive than the outer portion.3. The process ring of claim 2 , wherein the inner portion of the ring shaped body is formed from a silicon containing material and the outer portion of the ring shaped body is formed from quartz.4. The process ring of claim 1 , wherein the carbon based coating on the inner top surface is about 10 times to about 30 times denser than a coating formed on the outer top surface.5. The process ring of claim 1 , wherein the ring shaped body is of a single mass of material6. The process ring of claim 1 , wherein the inner portion of the ring shaped body is separable from the outer portion of the ring shaped body.7. The process ring of claim 1 , wherein the carbon based coating is formed from process gasses comprising Oand SiCl.8. The process ring of claim 1 , wherein the ring shaped ...

Подробнее
10-03-2022 дата публикации

PART WITH CORROSION-RESISTANT LAYER

Номер: US20220076927A1
Принадлежит: POINT ENGINEERING CO., LTD.

Proposed is a part with a corrosion-resistant layer capable of preventing the exposure of pores attributable to corrosion and preventing the discharge of internal moisture and particles through the pores. 1. A part with a corrosion-resistant layer , the part comprising:a porous ceramic body with a plurality of pores; andthe corrosion-resistant layer formed on a surface of the porous ceramic body,wherein the corrosion-resistant layer is formed to fill the pores of the porous ceramic body, thereby sealing the pores.2. The part with the corrosion-resistant layer of claim 1 , wherein the porous ceramic body comprises at least one of alumina (AlO) claim 1 , aluminum nitride (AlN) claim 1 , silicon carbide (SiC) claim 1 , yttria (YO) claim 1 , boron nitride (BN) claim 1 , zirconia (ZrO) claim 1 , and silicon nitride (SiN).3. The part with the corrosion-resistant layer of claim 1 , wherein the corrosion-resistant layer comprises at least one of an aluminum oxide layer claim 1 , an yttrium oxide layer claim 1 , a hafnium oxide layer claim 1 , a silicon oxide layer claim 1 , an erbium oxide layer claim 1 , a zirconium oxide layer claim 1 , a fluoride layer claim 1 , a transition metal layer claim 1 , a titanium nitride layer claim 1 , a tantalum nitride layer claim 1 , and a zirconium nitride layer.4. The part with the corrosion-resistant layer of claim 1 , wherein the corrosion-resistant layer comprises:a surface corrosion-resistant layer formed on the surface of the porous ceramic body; anda pore corrosion-resistant layer formed inside the pores of the porous ceramic body,wherein a length of the pore corrosion-resistant layer in a depth direction of the porous ceramic body is larger than a thickness of the surface corrosion-resistant layer in at least a partial area.5. The part with the corrosion-resistant layer of claim 1 , wherein the pores comprise macropores claim 1 , mesopores claim 1 , and nanopores that have different pore sizes claim 1 , respectively claim 1 , ...

Подробнее
28-02-2019 дата публикации

METHOD AND APPARATUS FOR SURFACE PREPARATION PRIOR TO EPITAXIAL DEPOSITION

Номер: US20190062947A1
Принадлежит:

During a pre-treat process, hydrogen plasma is used to remove contaminants (e.g., oxygen, carbon) from a surface of a wafer. The hydrogen plasma may be injected into the plasma chamber via an elongated injector nozzle. Using such elongated injector nozzle, a flow of hydrogen plasma with a significant radial velocity flows over the wafer surface, and transports volatile compounds and other contaminant away from the wafer surface to an exhaust manifold. A protective liner made from crystalline silicon or polysilicon may be disposed on an inner surface of the plasma chamber to prevent contaminants from being released from the surface of the plasma chamber. To further decrease the sources of contaminants, an exhaust restrictor made from silicon may be employed to prevent hydrogen plasma from flowing into the exhaust manifold and prevent volatile compounds and other contaminants from flowing from the exhaust manifold back into the plasma chamber. 1. An apparatus for preparing wafers prior to epitaxial deposition , the apparatus comprising:a dielectric vessel within a metal enclosure, the dielectric vessel forming a plasma volume which is in fluid communication with an exhaust manifold, and the exhaust manifold connected to a vacuum pumping line;a support pedestal positioned adjacent to the plasma volume, the support pedestal configured to support a semiconductor wafer and raise a temperature of the semiconductor wafer to at least 300° C.;a supply of hydrogen gas and controller for controlling a flow thereof, the hydrogen gas flowed from the supply through tubing to one or more gas inlets of the dielectric vessel;an induction coil disposed outside of and proximate to at least one side of the dielectric vessel;a radio frequency (RF) power source connected through an impedance matching circuit to the induction coil;a slotted electrostatic shield that is electrically grounded and positioned between the induction coil and the dielectric vessel; anda protective liner that is ...

Подробнее
11-03-2021 дата публикации

Methods for forming a protective coating on processing chamber surfaces or components

Номер: US20210071300A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure provide methods for fabricating or otherwise forming a protective coating containing cerium oxide on processing chamber surfaces and/or components, such as surfaces which are exposed to a plasma within a processing chamber. In one or more embodiments, a method of forming a protective coating within a processing chamber includes depositing a cerium oxide layer on a chamber surface or a chamber component during an atomic layer deposition (ALD) process. The ALD process includes sequentially exposing the chamber surface or the chamber component to a cerium precursor, a purge gas, an oxidizing agent, and the purge gas during an ALD cycle, and repeating the ALD cycle to deposit the cerium oxide layer.

Подробнее
28-02-2019 дата публикации

Ceramic Material Assembly For Use In Highly Corrosive Or Erosive Semiconductor Processing Applications

Номер: US20190066980A1
Принадлежит:

A composite assembly of a relatively inexpensive ceramic, such as alumina, with a skin, or covering, of a high wear ceramic, such as sapphire, adapted to be used in semiconductor processing environments subjected to high levels of corrosion and/or erosion. The design life of the composite assembly may be significantly longer than previously used components. The composite assembly may have its ceramic pieces joined together with aluminum, such that the joint is not vulnerable to corrosive aspects to which the composite assembly may be exposed. 2. The semiconductor processing chamber component of wherein said structural support portion comprises alumina.3. The semiconductor processing chamber component of wherein said structural support portion comprises aluminum nitride.4. The semiconductor processing component of wherein said one or more surface layers comprise sapphire.5. The semiconductor processing component of wherein said one or more surface layers comprise sapphire.6. The semiconductor processing component of wherein said joining layer comprises metallic aluminum of greater than 99% by weight.7. The semiconductor processing component of wherein said joining layer comprises metallic aluminum of greater than 99% by weight.8. The semiconductor processing component of wherein said industrial component is an injector nozzle claim 4 , and wherein said structural support portion comprises an interior passage.9. The semiconductor processing component of wherein said industrial component is an injector nozzle claim 5 , and wherein said structural support portion comprises an interior passage.11. The semiconductor processing chamber component of wherein said structural support portion comprises alumina.12. The semiconductor processing chamber component of wherein said structural support portion comprises aluminum nitride.13. The semiconductor processing component of wherein said one or more surface layers comprise sapphire.14. The semiconductor processing component of ...

Подробнее
27-02-2020 дата публикации

METHODS AND APPARATUS FOR PLASMA LINERS WITH HIGH FLUID CONDUCTANCE

Номер: US20200066493A1
Принадлежит:

Methods and apparatus for confining plasma in a process chamber. In some embodiments, the apparatus includes a first liner with a first set of openings, the first liner configured to surround a substrate support when installed and a second liner with a second set of openings, the second liner configured to surround the substrate support under the first liner when installed, wherein the first set of openings and the second set of openings are configured to be offset from each other when installed in the process chamber to prevent a line-of-sight through the first liner and the second liner from a top down viewpoint, and wherein the first liner and the second liner are configured to be spaced apart vertically when installed in the process chamber to allow high fluid conductance through the first set of openings and the second set of openings. 1. An apparatus for confining plasma in a process chamber , comprising:a first liner with a first set of openings for fluid flow, the first liner configured to surround a substrate support of the process chamber when installed in the process chamber; anda second liner with a second set of openings for fluid flow, the second liner configured to surround the substrate support of the process chamber under the first liner when installed in the process chamber,wherein the first set of openings and the second set of openings are configured to be offset from each other when installed in the process chamber to prevent a line-of-sight through the first liner and the second liner from a top down viewpoint, andwherein the first liner and the second liner are configured to be spaced apart vertically when installed in the process chamber to allow high fluid conductance through the first set of openings and the second set of openings.2. The apparatus of claim 1 , wherein the first liner or the second liner is electrically conductive.3. The apparatus of claim 2 , wherein the first liner or the second liner is formed form an aluminum based ...

Подробнее
19-03-2015 дата публикации

Plasma spray coating enhancement using plasma flame heat treatment

Номер: US20150075714A1
Принадлежит: Applied Materials Inc

A method for forming a plasma resistant ceramic coating on an article includes placing the article into a chamber or spray cell of a plasma spraying system. A ceramic powder is then fed into the plasma spraying system at a powder feed rate, and a plasma resistant ceramic coating is deposited onto at least one surface of the article in a plasma spray process by the plasma spray system. The plasma spray system is then used to perform an in-situ plasma flame heat treatment of the plasma resistant ceramic coating to form crust on the plasma resistant ceramic coating.

Подробнее
15-03-2018 дата публикации

PLASMA EROSION RESISTANT RARE-EARTH OXIDE BASED THIN FILM COATINGS

Номер: US20180073125A1
Принадлежит:

An article comprises a body and at least one protective layer on at least one surface of the body. The at least one protective layer is a thin film having a thickness of less than approximately 20 microns that comprises a ceramic selected from a group consisting of YAlO, YAlO, ErO, GdO, ErAlO, GdAlOand a ceramic compound comprising YAlOand a solid-solution of YO—ZrO. 1. An article comprising:a body; and{'sub': 3', '5', '12', '4', '2', '9', '2', '3', '3', '5', '12', '3', '5', '12', '4', '2', '9', '2', '3', '2, 'a first conformal protective layer on at least one surface of the body, wherein the first conformal protective layer is a thin film having a thickness of approximately 0.5-20 microns that comprises a first ceramic selected from a group consisting of YAlO, YAlO, ErO, ErAlO, GdAlOand a ceramic compound comprising YAlOand a solid-solution of YO—ZrO.'}2. The article of claim 1 , wherein the first conformal protective layer comprises ErO claim 1 , the first conformal protective layer having a crystalline structure with vertical cracks claim 1 , a hardness of approximately 5 Gpa claim 1 , a surface roughness that matches an underlying surface roughness of the at least one surface claim 1 , and a hermiticity of at least 5.5E-9 cm/s.3. The article of claim 1 , wherein the first conformal protective layer comprises ErAlO claim 1 , the first conformal protective layer having an amorphous structure claim 1 , a hardness of approximately 9 Gpa claim 1 , a surface roughness that matches an underlying surface roughness of the at least one surface claim 1 , and a hermiticity of at least 9.5E-10 cm/s.4. The article of claim 1 , wherein:the article comprises a chamber component selected from a group consisting of a chamber lid, a nozzle, a showerhead base, a showerhead gas distribution plate (GDP), a chamber viewport, a process kit ring, a shield, a plasma screen, a flow equalizer, a chamber wall and a liner kit.5. The article of claim 1 , further comprising:{'sub': 3', '5', ' ...

Подробнее
14-03-2019 дата публикации

Fluorinated rare earth oxide ald coating for chamber productivity enhancement

Номер: US20190078200A1
Принадлежит: Applied Materials Inc

An article comprises a body having a coating. The coating comprises a M-O-F coating having a molar O/F ratio that is customized to future processing that the article may be exposed to.

Подробнее
22-03-2018 дата публикации

ION ASSISTED DEPOSITION TOP COAT OF RARE-EARTH OXIDE

Номер: US20180080116A1
Принадлежит:

A method of manufacturing an article comprises providing an article. An ion assisted deposition (IAD) process is performed to deposit a second protective layer over a first protective layer. The second protective layer is a plasma resistant rare earth oxide having a thickness of less than 50 microns and a porosity of less than 1%. The second protective layer seals a plurality of cracks and pores of the first protective layer. 1. A chamber component comprising:a body;a first protective layer on at least one surface of the body, the first protective layer comprising a plasma resistant ceramic, wherein the first protective layer has a thickness of greater than approximately 50 microns and comprises a plurality of cracks and pores; and{'sub': 2', '3', '2, 'a conformal second protective layer that covers at least a portion of the first protective layer, the second protective layer consisting essentially of YOin a range of 40 mol % to less than 100 mol % and ZrOin a range of above 0 mol % to 60 mol %, wherein the second protective layer has a thickness of less than 50 microns, has a porosity of less than 1%, and seals the plurality of cracks and pores of the first protective layer.'}2. The chamber component of claim 1 , wherein:the body comprises at least one of a metal, a metal alloy, or a ceramic having metal impurities; andthe second protective layer acts as a barrier to prevent process gases from penetrating the plurality of cracks and pores in the first protective layer and reacting with metals in the body.3. The chamber component of claim 1 , wherein the first protective layer is a plasma-sprayed layer selected from a group consisting of YAlO claim 1 , YAlO claim 1 , ErO claim 1 , GdO claim 1 , ErAlO claim 1 , GdAlO claim 1 , and a ceramic compound comprising YAlOand a solid-solution of YO—ZrO.4. The chamber component of claim 1 , wherein the first protective layer is an ion assisted deposition (IAD) deposited layer.5. The chamber component of claim 1 , wherein the ...

Подробнее
18-03-2021 дата публикации

SEMICONDUCTOR PROCESSING CHAMBER

Номер: US20210082665A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing systems may include a pedestal configured to support a semiconductor substrate. The pedestal may be operable as a first plasma-generating electrode. The systems may include a lid plate defining a radial volume. The systems may include a faceplate supported with the lid plate. The faceplate may be operable as a second plasma-generating electrode. A plasma processing region may be defined between the pedestal and the faceplate within the radial volume defined by the faceplate. The faceplate may define a plurality of first apertures. The systems may include a showerhead positioned between the faceplate and the pedestal. The showerhead may define a plurality of second apertures comprising a greater number of apertures than the plurality of first apertures. 1. A semiconductor processing system comprising:a pedestal configured to support a semiconductor substrate, the pedestal operable as a first plasma-generating electrode;a lid plate defining a radial volume;a faceplate supported with the lid plate, the faceplate operable as a second plasma-generating electrode, wherein a plasma processing region is defined between the pedestal and the faceplate within the radial volume defined by the faceplate, and wherein the faceplate defines a plurality of first apertures; anda showerhead positioned between the faceplate and the pedestal, wherein the showerhead defines a plurality of second apertures comprising a greater number of apertures than the plurality of first apertures.2. The semiconductor processing system of claim 1 , wherein the showerhead comprises a dielectric material.3. The semiconductor processing system of claim 1 , wherein the showerhead defines at least twice as many apertures as the faceplate.4. The semiconductor processing system of claim 1 , wherein each aperture of the plurality of second apertures is offset from each aperture of the plurality of first apertures.5. The semiconductor processing system of claim 4 , wherein a ...

Подробнее
14-03-2019 дата публикации

Method And System For Cleaning A Process Chamber

Номер: US20190080889A1
Принадлежит:

Implementations disclosed herein generally relate to systems and methods of protecting a substrate support in a process chamber from cleaning fluid during a cleaning process. The method of cleaning the process chamber includes positioning in the process chamber a cover substrate above a substrate support and a process kit that separates a purge volume from a process volume. The method of cleaning includes flowing a purge gas in the purge volume to protect the substrate support and flowing a cleaning fluid to a process volume above the cover substrate, flowing the cleaning fluid in the process volume to an outer flow path, and to an exhaust outlet in the chamber body. The purge volume is maintained at a positive pressure with respect to the process volume to block the cleaning fluid from the purge volume. 1. A method of cleaning a process chamber , comprising:positioning a cover substrate above a substrate support in an interior volume in a chamber body of the process chamber, wherein a process kit comprising a top edge member and a pumping liner are disposed in the interior volume, and wherein the pumping liner separates a purge volume disposed below the cover substrate and the top edge member from an outer flow path disposed between the chamber body and the pumping liner; flowing a purge gas in the purge volume, wherein a bottom support surface and a side support surface of the substrate support are disposed in the purge volume;', 'flowing a cleaning fluid through a fluid distribution plate to a process volume disposed between the fluid distribution plate and the cover substrate;', 'flowing the cleaning fluid in the process volume to the outer flow path;', 'flowing the cleaning fluid in the outer flow path to an exhaust outlet in the chamber body; and', 'maintaining the purge volume at a positive pressure with respect to the process volume so as to block the cleaning fluid in the process volume from flowing into the purge volume., 'performing a cleaning process, ...

Подробнее
24-03-2016 дата публикации

Apparatus and method for depositing electronically conductive pasting material

Номер: US20160086775A1
Принадлежит: Applied Materials Inc

A method and apparatus are described for reducing particle contamination in a plasma processing chamber. In one embodiment, a pasting disk is provided which includes a disk-shaped base of high-resistivity material that has an electrically conductive pasting material layer applied to a top surface of the base so that the pasting material layer partially covers the top surface of the base. The pasting disk is sputter etched to deposit conductive pasting material over a wide area on the interior surfaces of a plasma processing chamber while minimizing deposition on dielectric components that are used to optimize the sputter etch process during substrate processing.

Подробнее
12-03-2020 дата публикации

A PLASMA ETCH-RESISTANT FILM AND A METHOD FOR ITS FABRICATION

Номер: US20200080197A1
Принадлежит:

The invention relates to a method for fabricating a plasma etch-resistant film () on a surface of a substrate (), wherein the method comprises the step of forming a film comprising an intermediate layer () of rare earth metal oxide, rare earth metal carbonate, or rare earth metal oxycarbonate, or anymixture thereof on a first layer () of rare earth metal oxide, wherein the rare earth metal is the same in the first layer and in the intermediate layer. The invention further relates to a plasma etch-resistant film and to the use thereof. 1. A method for fabricating a plasma etch-resistant film on a surface of a substrate , wherein the method comprises the step of forming a film comprising an intermediate layer of rare earth metal oxide , rare earth metal carbonate , or rare earth metal oxycarbonate or any mixture thereof on a first layer of rare earth metal oxide , wherein the rare earth metal is the same in the first layer and in the intermediate layer , and wherein the step of forming the film comprises , in a reaction space , the steps of:depositing the first layer by exposing a deposition surface to alternately repeated surface reactions of at least two precursors including a precursor for rare earth metal and a first precursor for oxygen such that the structure of the first layer is crystalline, anddepositing the intermediate layer by exposing a deposition surface to alternately repeated surface reactions of at least two precursors including a precursor for rare earth metal and a second precursor for oxygen such that the structure of the intermediate layer is amorphous.2. The method of claim 1 , wherein the method comprises depositing the intermediate layer on the first layer such that the surface of the first layer is covered by the intermediate layer.3. The method of claim 1 , wherein the deposition surface is exposed to carbon dioxide simultaneously with being exposed to the second precursor for oxygen or after being exposed to the second precursor for oxygen.4 ...

Подробнее
29-03-2018 дата публикации

Plasma erosion resistant rare-earth oxide based thin film coatings

Номер: US20180087144A1
Принадлежит: Applied Materials Inc

An article comprises a body and at least one protective layer on at least one surface of the body. The at least one protective layer is a thin film having a thickness of less than approximately 20 microns that comprises a ceramic selected from a group consisting of Y 3 Al 5 O 12 , Y 4 Al 2 O 9 , Er 2 O 3 , Gd 2 O 3 , Er 3 Al 5 O 12 , Gd 3 Al 5 O 12 and a ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .

Подробнее
29-03-2018 дата публикации

Method Of Improving Ion Beam Quality In A Non-Mass-Analyzed Ion Implantation System

Номер: US20180087148A1

A method of processing a workpiece is disclosed, where the plasma chamber is first coated using a conditioning gas and optionally, a co-gas. The conditioning gas, which is disposed within a conditioning gas container may comprise a hydride of the desired dopant species and a filler gas, where the filler gas is a hydride of a Group 4 or Group 5 element. The remainder of the conditioning gas container may comprise hydrogen gas. Following this conditioning process, a feedgas, which comprises fluorine and the desired dopant species, is introduced to the plasma chamber and ionized. Ions are then extracted from the plasma chamber and accelerated toward the workpiece, where they are implanted without being first mass analyzed. In some embodiments, the desired dopant species may be boron.

Подробнее
05-05-2022 дата публикации

Macroscopic texturing for anodized and coated surfaces

Номер: US20220139677A1
Принадлежит: Lam Research Corp

A consumable part for a plasma processing chamber includes a plasma facing side. An engineered surface is formed into the plasma facing side of the consumable part. A plurality of raised features defines the engineered surface, wherein features are arranged in a predefined pattern, wherein each of the plurality of raised features includes a top region having an outer edge and a sidewall. A base surface of the engineered surface is configured to surround each of the plurality of raised features, such that a corresponding sidewall of a corresponding raised feature extends up at an angle from the base surface to a corresponding top region. The consumable part is configured to be installed in the plasma processing chamber. The consumable part is configured to be exposed to a plasma and byproducts of the plasma.

Подробнее
19-03-2020 дата публикации

PLASMA EROSION RESISTANT RARE-EARTH OXIDE BASED THIN FILM COATINGS

Номер: US20200087776A1
Принадлежит:

An article comprises a body and at least one protective layer on at least one surface of the body. The at least one protective layer is a thin film having a thickness of less than approximately 20 microns that comprises a ceramic selected from a group consisting of YAlO, ErO, ErAlO, and a ceramic compound comprising YAlOand a solid-solution of YO—ZrO. 1. An article comprising:a ceramic body; anda first protective layer on at least one surface of the ceramic body, wherein the first protective layer is a thin film having a thickness of approximately 0.5-20 microns that comprises a first ceramic selected from a group consisting of:{'sub': 3', '5', '12, 'sup': '3', 'YAlOhaving at least two of a volume resistivity of 11.3E16 Ω·cm+/−up to 30%, a dielectric constant of 9.76+/−up to 30%, a thermal conductivity of 20.1 W/m·K+/−up to 30%, a hardness of 8.5 GPa+/−up to 30%, or a hermiticity of 4.4E-10 cm/s+/−up to 30%,'}{'sub': 2', '3, 'ErOhaving a hardness of 5 GPa+/−up to 30%,'}{'sub': 3', '5', '12, 'ErAlOhaving a hardness of 9 GPa+/−up to 30%, and'}{'sub': 4', '2', '9', '2', '3', '2, 'sup': '3', 'a ceramic compound comprising YAlOand a solid-solution of YO—ZrO, the ceramic compound having at least one of a dielectric constant of 9.83+/−up to 30%, a thermal conductivity of 19.9 W/m·K+/−up to 30%, or a hermiticity of 1.2E-9 cm/s+/−up to 30%.'}2. The article of claim 1 , wherein the first protective layer comprises ErO claim 1 , the first protective layer further having at least one of a dielectric constant of 9.67+/−up to 30% claim 1 , a thermal conductivity of 19.4 W/m·K+/−up to 30% claim 1 , or a hermiticity of 5.5E-9 cm/s+/−up to 30%.3. The article of claim 1 , wherein the first protective layer comprises ErAlO claim 1 , the first protective layer further having at least one of a dielectric constant of 9.54+/−up to 30% claim 1 , a thermal conductivity of 19.2 W/m·K+/−up to 30% claim 1 , or a hermiticity of 9.5E-10 cm/s+/−up to 30%.4. The article of claim 1 , wherein:the ...

Подробнее
19-03-2020 дата публикации

HIGH TEMPERATURE RF HEATER PEDESTALS

Номер: US20200090912A1
Принадлежит: Applied Materials, Inc.

Semiconductor processing systems are described, which may include a substrate support assembly having a substrate support surface. Exemplary substrate support assemblies may include a ceramic heater defining the substrate support surface. The assemblies may include a ground plate on which the ceramic heater is seated. The assemblies may include a stem with which the ground plate is coupled. The assemblies may include an electrode embedded within the ceramic heater at a depth from the substrate support surface. The chambers or systems may also include an RF match configured to provide an AC current and an RF power through the stem to the electrode. The RF match may be coupled with the substrate support assembly along the stem. The substrate support assembly and RF match may be vertically translatable within the semiconductor processing system. 1. A semiconductor processing system comprising: a ceramic heater defining the substrate support surface;', 'a ground plate on which the ceramic heater is seated;', 'a stem with which the ground plate is coupled;', 'an electrode embedded within the ceramic heater at a depth from the substrate support surface; and, 'a substrate support assembly having a substrate support surface, the substrate support assembly comprisingan RF match configured to provide an AC current and an RF power through the stem to the electrode, wherein the RF match is coupled with the substrate support assembly along the stem, and wherein the substrate support assembly and RF match are vertically translatable within the semiconductor processing system.2. The semiconductor processing system of claim 1 , wherein the depth from the substrate support surface at which the electrode is embedded in the ceramic heater is less than or about 5 mm.3. The semiconductor processing system of claim 1 , wherein the substrate support assembly is configured to heat a substrate to a temperature greater than or about 200° C.4. The semiconductor processing system of claim 1 , ...

Подробнее
26-06-2014 дата публикации

AlON Coated Substrate with Optional Yttria Overlayer

Номер: US20140178679A1
Автор: Gunda Nilesh
Принадлежит: ENTEGRIS, INC.

A fluorine plasma resistant coating on a ceramic substrate is disclosed. In one embodiment the composition includes an AlON coating that is about 2 microns thick that overlies a substrate, and having an optional yttria coating layer that is about microns thick overlies the AlON coating. 1. A substrate comprising a layer of AlON overlying the substrate and a optional layer of yttria overlying the AlON.2. The substrate of wherein the AlON layer is from about 1 micron to about 10 microns thick.3. The substrate of claim 2 , wherein the AlON layer is from about 2 microns to about 3 microns thick.4. The substrate of claim 1 , wherein the yttria layer is from about 1 micron to about 10 microns thick.5. The substrate of claim 4 , wherein the yttria layer is from about 2 microns to about 3 microns thick.6. The substrate of claim 1 , wherein the AlON layer claim 1 , the yttria layer or both are deposited on the quartz substrate by pulsed reactive physical vapor deposition.7. The substrate of claim 1 , wherein the substrate is quartz claim 1 , alumina claim 1 , aluminum claim 1 , steels claim 1 , metals claim 1 , alloys or ceramics.8. The substrate according to claim 7 , wherein the quartz is stoichiometric silicon dioxide with polycrystalline structure. This application claims the benefit of U.S. Provisional Application No. 61/521,822, filed on Aug. 10, 2011. The entire teachings of the above application are incorporated herein by reference.There is a continuing need for coatings on quartz and other ceramic substrates that are resistant to fluorine plasma. These substrates are transparent and often used in coating and etching systems used in semiconductor manufacturing. Fluorine plasma can damage these substrates creating particulates that can contaminate semiconductor process wafers. In semiconductor manufacturing aluminum can also be a source of contamination of semiconductor wafers.The invention pertains to aluminum oxynitride (AlON) coated substrates, such as quartz, ...

Подробнее
05-04-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180096824A1
Принадлежит:

A plasma processing apparatus comprises a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body, and a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface. The substrate is held on the holding sheet and set on the seat surface with the holding sheet therebetween. The plasma processing apparatus further comprises a guide being provided along a circumference of the electrode body for alignment of the frame, and a cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined. 1. A plasma processing apparatus , comprising:a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body;a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface;the conveying carrier having a holding sheet and a frame provided around a periphery of the holding sheet;the substrate being held on the holding sheet and set on the seat surface with the holding sheet therebetween;a guide being provided along a circumference of the electrode body for alignment of the frame; anda cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined.2. The plasma processing apparatus according to claim 1 , wherein the cover is connected with the lid through first biasing means.3. The plasma processing apparatus according to claim 1 , wherein the guide includes a first surface ...

Подробнее
05-04-2018 дата публикации

ION ASSISTED DEPOSITION FOR RARE-EARTH OXIDE BASED THIN FILM COATINGS ON PROCESS RINGS

Номер: US20180096825A1
Принадлежит:

A ring shaped body includes a top flat region, a ring inner side and a ring outer side. The ring inner side comprises an approximately vertical wall. A conformal protective layer comprising 40 mol % to less than 100 mol % of YOand above 0 mol % to less than 60 mol % of ZrOis disposed on at least the top flat region, the ring inner side and the ring outer side of the ring shaped body by ion assisted deposition. The protective layer has a first thickness of less than 300 μm on the top flat region and a second thickness on the vertical wall of the ring inner side, where the second thickness is 45-70% of the first thickness. 1. A method of manufacturing an article , comprising:loading a ring shaped body into a deposition chamber, wherein the ring shaped body is a sintered ceramic body comprising a top flat region, a ring inner side and a ring outer side, wherein the ring inner side comprises a vertical wall;{'sub': 2', '3', '2, 'performing ion assisted deposition to deposit a protective layer on at least the top flat region, the ring inner side and the ring outer side of the ring shaped body, wherein the protective layer comprises 40 mol % to less than 100 mol % of YOand above 0 mol % to 60 mol % of ZrO, the protective layer having a first thickness of less than 300 μm on the top flat region and a second thickness on the vertical wall of the ring inner side, wherein the second thickness is 45-70% of the first thickness, and wherein the protective layer is a conformal layer having a porosity of less than or equal to about 0.1%; and'}flame polishing the protective layer on the ring shaped body to an average surface roughness of below 6 micro-inches.2. The method of claim 1 , further comprising:performing the flame polishing to polish the protective layer to a roughness of 2-3 micro-inches.3. The method of claim 1 , wherein the protective layer has a thickness of 10-12 μm claim 1 , and where a deposition rate of 1-2 Angstroms per second is used to deposit the protective ...

Подробнее
01-04-2021 дата публикации

APPARATUS AND METHOD FOR PROCESSING SUBSTRATE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE METHOD

Номер: US20210098232A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A substrate processing apparatus includes a process chamber including a plasma generation region configured to receive at least one first process gas and have first radio-frequency (RF) power applied thereto, to generate plasma; a gas distribution region configured to supply the at least one first process gas to the plasma generation region; a gas mixing region configured to receive at least one second process gas and radicals generated in the plasma generation region to generate an etchant based on the radicals being mixed with the at least one second process gas; a pedestal on which a substrate is disposed; a processing region in which the pedestal is installed; and a shower head configured to supply the etchant from the gas mixing region to the processing region, the substrate disposed on the pedestal being processed by the etchant. The gas mixing region is separate from each of the plasma generation region and the processing region. 1. A substrate processing apparatus comprising: a plasma generation region configured to receive at least one first process gas and have first radio-frequency (RF) power applied thereto, to generate plasma;', 'a gas distribution region configured to supply the at least one first process gas to the plasma generation region;', 'a gas mixing region configured to receive at least one second process gas and radicals generated in the plasma generation region to generate an etchant based on the radicals being mixed with the at least one second process gas;', 'a pedestal on which a substrate is disposed;', 'a processing region in which the pedestal is installed; and', 'a shower head configured to supply the etchant from the gas mixing region to the processing region, the substrate disposed on the pedestal being processed by the etchant,, 'a process chamber comprisingwherein the gas mixing region is separate from each of the plasma generation region and the processing region.2. The substrate processing apparatus of claim 1 , wherein the ...

Подробнее
01-04-2021 дата публикации

ULTRATHIN CONFORMAL COATINGS FOR ELECTROSTATIC DISSIPATION IN SEMICONDUCTOR PROCESS TOOLS

Номер: US20210100087A1
Принадлежит:

Disclosed in some embodiments is a chamber component (such as an end effector body) coated with an ultrathin electrically-dissipative material to provide a dissipative path from the coating to the ground. The coating may be deposited via a chemical precursor deposition to provide a uniform, conformal, and porosity free coating in a cost effective manner. In an embodiment wherein the chamber component comprises an end effector body, the end effector body may further comprise replaceable contact pads for supporting a substrate and the contact surface of the contact pads head may also be coated with an electrically-dissipative material. 1. A coated chamber component , comprising:a chamber component; and{'sup': 5', '11, 'a coating deposited on a surface of the chamber component, the coating comprising an electrically-dissipative material, wherein the electrically-dissipative material is to provide a dissipative path from the coating to ground, wherein the coating is uniform, conformal, and porosity free, wherein the coating has a thickness ranging from about 10 nm to about 900 nm, and wherein the coating has an electrical surface/sheet resistance ranging from about 1×10ohm/sq to about 1×10ohm/sq.'}2. The coated chamber component of claim 1 , wherein the electrical surface/sheet resistance of the coating remains unchanged after thermal cycling at a temperature ranging from about 300° C. to about 700° C.3. The coated chamber component of claim 1 , wherein the coating has a thickness ranging from about 20 nm to about 900 nm.4. The coated chamber component of claim 1 , wherein the chamber component comprises an electrically-conductive material claim 1 , a ceramic claim 1 , a polymer claim 1 , or quartz.5. The coated chamber component of claim 1 , wherein the coating has a Vickers hardness ranging from about 500 kg/mmto about 1000 kg/mm.6. The coated chamber component of claim 1 , wherein the electrical surface/sheet resistance of the coating is uniform as evidenced by ...

Подробнее
12-04-2018 дата публикации

RARE-EARTH OXIDE BASED COATINGS BASED ON ION ASSISTED DEPOSITION

Номер: US20180100228A1
Принадлежит:

A component for a semiconductor processing chamber includes a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches. The component further includes a conformal protective layer on at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is less than the first average surface roughness. 1. A chamber component for a processing chamber comprising:a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches; and{'sub': 2', '3', '2, 'a conformal protective layer on the at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film comprising 40 mol % to less than 100 mol % of YOand above 0 mol % to 60 mol % of ZrO, the conformal protective layer having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is less than the first average surface roughness.'}2. The chamber component of claim 1 , wherein the conformal protective layer has a thickness of 10-30 μm.3. The chamber component of claim 1 , wherein a porosity of the conformal protective layer is below 1%.4. The chamber component of claim 1 , wherein the conformal protective layer has a post polished roughness of less than 8 micro-inches.5. The chamber component of claim 1 , wherein the ceramic body is a bulk sintered ceramic body comprising at least one of YOor a ceramic compound comprising YAlOand a solid-solution of YO—ZrO.6. The chamber component of claim 1 , where the conformal protective layer comprises a conformal ...

Подробнее
28-03-2019 дата публикации

Plasma processing apparatus

Номер: US20190098740A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes an antenna configured to generate plasma of a processing gas in a chamber. The antenna includes: an inner coil provided around the gas supply unit to surround a gas supply unit; and an outer coil provided around the gas supply unit and the inner coil to surround them. The outer coil is configured such that both ends of a wire forming the outer coil are opened; power is supplied from a power supply unit to a central point of the wire; the vicinity of the central point of the wire is grounded; and the outer coil resonates at a wavelength that is a half of a wavelength of the high frequency power. The inner coil is configured such that both ends of a wire forming the inner coil are connected through a capacitor and the inner coil is inductively coupled with the inner coil.

Подробнее
12-04-2018 дата публикации

RARE-EARTH OXIDE BASED EROSION RESISTANT COATINGS FOR SEMICONDUCTOR APPLICATION

Номер: US20180102237A1
Принадлежит:

An article includes a body that is coated with a ceramic coating. The ceramic coating may include YOin a range between about 45 mol % to about 99 mol %, ZrOin a range between about 0 mol % to about 55 mol %, and AlOin a range between about 0 mol % to about 10 mol %. The ceramic coating may alternatively include YOin a range between about 30 mol % to about 60 mol %, ZrOin a range between about 0 mol % to about 20 mol %, and AlOin a range between about 30 mol % to about 60 mol %. 1. A method of manufacturing an article comprising;providing a body; and{'sub': 2', '3', '2', '2', '3, 'coating at least one surface of the body with a ceramic coating comprising YOin a range between about 45 mol % to about 99 mol %, ZrOin a range from about 0 mol % to about 55 mol %, and AlOin a range from about 0 mol % to about 10 mol %.'}2. The method of claim 1 , wherein the coating has a thickness between about 5 mil and about 25 mil claim 1 , and wherein coating the at least one surface of the body with the ceramic coating comprises plasma spraying the ceramic coating onto the at least one surface.3. The method of claim 1 , the article is a chamber component for a plasma processing chamber selected from a group consisting of: a lid claim 1 , a showerhead claim 1 , a chamber liner claim 1 , and nozzle.4. The method of claim 1 , wherein the body comprises at least one of aluminum claim 1 , copper claim 1 , or magnesium.5. The method of wherein the body comprises a ceramic.610-. (canceled)11. An article comprising:a body; and{'sub': 2', '3', '2', '2', '3, 'a ceramic coating on a surface of the body, wherein the ceramic coating comprises YOin a range between about 45 mol % to about 99 mol %, ZrOin a range from about 0 mol % to about 55 mol %, and AlOin a range from about 0 mol % to about 10 mol %.'}12. The article of claim 11 , wherein the ceramic coating has a thickness between about 5 mil and about 25 mil.13. The article of claim 11 , wherein the article is a chamber component for a ...

Подробнее
19-04-2018 дата публикации

Chemical conversion of yttria into yttrium fluoride and yttrium oxyfluoride to develop pre-seasoned corossion resistive coating for plasma components

Номер: US20180105701A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure provide a chamber component for use in a plasma processing chamber apparatus. The chamber component may include a coating layer that provides a fluorine-rich surface. In one embodiment, a chamber component, for use in a plasma processing apparatus, includes a body having an outer layer comprising yttria having a coating layer formed thereon, wherein the coating layer comprises a yttrium fluoride containing material.

Подробнее
19-04-2018 дата публикации

EDGE SEAL FOR LOWER ELECTRODE ASSEMBLY

Номер: US20180106371A1
Принадлежит:

An edge seal for sealing an outer surface of a lower electrode assembly configured to support a semiconductor substrate in a plasma processing chamber, the lower electrode assembly including an annular groove defined between a lower member and an upper member of the lower electrode assembly. The edge seal includes an elastomeric band configured to be arranged within the groove, the elastomeric band having an annular upper surface, an annular lower surface, an inner surface, and an outer surface. When the elastomeric band is in an uncompressed state, the outer surface of the elastomeric band is concave. When the upper and lower surfaces are axially compressed at least 1% such that the elastomeric band is in a compressed state, an outward bulging of the outer surface is not greater than a predetermined distance. The predetermined distance corresponds to a maximum outer diameter of the elastomeric band in the uncompressed state. 1. An edge seal for sealing an outer surface of a lower electrode assembly configured to support a semiconductor substrate in a plasma processing chamber , the lower electrode assembly including an annular groove defined between a lower member and an upper member of the lower electrode assembly , the edge seal comprising: an annular upper surface,', 'an annular lower surface,', 'an inner surface, and', 'an outer surface,, 'an elastomeric band configured to be arranged within the groove, the elastomeric band having'} when the elastomeric band is in an uncompressed state, the outer surface of the elastomeric band is concave,', 'when the upper and lower surfaces are axially compressed at least 1% such that the elastomeric band is in a compressed state, an outward bulging of the outer surface is not greater than a predetermined distance, and', 'the predetermined distance corresponds to a maximum outer diameter of the elastomeric band in the uncompressed state., 'wherein,'}2. The edge seal of claim 1 , wherein the outer surface is (i) a single ...

Подробнее
02-06-2022 дата публикации

METHOD OF DIAGNOSING CHAMBER CONDITION AND SUBSTRATE PROCESSING APPARATUS

Номер: US20220172934A1
Принадлежит:

A method of diagnosing a condition of a chamber in a substrate processing apparatus, includes cleaning an interior of the chamber; generating a plasma from a gas containing a helium gas in the chamber; measuring an emission intensity of fluorine in the interior of the chamber; and diagnosing the condition of the chamber based on the emission intensity. 1. A method of diagnosing a condition of a chamber in a substrate processing apparatus , comprising:cleaning an interior of the chamber;generating a plasma from a gas containing a helium gas in the chamber;measuring an emission intensity of fluorine in the interior of the chamber; anddiagnosing the condition of the chamber based on the emission intensity.2. The method of claim 1 , the gas does not include an argon gas.3. The method of claim 2 , the gas further comprises at least one selected from the group consisting of a xenon gas claim 2 , a neon gas and a krypton gas.4. The method of claim 3 , wherein the generating the plasma is performed by placing a dummy substrate on a stage.5. The method of claim 4 , wherein the method is performed after a start-up of the substrate processing apparatus claim 4 , after a maintenance of the substrate processing apparatus claim 4 , or before and after a processing of a product substrate in the substrate processing apparatus.6. The method of claim 5 , wherein the diagnosing the condition of the chamber further comprises: performing plasma processing with a fluorine-containing gas when the emission intensity is diagnosed to be lower than a first threshold value.7. The method of claim 6 , wherein a surface of the chamber includes a ceramics thermal-sprayed film.8. The method of claim 7 , wherein the ceramics thermal-sprayed film comprises at least one selected from the group consisting of aluminum oxide claim 7 , yttrium oxide claim 7 , yttrium fluoride claim 7 , and yttrium oxyfluoride.9. The method of claim 1 , wherein the generating the plasma is performed by placing a dummy ...

Подробнее
29-04-2021 дата публикации

Hafnium aluminum oxide coatings deposited by atomic layer deposition

Номер: US20210123143A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure relate to articles, coated articles, and methods of coating such articles with a corrosion resistant coating. The corrosion resistant coating can comprise hafnium aluminum oxide. The corrosion resistant coating may be deposited by a non-line of sight deposition, such as atomic layer deposition. Articles that may be coated may include chamber components, such as gas lines.

Подробнее
19-04-2018 дата публикации

COATING ARCHITECTURE FOR PLASMA SPRAYED CHAMBER COMPONENTS

Номер: US20180108517A1
Принадлежит:

A method of plasma spraying an article comprises inserting the article into a vacuum chamber for a low pressure plasma spraying system. A low pressure plasma spray process is then performed by the low pressure plasma spraying system to form a first plasma resistant layer having a thickness of 20-500 microns and a porosity of over 1%. A plasma spray thin film, plasma spray chemical vapor deposition or plasma spray physical vapor deposition process is then performed by the low pressure plasma spraying system to deposit a second plasma resistant layer on the first plasma resistant layer, the second plasma resistant layer having a thickness of less than 50 microns and a porosity of less than 1%. 1. A method of plasma spraying an article comprising:inserting the article into a vacuum chamber for a low pressure plasma spraying system;performing a low pressure plasma spray (LPPS) process by the low pressure plasma spraying system to form a first plasma resistant ceramic layer on the article, the first plasma resistant ceramic layer having a thickness of 20-500 microns and a porosity of over 1%; andperforming a plasma spray chemical vapor deposition (PSCVD) process by the low pressure plasma spraying system to deposit a second plasma resistant ceramic layer on the first plasma resistant ceramic layer, the second plasma resistant ceramic layer having a thickness of approximately 1-50 microns and a porosity of less than 1%;{'sub': 2', '3', '2, 'wherein at least one of the first plasma resistant ceramic layer or the second plasma resistant ceramic layer comprises YOin a range of 40 mol % to below 100 mol % and ZrOin a range of above 0 mol % to 60 mol %.'}2. The method of claim 1 , wherein the at least one of the first plasma resistant ceramic layer or the second plasma resistant ceramic layer consists essentially of YOin a range of 40 mol % to below 100 mol % claim 1 , ZrOin a range of above 0 mol % to 60 mol % and AlOin a range of 0-10 mol %.3. The method of claim 1 , wherein ...

Подробнее
19-04-2018 дата публикации

METHOD AND APPARATUS FOR CLEANING COMPONENT OF SUBSTRATE PROCESSING APPARATUS

Номер: US20180108546A1
Принадлежит:

Disclosed are an apparatus and a method for cleaning a component of a substrate dry processing apparatus. The method for cleaning a component of a substrate dry processing apparatus includes dipping the component in a cleaning solution received in a cleaning bath, generating radicals from the cleaning solution, and cleaning the component with the radicals. The component is cleaned with hydrogen radicals (H*) and hydroxyl radicals (OH*) generated from ozone water. Accordingly, it is possible to rapidly remove carbon (C) and fluorine (F) deposited on the component. 1. A method of cleaning a component of an apparatus that performs dry processing on a substrate , the method comprising:dipping the component in a cleaning solution received in a cleaning bath; andgenerating radicals from the cleaning solution and cleaning the component with the radicals.2. The method of claim 1 , wherein the cleaning solution includes ozone water claim 1 , and{'sub': '2', 'wherein the radicals include hydrogen radicals (H*) and hydroxyl radicals (OH*).'}3. The method of claim 1 , wherein the generating of the radicals includes:emitting light toward the cleaning solution.4. The method of claim 3 , wherein the light includes UV light.5. The method of claim 3 , wherein the radicals remove a contaminant adhering to a surface of the component claim 3 , andwherein the contaminant includes carbon (C) and fluorine (F).6. The method of claim 5 , wherein the dry processing includes processing the substrate by using plasma.7. The method of claim 6 , wherein the component is formed of a material including ceramic.8. The method of claim 7 , wherein the component cleaning method is performed outside the apparatus after the component is separated from the apparatus.9. An apparatus for cleaning a component of an apparatus that performs dry processing on a substrate claim 7 , the component cleaning apparatus comprising:a cleaning bath having a receiving space in which the component is received;a solution ...

Подробнее
20-04-2017 дата публикации

Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance

Номер: US20170110293A1
Принадлежит: Applied Materials, Inc.

A bulk, sintered solid solution-comprising ceramic article useful in semiconductor processing, which is resistant to erosion by halogen-containing plasmas and provides advantageous mechanical properties. The solid solution-comprising ceramic article is formed from a combination of yttrium oxide and zirconium oxide. The bulk, sintered solid solution-comprising article is formed from zirconium oxide at a molar concentration ranging from about 96 mole % to about 94 mole %, and yttrium oxide at a molar concentration ranging from about 4 mole % to about 6 mole %. 113.-. (canceled)14. A semiconductor processing apparatus , said apparatus having at least one surface exposed to a halogen-comprising plasma during a process , wherein said apparatus comprises a bulk , sintered solid solution ceramic which is resistant to erosion by halogen-containing plasmas , wherein said ceramic is formed from zirconium oxide at a molar concentration ranging from about 96 mole % to about 94 mole % , and yttrium oxide at a molar concentration ranging from about 4 mole % to about 6 mole %.15. (canceled)16. (canceled)17. A semiconductor processing apparatus in accordance with claim 14 , wherein said apparatus is selected from the group consisting of a lid claim 14 , a lid liner claim 14 , a nozzle claim 14 , a gas distribution plate claim 14 , a shower head claim 14 , an electrostatic chuck component claim 14 , a shadow frame claim 14 , a substrate holding frame claim 14 , a processing kit claim 14 , and a chamber liner.18. A semiconductor processing apparatus in accordance with claim 14 , wherein a mean grain size of said ceramic ranges from about 0.5 μm to about 1.0 μm.19. A semiconductor processing apparatus in accordance with claim 14 , wherein a flexural strength of said ceramic ranges from about 1100 MPa to about 1300 MPa.20. A semiconductor processing apparatus in accordance with claim 14 , wherein a fracture toughness of said ceramic ranges from about 10 MPa·mto about 12 MPa·m.21. A ...

Подробнее
27-04-2017 дата публикации

YTTRIUM FLUORIDE SPRAY MATERIAL, YTTRIUM OXYFLUORIDE-DEPOSITED ARTICLE, AND MAKING METHODS

Номер: US20170114440A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

An yttrium fluoride spray material contains YOFand YF, and has an average particle size of 10-60 μm and a bulk density of 1.2-2.5 g/cm. The YOFand YFin the yttrium fluoride spray material consist of to % by weight of YOFand the balance of YF. A sprayed coating of yttrium oxyfluoride is obtained by atmospheric plasma spraying of the spray material. 1. An yttrium fluoride spray material comprising YOFand YF , the YOFand YFconsisting of 30 to 90% by weight of YOFand the balance of YF , and spray material having an average particle size of 10 to 60 μm and a bulk density of 1.2 to 2.5 g/cm.2. A method for preparing the yttrium fluoride spray material of claim 1 , comprising the steps of mixing 10 to 50% by weight of yttrium oxide having an average particle size of 0.01 to 3 μm with the balance of an ammonium fluoride complex salt of formula: (YF)NHF·HO claim 1 , having an average particle size of 0.01 to 3 μm claim 1 , granulating and firing.3. An yttrium-deposited article comprising a substrate and a coating sprayed thereon claim 1 , the sprayed coating containing at least one yttrium oxyfluoride selected from the group consisting of YOF claim 1 , YOF claim 1 , and YOF9.4. A method for preparing an yttrium-deposited article claim 1 , comprising the step of atmospheric plasma spraying the yttrium fluoride spray material of to a substrate to form a sprayed coating containing at least one yttrium oxyfluoride selected from the group consisting of YOF claim 1 , YOF claim 1 , and YOFthereon. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2015-208616 filed in Japan on Oct. 23, 2015, the entire contents of which are hereby incorporated by reference.This invention relates to an yttrium oxyfluoride-deposited article for use in the plasma etching step of the semiconductor device fabrication process, an yttrium fluoride spray material for use in making the article, and making methods thereof.In the etching step of the ...

Подробнее
09-06-2022 дата публикации

COMPONENT FOR PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING APPARATUS

Номер: US20220181123A1
Принадлежит:

A component for a plasma processing apparatus, and a plasma processing apparatus are highly resistant to plasma and are highly durable. The component includes a substrate containing a first element that is a metal element or a semimetal element, and a film located on the substrate and containing yttrium oxide as a main constituent. The film contains yttrium oxide crystal grains oriented with a deviation angle of ±10° from a {111} direction of a crystal lattice plane of yttrium oxide. The yttrium oxide crystal grains oriented with the deviation angle have an area ratio of 45% or greater. 1. A component for a plasma processing apparatus , comprising:a substrate containing a first element, the first element being a metal element or a semimetal element; anda film located on the substrate, the film containing yttrium oxide as a main constituent,wherein the film contains yttrium oxide crystal grains oriented with a deviation angle of ±10° from a {111} direction of a crystal lattice plane of yttrium oxide, and the yttrium oxide crystal grains oriented with the deviation angle of ±10° have an area ratio of 45% or greater.2. The component according to claim 1 , whereinthe film contains yttrium oxide crystal grains oriented with a deviation angle of ±5° from the {111} direction of the crystal lattice plane of yttrium oxide, and the yttrium oxide crystal grains oriented with the deviation angle of ±5° have an area ratio of 20% or greater.3. The component according to claim 1 , wherein{'sub': 310', '222', '222', '310, 'I/Iis 0.12 or less, where Iis a peak intensity of a (222) plane of yttrium oxide, and Iis a peak intensity of a (310) plane of yttrium oxide.'}4. The component according to claim 1 , further comprising:an amorphous section between the substrate and the film, the amorphous section containing the first element, yttrium, and oxygen.5. The component according to claim 4 , whereinin the amorphous section, yttrium has the highest mass ratio.6. The component according ...

Подробнее
09-06-2022 дата публикации

PLASMA PROCESSING METHOD

Номер: US20220181188A1
Принадлежит:

A plasma processing method including: a process of placing a work piece on a stage provided in a chamber, the work piece including a substrate and a holding member having an adhesive layer on a surface and holding the substrate via the adhesive layer, and having an exposed portion where the adhesive layer is exposed outside the substrate; and a plasma etching process of etching the substrate with a plasma generated in the chamber, with the exposed portion exposed to the plasma. In response to occurrence of an interruption in the plasma etching process, a cleaning process of exposing a surface of the substrate to a plasma containing an oxidizing gas is performed, and then the plasma etching process is resumed. 1. A plasma processing method , comprising:a process of placing, on a stage provided in a chamber, a work piece including a substrate and a holding member having an adhesive layer on a surface and holding the substrate via the adhesive layer, the work piece having an exposed portion where the adhesive layer is exposed outside the substrate; anda plasma etching process of etching the substrate with a plasma generated in the chamber, with the exposed portion exposed to the plasma, whereinin response to occurrence of an interruption in the plasma etching process, a cleaning process of exposing a surface of the substrate to a plasma containing an oxidizing gas is performed, and then the plasma etching process is resumed.2. The plasma processing method according to claim 1 , wherein the adhesive layer contains at least one selected from the group consisting of an epoxy resin and an acrylic resin.3. The plasma processing method according to claim 1 , whereinthe plasma etching process includes(i) a protective film deposition step of forming a protective film on at least a sidewall of a groove formed in the substrate,(ii) a protective film removal step for removing the protective film at a bottom of the groove, and(iii) an etching step of etching the bottom of the ...

Подробнее
27-04-2017 дата публикации

MgO-BASED CERAMIC FILM, MEMBER FOR SEMICONDUCTOR MANUFACTURING APPARATUS, AND METHOD FOR FORMING MgO-BASED CERAMIC FILM

Номер: US20170117120A1
Принадлежит: NGK Insulators, Ltd.

A MgO-based ceramic film according to the present invention contains crystalline phases of MgO and MgAlO, and Al is dissolved in the MgO to form a solid-solution. The ceramic film exhibits a diffraction peak representing the (200) plane of MgO at an angle θ of more than 42.92° in CuKα XRD measurement. A shoulder preferably appears on the higher angle side of the peak representing the (200) plane of MgO. The mass ratio MgO/AlOof MgO to AlOconverted from Mg and Al in terms of oxides is preferably higher than 2.33. 12. A MgO-based ceramic film containing crystalline phases of MgO and MgAlO , wherein Al , but not AlN , is dissolved in MgO to form a solid-solution , and the ceramic film exhibits a diffraction peak representing the (200) plane of MgO at an angle θ of more than 42.92° in CuKα XRD measurement.2. The MgO-based ceramic film according to claim 1 , wherein in the CuKα XRD measurement claim 1 , a diffraction peak or shoulder appears on the higher angle side of the diffraction peak representing the (200) plane of MgO.3. The MgO-based ceramic film claim 1 , according to claim 1 , wherein the mass ratio MgO/AlOof MgO to AlOconverted from Mg and Al in terms of oxides is higher than 2.33.4. The MgO-based ceramic film according to claim 1 , having a porosity of 20% or less.5. The MgO-based ceramic film according to claim 1 , which is a thermal spray coating film.6. A member for semiconductor manufacturing apparatus covered with the MgO-based ceramic film according to .7. A method for forming a MgO-based ceramic film including preparing a thermal spray raw material by granulating MgO and AlOand heat-treating the granules at 1200° C. or more claim 5 , and thermally spraying the thermal spray raw material onto a predetermined substrate to form the MgO-based ceramic film according to . 1. Field of the InventionThe present invention, relates to a MgO-based ceramic film, a member for semiconductor manufacturing apparatus, and a method for forcing a MgO-based ceramic film.2. ...

Подробнее
07-05-2015 дата публикации

Controlling etch rate drift and particles during plasma processing

Номер: US20150126036A1
Автор: Jianping Zhao
Принадлежит: Tokyo Electron Ltd

The invention is an plasma processing system with a plasma chamber for processing semiconductor substrates, comprising: a radio frequency or microwave power generator coupled to the plasma chamber; a low pressure vacuum system coupled to the plasma chamber; and at least one chamber surface that is configured to be exposed to a plasma, the chamber surface comprising: a YxOyFz layer that comprises Y in a range from 20 to 40%, O in a range from ≦60%, and F in a range of ≦75%. Alternatively, the YxOyFz layer can comprise Y in a range from 25 to 40%, O in a range from 40 to 55%, and F in a range of 5 to 35% or Y in a range from 25 to 40%, O in a range from 5 to 40%, and F in a range of 20 to 70%.

Подробнее
14-05-2015 дата публикации

Smart device fabrication via precision patterning

Номер: US20150129574A1
Принадлежит: Individual

Embodiments involve smart device fabrication for semiconductor processing tools via precision patterning. In one embodiment, a method of manufacturing a semiconductor processing tool component includes providing a substrate of the semiconductor processing tool component, patterning the substrate to form a sensor directly on the substrate, and depositing a top layer over the sensor. The sensor may include, for example, a temperature or strain sensor. The method can also include patterning the substrate to form one or more of: heaters, thermistors, and electrodes on the substrate. In one embodiment, the method involves patterning a surface of the component oriented towards a plasma region inside of the semiconductor processing tool.

Подробнее
04-05-2017 дата публикации

Rps assisted rf plasma source for semiconductor processing

Номер: US20170125220A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure generally relate to a hybrid plasma processing system incorporating a remote plasma source (RPS) unit with a capacitively coupled plasma (CCP) unit for substrate processing. In one embodiment, the hybrid plasma processing system includes a CCP unit, comprising a lid having one or more through holes, and an ion suppression element, wherein the lid and the ion suppression element define a plasma excitation region, a RPS unit coupled to the CCP unit, and a gas distribution plate disposed between the ion suppression element and a substrate support, wherein the gas distribution plate and the substrate support defines a substrate processing region. In cases where process requires higher power, both CCP and RPS units may be used to generate plasma excited species so that some power burden is shifted from the CCP unit to the RPS unit, which allows the CCP unit to operate at lower power.

Подробнее
25-04-2019 дата публикации

SUBSTRATE TREATING APPARATUS AND COMPONENTS THEREOF

Номер: US20190122869A1
Автор: HAN YU DONG, YANG DAEHYUN
Принадлежит:

A substrate treating apparatus and a component thereof are provided. The substrate treating apparatus includes a chamber having a treatment space therein, a chamber having a treatment space therein, a supporting unit to support a substrate inside the treatment space, a gas supplying unit to supply process gas into the treatment space, and a plasma source to excite the process gas inside the treatment space. The supporting unit includes a supporting plate on which the substrate is placed, and an edge ring having a ring shape, provided around the supporting plate, and formed on an upper portion thereof with a coating layer having a silicon carbide crystal developed in preferred orientation to <111> crystal direction of a beta-silicon carbide (β-SiC) crystal. 1. A substrate treating apparatus comprising:a chamber having a treatment space therein;a supporting unit to support a substrate inside the treatment space;a gas supplying unit to supply process gas into the treatment space; anda plasma source to ′ the process gas inside the treatment space,wherein the supporting unit comprises:a supporting plate on which the substrate is placed; andan edge ring having a ring shape, provided around the supporting plate, and formed on an upper portion thereof with a coating layer having a silicon carbide crystal developed in preferred orientation to <111> crystal direction of a beta-silicon carbide (β-SiC) crystal.2. The substrate treating apparatus of claim 1 , wherein in the coating layer claim 1 , the <111> crystal direction occupies 90% or more.3. The substrate treating apparatus of claim 1 , wherein the coating layer has a grain size of 2 μm or less.4. A substrate treating apparatus comprising:a chamber having a treatment space therein;a supporting unit to support a substrate inside the treatment space;a gas supplying unit to supply process gas into the treatment space; anda plasma source to excite the process gas inside the treatment space,wherein the supporting unit includes ...

Подробнее
16-04-2020 дата публикации

PLASMA PROCESSING APPARATUS AND PRECOATING METHOD

Номер: US20200118799A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes a partition plate, an antenna, and a high frequency power supply. The partition plate has a plurality of holes and partitions an inside of the processing container into a plasma generation chamber and a processing chamber. The antenna generates plasma of the plasma excitation gas supplied into the plasma generation chamber. The high frequency power supply generates plasma of a precoating gas supplied into the plasma generation chamber and introduced into the processing chamber through the plurality of holes of the partition plate. The plasma processing apparatus performs a precoating on a surface of a partition plate on a side of the processing chamber by causing the high frequency power supply to generate plasma of the precoating gas before a plasma processing using the plasma of the plasma excitation gas is performed. 1. A plasma processing apparatus comprising:a processing container;a partition plate that has a plurality of holes each having a maximum width that is two times or less a sheath length corresponding to plasma of a plasma excitation gas, and partitions an inside of the processing container into a plasma generation chamber and a processing chamber;a first gas supply unit that supplies the plasma excitation gas to the plasma generation chamber;a second gas supply unit that supplies a precoating gas to at least one of the plasma generation chamber and the processing chamber;a first plasma source that generates plasma of the plasma excitation gas supplied into the plasma generation chamber by the first gas supply unit;a second plasma source that generates plasma of the precoating gas supplied into the plasma generation chamber by the second gas supply unit and introduced into the processing chamber through the plurality of holes of the partition plate, or plasma of the precoating gas supplied into the processing chamber by the second gas supply unit; anda controller that causes the second plasma source to generate ...

Подробнее
16-04-2020 дата публикации

SYSTEMS AND METHODS FOR IMPROVED SEMICONDUCTOR ETCHING AND COMPONENT PROTECTION

Номер: US20200118845A1
Принадлежит: Applied Materials, Inc.

Semiconductor systems and methods may include a semiconductor processing chamber having a gas box defining an access to the semiconductor processing chamber. The chamber may include a spacer characterized by a first surface with which the gas box is coupled, and the spacer may define a recessed ledge on an interior portion of the first surface. The chamber may include a support bracket seated on the recessed ledge that extends along a second surface of the spacer. The chamber may also include a gas distribution plate seated on the support bracket. 1. A semiconductor processing system comprising: a first gasbox plate characterized by a first surface and a second surface opposite the first surface, and', 'a second gasbox plate coupled with the first gasbox plate along the second surface of the first gasbox plate, wherein the second gasbox plate is characterized by a first surface and a second surface opposite the first surface, wherein the second gasbox plate defines a plurality of channels within the first surface of the second gasbox plate, and wherein the second surface of the first gasbox plate and the first surface of the second gasbox plate define a flow path through the plurality of channels., 'a gasbox comprising2. The semiconductor processing system of claim 1 , wherein the gasbox defines a central aperture configured to receive a delivery tube.3. The semiconductor processing system of claim 1 , wherein the plurality of channels are in fluid communication with one another to define a compound channel extending radially outward along the gasbox.4. The semiconductor processing system of claim 1 , wherein the first gasbox plate defines a port extending through the first gasbox plate.5. The semiconductor processing system of claim 4 , wherein the port provides fluid access to the plurality of channels defined in the first surface of the second gasbox plate.6. The semiconductor processing system of claim 4 , wherein the port is configured to provide fluid access ...

Подробнее
10-05-2018 дата публикации

STRUCTURE

Номер: US20180127319A1
Принадлежит:

According to one embodiment, a structure includes a polycrystalline substance of yttrium oxyfluoride as a main component. The yttrium oxyfluoride has an orthorhombic crystal structure, and an average crystallite size of the polycrystalline substance is less than 100 nanometers. When taking a peak intensity detected near diffraction angle 2θ=32.0° by X-ray diffraction as γ, and taking a peak intensity detected near diffraction angle 2θ=32.8° as δ, a peak intensity ratio γ/δ is not less than 0% and not more than 150%. 1. A structure including a polycrystalline substance of yttrium oxyfluoride as a main component , the yttrium oxyfluoride having an orthorhombic crystal structure , and an average crystallite size of the polycrystalline substance being less than 100 nanometers ,when taking a peak intensity detected near diffraction angle 2θ=32.0° by X-ray diffraction as γ, and taking a peak intensity detected near diffraction angle 2θ=32.8° as δ,a peak intensity ratio γ/δ being not less than 0% and not more than 150%.2. A structure including a polycrystalline substance of yttrium fluoride , an average crystallite size in the polycrystalline substance being less than 100 nanometers ,when taking a peak intensity detected near diffraction angle 2θ=24.3° by X-ray diffraction as α, and taking a peak intensity detected near diffraction angle 2θ=25.7° as β,a peak intensity ratio α/β being not less than 0% and less than 100%.3. The structure according to claim 1 , whereinthe peak intensity ratio γ/δ is not more than 120%.4. The structure according to claim 1 , whereinthe peak intensity ratio γ/δ is not more than 110%.5. The structure according to claim 1 , whereinthe structure further includes a polycrystalline substance of yttrium fluoride,when taking a peak intensity detected near diffraction angle 2θ=24.3° by X-ray diffraction as α, and taking a peak intensity detected near diffraction angle 2θ=25.7° as β,a peak intensity ratio α/β being not less than 0% and less than 100%.6. ...

Подробнее
10-05-2018 дата публикации

STRUCTURE

Номер: US20180127320A1
Принадлежит:

According to one embodiment, a structure includes a polycrystalline substance of yttrium oxyfluoride as a main component. The yttrium oxyfluoride has a rhombohedral crystal structure, and an average crystallite size of the polycrystalline substance is less than 100 nanometers. When taking a peak intensity of rhombohedron detected near diffraction angle 2θ=13.8° by X-ray diffraction as r1, taking a peak intensity of rhombohedron detected near diffraction angle 2θ=36.1° as r2, and taking a proportion γ1 as γ1(%)=r2/r1×100, the proportion γ1 is not less than 0% and less than 100%. 1. A structure including a polycrystalline substance of yttrium oxyfluoride as a main component , the yttrium oxyfluoride having a rhombohedral crystal structure , and an average crystallite size of the polycrystalline substance being less than 100 nanometers ,when taking a peak intensity of rhombohedron detected near diffraction angle 2θ=13.8° by X-ray diffraction as r1, taking a peak intensity of rhombohedron detected near diffraction angle 2θ=36.1° as r2, and taking a proportion γ1 as γ1(%)=r2/r1×100,the proportion γ1 being not less than 0% and less than 100%.2. The structure according to claim 1 , whereinthe proportion γ1 is less than 80%.3. The structure according to claim 1 , whereinthe structure does not include yttrium oxyfluoride having an orthorhombic crystal structure, orfurther includes yttrium oxyfluoride having the orthorhombic crystal structure,when taking a peak intensity of orthorhombus detected near a diffraction angle 2θ=16.1° by X-ray diffraction as o, and taking a proportion of orthorhombus to rhombohedron as γ2(%)=o/r1×100, the proportion γ2 is not less than 0% and less than 100%.4. The structure according to claim 1 , whereinthe yttrium oxyfluoride having the rhombohedral crystal structure is YOF.5. The structure according to claim 3 , whereinthe yttrium oxyfluoride having the orthorhombic crystal structure is YOF of 1:1:2 (molar ratio is Y:O:F=1:1:2).6. The structure ...

Подробнее
12-05-2016 дата публикации

HALL EFFECT ENHANCED CAPACITIVELY COUPLED PLASMA SOURCE, AN ABATEMENT SYSTEM, AND VACUUM PROCESSING

Номер: US20160133442A1
Принадлежит:

Embodiments disclosed herein include an abatement system for abating compounds produced in semiconductor processes. The abatement system includes a plasma source that has a first plate and a second plate parallel to the first plate. An electrode is disposed between the first and second plates and an outer wall is disposed between the first and second plates surrounding the electrode. The plasma source has a first plurality of magnets disposed on the first plate and a second plurality of magnets disposed on the second plate. The magnetic field created by the first and second plurality of magnets is substantially perpendicular to the electric field created between the electrode and the outer wall. In this configuration, a dense plasma is created. 1. An abatement system , comprising: a body having a first end and a second end, wherein the first end is configured to couple to the foreline; and', 'an electrode disposed in the body; and, 'a plasma source, comprisingan exhaust cooling apparatus coupled to the plasma source.2. The abatement system of claim 1 , wherein the body of the plasma source further comprises:a first plate; anda second plate, wherein the first plate is parallel to the second plate.3. The abatement system of claim 2 , wherein the plasma source further comprises:a first plurality of magnets disposed on the first plate of the body; anda second plurality of magnets disposed on the second plate of the body.4. The abatement system of claim 2 , wherein the plasma source further comprises:a first shield disposed adjacent to the first plate; anda second shield disposed adjacent to the second plate.5. The abatement system of claim 4 , wherein the first shield and the second shield each comprises a stack of plates.6. The abatement system of claim 5 , wherein the plates of the first and second shields are annular and each plate has an inner edge and an outer edge claim 5 , wherein each plate has a different distance between the inner edge and the outer edge.7. ...

Подробнее
11-05-2017 дата публикации

ION ASSISTED DEPOSITION FOR RARE-EARTH OXIDE BASED COATINGS

Номер: US20170130319A1
Принадлежит:

A method of manufacturing an article comprises performing ion assisted deposition (IAD) to deposit a protective layer on at least one surface of the article, wherein the protective layer is a plasma resistant rare earth oxide film having a thickness of less than 300 μm and an average surface roughness of 10 micro-inches or less. 1. A method comprising:{'sub': 4', '2', '9', '2', '3', '2', '3', '2', '3', '3', '4', '2', '9', '3', '4', '2', '9', '3', '4', '2', '9', '3', '4', '2', '9', '2', '3', '2', '2', '3', '2', '2', '3', '2', '3', '2, 'performing ion assisted deposition to deposit a first conformal protective layer on at least one surface of a chamber component for a processing chamber, wherein the at least one surface has a first average surface roughness of approximately 8-16 micro-inches, wherein the first conformal protective layer has the first average surface roughness after deposition, and wherein the first conformal protective layer is a plasma resistant rare earth oxide ceramic film having a substantially uniform thickness of less than 300 μm over the at least one surface that is selected from a group consisting of YAlO, ErO, GdO, NdO, YF, ErAlO, ErAlO, GdAlO, GdAlO, NdAlO, NdAlO, a first ceramic compound comprising YAlOand a solid-solution of YO—ZrO, and a second ceramic compound comprising a mixture of YO, ZrO, ErO, GdOand SiO; and'}polishing the first conformal protective layer to a second average surface roughness of less than 10 micro-inches, wherein the second average surface roughness is equal to or less than the first average surface roughness.2. The method of claim 1 , further comprising:polishing the first conformal protective layer to an average surface roughness of 8 micro-inches or less.3. The method of claim 1 , wherein the first conformal protective layer has a thickness of 10-30 μm.4. The method of claim 1 , wherein a deposition rate of 1-2 Angstroms per second is used to deposit the first conformal protective layer.5. The method of claim 1 , ...

Подробнее
10-05-2018 дата публикации

HEAT TRANSFER MEDIUM SYSTEM AND SUBSTRATE PROCESSING APPARATUS

Номер: US20180130645A1
Автор: Hiroki Tsutomu
Принадлежит:

A heat transfer medium supply system includes valve units each alternately supplying a first and a second heat transfer medium to a corresponding zone of a stage. The valve unit includes a housing and a shaft. The housing has first and second inlets, and first and second outlets. The first and second inlets are respectively connected to a first and a second medium temperature controller. The first and second outlets are connected to the corresponding zone. The shaft is inserted in the housing and has first and second supply grooves. The first supply groove connects the first inlet to the first outlet when a rotation angle about a central axis of the shaft is within a first angle range, and the second supply groove connects the second inlet to the second outlet when the rotation angle about the central axis of the shaft is within a second angle range. 1. A supply system for alternately supplying a first heat transfer medium outputted from a first medium temperature controller and a second heat transfer medium outputted from a second medium temperature controller to one or more zones of a stage configured to support a substrate , a temperature of the first heat transfer medium being different from a temperature of the second heat transfer medium , the system comprising:a first supply line connected to a supply port of the first medium temperature controller for outputting the first heat transfer medium;a second supply line connected to a supply port of the second medium temperature controller for outputting the second heat transfer medium;one or more third supply lines configured to feed the first heat transfer medium from the first supply line to said one or more zones, respectively;one or more fourth supply lines configured to feed the second heat transfer medium from the second supply line to said one or more zones, respectively; andone or more valve units each of which is configured to alternately supply the first heat transfer medium and the second heat transfer ...

Подробнее
11-05-2017 дата публикации

RARE-EARTH OXIDE BASED COATINGS BASED ON ION ASSISTED DEPOSITION

Номер: US20170133207A1
Принадлежит:

A component for a semiconductor processing chamber includes a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches. The component further includes a conformal protective layer on at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is equal to or less than the first average surface roughness. 1. A component for a semiconductor processing chamber , comprising:a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches; anda conformal protective layer on at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is equal to or less than the first average surface roughness.2. The component of claim 1 , wherein the conformal protective layer comprises at least one of YAlOor YF.3. The component of claim 1 , wherein the conformal protective layer has a thickness of 10-30 μm and a porosity of below 1%.4. The component of claim 1 , wherein the average surface roughness of the conformal protective layer is less than 8 micro-inches and the substantially uniform thickness of the conformal is about 0.5-7.0 μm.5. The component of claim 1 , wherein the ceramic body is a bulk sintered ceramic body comprising at least one of YO claim 1 , or a ceramic compound comprising YAlOand a solid-solution of YO—ZrO.6. The component of claim 1 , where the conformal protective layer comprises a protective layer stack comprising a ...

Подробнее
11-05-2017 дата публикации

HALL EFFECT ENHANCED CAPACITIVELY COUPLED PLASMA SOURCE, AN ABATEMENT SYSTEM, AND VACUUM PROCESSING SYSTEM

Номер: US20170133208A1
Принадлежит:

Embodiments disclosed herein include a method for abating compounds produced in semiconductor processes. The method includes energizing an abating agent, forming a composition by reacting the energized abating agent with gases exiting a vacuum processing chamber, and flowing the composition through a plurality of holes formed in a cooling plate. By cooling the composition with the cooling plate, damages on the downstream pump are avoided. 1. A method for cooling a composition , comprising:energizing an abating agent;forming a composition by reacting the energized abating agent with gases exiting a vacuum processing chamber; andflowing the composition through a plurality of holes formed in a cooling plate.2. The method of claim 1 , wherein the abating agent is selected from the group consisting of CH claim 1 , HO claim 1 , H claim 1 , NF claim 1 , SF claim 1 , F claim 1 , HCl claim 1 , HF claim 1 , Cl claim 1 , HBr claim 1 , O claim 1 , N claim 1 , O claim 1 , CO claim 1 , CO claim 1 , NH claim 1 , NO claim 1 , and combinations thereof.3. The method of claim 1 , wherein energizing the abating agent further comprises;forming a plasma.4. The method of claim 3 , wherein the forming the plasma comprises:applying RF power to an electrode disposed in a body.5. The method of claim 4 , wherein the body is coupled to an exhaust cooling apparatus claim 4 , and wherein the cooling plate is disposed in the exhaust cooling apparatus.6. The method of further comprising:flowing a coolant through the cooling plate.7. The method of claim 6 , wherein the coolant is water.8. A method for cooling a composition claim 6 , comprising:energizing an abating agent;forming a composition by reacting the energized abating agent with gases exiting a vacuum processing chamber; andflowing the composition through a cooling plate disposed in an exhaust cooling apparatus.9. The method of claim 8 , wherein the abating agent is selected from the group consisting of CH claim 8 , HO claim 8 , H claim 8 , ...

Подробнее
01-09-2022 дата публикации

PROTECTIVE MULTILAYER COATING FOR PROCESSING CHAMBER COMPONENTS

Номер: US20220277936A1
Принадлежит:

The present disclosure relates to protective multilayer coatings for processing clumbers and processing clumber components. In one embodiment, a multilayer protean e coating includes a metal nitride layer and an oxide layer disposed thereon. In one embodiment, the multilayer protective coating further includes an oxynitride interlayer and/or an oxy fluoride layer. The multilayer protective coating may be formed on a metal alloy or ceramic substrate, such as a processing clumber or a processing clumber component used in tire field of electronic device manufacturing, e.g., semiconductor device manufacturing. In one embodiment, the metal nitride layer and the oxide layer are deposited on the substrate by atomic layer deposition. 1. A chamber component for use in a plasma processing chamber , comprising:a chamber component having a surface, the surface comprising a metal alloy or ceramic; and a metal nitride layer having a thickness of between about 10 nm and about 200 nm; and', 'an oxide layer disposed on the metal nitride layer and having a thickness of between about 1 nm and about 1 um, the metal nitride layer and the oxide layer deposited on the surface of the chamber component by an ALD process., 'a protective coating disposed on the surface of the chamber component, the protective coating comprising2. The chamber component of claim 1 , further comprising an oxynitride interlayer having a thickness between about 0.5 nm and about 10 nm.3. The chamber component of claim 1 , further comprising an oxyfluoride layer having a thickness of between about 1 nm and about 100 nm.4. The chamber component of claim 1 , wherein the metal nitride layer comprises one or more of aluminum nitride claim 1 , titanium nitride claim 1 , and tantalum nitride.5. The chamber component of claim 1 , wherein the oxide layer comprises one or more of aluminum oxide claim 1 , lanthanum oxide claim 1 , hafnium oxide claim 1 , yttrium oxide claim 1 , zirconium oxide claim 1 , cerium oxide claim 1 , ...

Подробнее
01-09-2022 дата публикации

METHOD FOR TREATMENT OF DEPOSITION REACTOR

Номер: US20220277937A1
Принадлежит:

A system and method for treating a deposition reactor are disclosed. The system and method remove or mitigate formation of residue in a gas-phase reactor used to deposit doped metal films, such as aluminum-doped titanium carbide films or aluminum-doped tantalum carbide films. The method includes a step of exposing a reaction chamber to a treatment reactant that mitigates formation of species that lead to residue formation. 1. A method for treating a reaction chamber , the method comprising:providing a deposition reactor comprising the reaction chamber;providing a metal halide source comprising a metal halide fluidly coupled to the deposition reactor;providing a metal CVD source comprising a metal CVD precursor selected from the group consisting of one or more of organometallic compounds and aluminum CVD compounds fluidly coupled to the deposition reactor;providing a treatment reactant source comprising a treatment reactant chemistry coupled to the deposition reactor;providing a vacuum pump coupled to the deposition reactor; and introduce the metal halide and the metal CVD precursor to the reaction chamber to form a deposited doped metal carbide film overlying a substrate and a first residue buildup in the reaction chamber, wherein the first residue buildup results from this step of introducing the metal halide and the metal CVD precursor;', 'provide the treatment reactant chemistry from the treatment reactant source to the reaction space to densify the first residue buildup in the reaction space to form a densified residue buildup;', 'remove the substrate from the reaction chamber; and', 'after the step of removing, introduce the treatment reactant chemistry to the reaction chamber to perform a reaction chamber treatment to further densify the densified residue buildup,, 'using a controller towherein the metal halide source, the metal CVD source, and the treatment reactant source are different and separate from each other before being introduced to the reaction ...

Подробнее
02-05-2019 дата публикации

Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS

Номер: US20190131113A1
Принадлежит:

A semiconductor process chamber component including an article coated with a protective coating that may have YOat a concentration of about 10 molar % to about 65 molar % and SiOat a concentration of about 35 molar % to about 90 molar %. 1. A semiconductor process chamber component comprising:an article; and{'sub': 2', '3', '2, 'a protective ceramic material coating comprising at least one phase material, wherein the at least one phase material comprises YOat a concentration of about 10 molar % to about 65 molar % and SiOat a concentration of about 35 molar % to about 90 molar %.'}2. The semiconductor process chamber component of claim 1 , wherein the article is selected from a group consisting of an electrostatic chuck claim 1 , a nozzle claim 1 , a gas distribution plate claim 1 , a shower head claim 1 , an electrostatic chuck component claim 1 , a chamber wall claim 1 , a liner claim 1 , a liner kit claim 1 , a chamber lid claim 1 , a single ring claim 1 , a gas line claim 1 , and a processing kit ring.3. The semiconductor process chamber component of claim 1 , wherein the at least one phase material comprises YOat a concentration of about 20 molar % to about 60 molar % and SiOat a concentration of about 40 molar % to about 80 molar %.4. The semiconductor process chamber component of claim 1 , wherein the at least one phase material comprises YOat a concentration of about 25 molar % to about 55 molar % and SiOat a concentration of about 45 molar % to about 75 molar %.5. The semiconductor process chamber component of claim 1 , wherein the at least one phase material comprises YOat a concentration of about 40 molar % to about 50 molar % and SiOat a concentration of about 50 molar % to about 60 molar %.6. The semiconductor process chamber component of claim 1 , wherein the at least one phase material comprises a composition selected from the group consisting of:{'sub': 2', '3', '2, 'a) YOat a concentration of about 65 molar % and SiOat a concentration of about 35 ...

Подробнее
23-04-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20200126816A1
Принадлежит:

A substrate processing apparatus includes a processing vessel; a placing table provided within the processing vessel and configured to place a substrate thereon; and a component disposed between the processing vessel and the placing table, the component constituting an anode. The component has a flow path through which a heat exchange medium flows. 1. A substrate processing apparatus , comprising:a reaction chamber; andan anode component disposed in the reaction chamber, the anode component having a flow path for a heat exchange fluid.2. The substrate processing apparatus of claim 1 ,wherein the reaction chamber has a first opening,the anode component includes:a deposition shield having a second opening at a position corresponding to the first opening; anda shutter configured to open or close the second opening, whereinat least one of the deposition shield and the shutter has the flow path.3. The substrate processing apparatus of claim 1 ,wherein the anode component is a baffle plate disposed in an exhaust device and the baffle plate has the flow path.4. The substrate processing apparatus of claim 1 ,wherein the anode component having the flow path includes a heat exchange promoter that increases a contact area with the heat exchange fluid.5. The substrate processing apparatus of claim 1 ,wherein the anode component having the flow path includes a frame having an internal space, a partition defining the flow path in the internal space, and a heat exchange promoter disposed in the flow path.6. The substrate processing apparatus of claim 5 ,wherein the heat exchange promoter supports the frame.7. The substrate processing apparatus of claim 5 ,wherein the frame, the partition and the heat exchange promoter are integrated into a single structure.8. The substrate processing apparatus of claim 1 ,wherein the anode component having the flow path is formed by a 3D printing technique or an additive manufacturing technique.9. The substrate processing apparatus of claim 3 , ...

Подробнее
09-05-2019 дата публикации

METHODS OF MINIMIZING PARTICLES ON WAFER FROM PLASMA SPRAY COATINGS

Номер: US20190135704A1
Принадлежит:

Methods comprise performing two or more thermal cycles on an article comprising a body and a ceramic coating. Each thermal cycle of the two or more thermal cycles comprise heating the ceramic article to a target temperature at a first ramping rate. Each thermal cycle further comprises maintaining the article at the target temperature for a first duration of time and then cooling the article to a second target temperature at a second ramping rate. The method further comprises submerging the article in a bath for a second duration of time to remove the particles from the ceramic coating. 1. A method comprising:performing two or more thermal cycles on a ceramic article comprising a body and a ceramic coating on at least one surface of the body, wherein the two or more thermal cycles expand and contract the ceramic coating to weaken bonds of particles to the ceramic coating, and wherein each thermal cycle of the two or more thermal cycles comprises:heating the ceramic article to a target temperature of about 100° C. to about 150° C. at a first ramping rate;maintaining the ceramic article at the target temperature for a first duration of time; andcooling the ceramic article to a second target temperature at a second ramping rate; andsubmerging the ceramic article in a bath for a second duration of time to remove the particles from the ceramic coating.2. The method of claim 1 , wherein the ceramic coating consists essentially of at least one of YO claim 1 , AlO claim 1 , ErO claim 1 , GdO claim 1 , YF claim 1 , NdO claim 1 , ErAlO claim 1 , ErAlO claim 1 , ErAlO claim 1 , GdAlO claim 1 , GdAlO claim 1 , NdAlO claim 1 , NdAlO claim 1 , NdAlO claim 1 , YAlO claim 1 ,YAlO claim 1 , YAlO(YAG) claim 1 , a solid solution of YO—ZrO claim 1 , a ceramic compound comprising YAlOand a solid-solution of YO—ZrO claim 1 , or a ceramic compound comprising YO claim 1 , ErO claim 1 , ZrO claim 1 , GdOand SiO.3. The method of claim 1 , wherein the first ramping rate is about 3° C. per ...

Подробнее
30-04-2020 дата публикации

HIGH TEMPERATURE COATINGS FOR A PRECLEAN AND ETCH APPARATUS AND RELATED METHODS

Номер: US20200131634A1
Принадлежит:

A coating and a method to form the coating is proposed for a semiconductor film pre-clean and etch apparatus. The coating may be employed in environments where it is difficult to use a traditional coating or coating method. The coatings provide advantages including: an ability to effectively deliver hydrogen radicals and fluorine radicals to a wafer surface in one apparatus or individually in two apparatuses; a coverage of high aspect ratio features on critical components; an operability in high temperatures exceeding 150° C.; and a protection of a part with high aspect ratio features underneath the coating, thereby preventing metal and particles on a processed wafer. 1. A semiconductor film pre-clean/etch apparatus comprising:a reaction chamber;a wafer holder within the reaction chamber configured to hold a semiconductor wafer;a gas transport path configured to ensure a gas delivery to the reaction chamber and a uniform mixture of at least two gases;a gas distribution device for dispersing a gas across the semiconductor wafer;a gas manifold to helps deliver hydrogen radical to wafer edge; anda remote plasma unit that converts a first gas provided by a first gas source into a radical gas;wherein at least one of the wafer holder, the reaction chamber, the gas transport path, the gas distribution device, the gas manifold, or the remote plasma unit comprises a coating with a first layer and a second layer;wherein at least one of the first layer or the second layer of the coating is formed by atomic layer deposition (ALD); andwherein the first layer and the second layer comprise different materials.2. The apparatus of claim 1 , wherein the first layer is on the gas distribution device and a thickness of the first layer ranges between: 1-10 claim 1 ,000 nm; 10-2 claim 1 ,500 nm; or 100-500 nm.3. The apparatus of claim 1 , wherein the second layer is on the gas distribution device and a thickness of the second layer ranges between: 1-10 claim 1 ,000 nm; 10-2 claim 1 ,500 ...

Подробнее
08-09-2022 дата публикации

Substrate processing apparatus, substrate processing method, gas regeneration system, and gas regeneration method

Номер: US20220285141A1
Автор: Horoyuki Mizuno
Принадлежит: Kioxia Corp

According to one embodiment, there is provided a gas regeneration method. The method includes setting a predetermined standard on a basis of a flow rate of rare gas set in a processing recipe. The method includes selecting a rare gas recovery step on a basis of the predetermined standard. The method includes, in the rare gas recovery step, guiding emission gas from a predetermined chamber to a rare gas regenerator. The method includes, in a step other than the rare gas recovery step, causing the emission gas to bypass the rare gas regenerator to discharge the emission gas.

Подробнее
26-05-2016 дата публикации

PRE-CLEANING CHAMBER AND A SEMICONDUCTOR PROCESSING APPARATUS CONTAINING THE SAME

Номер: US20160148789A1
Принадлежит:

The present disclosure provides a pre-cleaning chamber. The pre-cleaning chamber includes a cavity, a top cover of the cavity, and an ion filtering unit with venting holes. The ion filtering unit is configured to divide the cavity into an upper sub-cavity and a lower sub-cavity and to filter out ions from plasma when the plasma is moving through the filtering unit from the upper sub-cavity to the lower sub-cavity. The pre-cleaning chamber further includes a carry unit located in the lower sub-cavity for supporting a wafer. 1. A pre-cleaning chamber , comprising:a cavity;a top cover of the cavity;an ion filtering unit with venting holes, the ion filtering unit being configured to divide the cavity into an upper sub-cavity and a lower sub-cavity and to filter out ions from plasma when the plasma is moving through the filtering unit from the upper sub-cavity to the lower sub-cavity; anda carrying unit located in the lower sub-cavity for supporting a wafer.2. The pre-cleaning chamber according to claim 1 , wherein:the ion filtering unit includes one or more filtering plates; andeach filtering plate includes a plurality of venting holes distributed in the filtering plate, and at least one of the filtering plates includes venting holes with maximum diameters no greater than a sheath thickness of plasma times two.3. The pre-cleaning chamber according to claim 2 , wherein the ion filtering unit includes one filtering plate claim 2 , the one filtering plate dividing the cavity into the upper sub-cavity and the lower sub-cavity claim 2 , the plurality of venting holes connecting the upper sub-cavity and the lower sub-cavity.4. The pre-cleaning chamber according to claim 2 , wherein the ion filtering unit includes N filtering plates arranged vertically in the cavity claim 2 , N being an integer greater than 1; and the filtering plates dividing the cavity into the lower sub-cavity claim 2 , (N−1) middle sub-cavities claim 2 , and the upper sub-cavity.5. The pre-cleaning chamber ...

Подробнее
24-05-2018 дата публикации

PLASMA ETCHING DEVICE WITH PLASMA ETCH RESISTANT COATING

Номер: US20180144909A1
Принадлежит:

A method for coating a part body for use in a plasma processing chamber is provided. The part body is received into a chamber. At least part of a surface of the part body is coated by physical vapor deposition or chemical vapor deposition with a coating with a thickness of no more than 30 microns consisting essentially of a Lanthanide series or Group III or Group IV element in an oxyfluoride. 1. A method for coating a part body for use in a plasma processing chamber , comprising:receiving the part body; andcoating by physical vapor deposition or chemical vapor deposition at least part of a surface of the part body with a coating with a thickness of no more than 30 microns consisting essentially of a Lanthanide series or Group III or Group IV element in an oxyfluoride.2. The method claim 1 , as recited in claim 1 , wherein the coating by physical vapor deposition or chemical vapor deposition provides a coating with a porosity of less than 1%.3. The method claim 1 , as recited in claim 1 , wherein the part body is made of ceramic.4. The method claim 1 , as recited in claim 1 , wherein the part body includes at least one of a RF window and/or a gas injector.5. The method claim 1 , as recited in claim 1 , wherein the coating by physical vapor deposition or chemical vapor deposition comprises coating by electron beam physical vapor deposition.6. The method claim 1 , as recited in claim 1 , wherein the coating by physical vapor deposition or chemical vapor deposition comprises coating by ion assisted electron beam deposition.7. The method claim 1 , as recited in claim 1 , wherein the coating by physical vapor deposition or chemical vapor deposition provides a coating consisting essentially of yttrium oxyfluoride.8. The method claim 1 , as recited in claim 1 , wherein the coating by physical vapor deposition or chemical vapor deposition provides a coating with a thickness of 2-18 μm.9. The method claim 1 , as recited in claim 1 , wherein the coating by physical vapor ...

Подробнее
01-06-2017 дата публикации

CORROSION CONTROL FOR CHAMBER COMPONENTS

Номер: US20170152968A1
Принадлежит:

Implementations described herein protect a chamber components from corrosive cleaning gases used at high temperatures. In one embodiment, a chamber component includes at least a bellows that includes a top mounting flange coupled to a bottom mounting flange by a tubular accordion structure. A coating is disposed on an exterior surface of at least the tubular accordion structure. The coating includes of at least one of polytetrafluoroethylene, parylene C, parylene D, diamond-like carbon (DLC), yttria stabilized zirconia, nickel, alumina, or aluminum silicon magnesium yttrium oxygen compound. In one embodiment, the chamber component is a valve having an internal bellows. 1. A bellows , comprising:a top mounting flange;a bottom mounting flange;a tubular accordion structure coupling the mounting flanges; anda coating disposed on an exterior surface of at least the tubular accordion structure, the coating comprised of at least one of polytetrafluoroethylene (PTFE), parylene C, parylene D, diamond-like carbon, yttria stabilized zirconia, nickel alumina, or aluminum silicon magnesium yttrium oxygen compound.2. The bellows of claim 1 , wherein the tubular accordion structure is fabricated from at least one of stainless steel or nickel-chromium alloy.3. The bellows of claim 1 , wherein the coating has a thickness of between about 0.5 microns to about 5 microns for diamond-like carbon material.4. The bellows of claim 1 , wherein the coating comprises a plurality of layers.5. The bellows of claim 4 , wherein the plurality of layers are fabricated from at least two different materials.6. The bellows of further comprising:a bonding layer disposed between at least two of the plurality of layers.7. The bellows of claim 6 , wherein the bonding layer is formed from at least one of chromium or titanium or nickel or its alloys.8. The bellows of claim 4 , wherein each layer of the plurality of layers of the coating has a thickness of between about 0.25 microns to about 3 microns.9. The ...

Подробнее
01-06-2017 дата публикации

SUBSTRATE PROCESSING METHOD AND APPARATUS THEREOF

Номер: US20170154780A1
Автор: Wan Lei, Yang Ping
Принадлежит:

The invention relates to a substrate processing method and relevant apparatus. The method includes: placing the substrate into a reactor consist by several walls; delivering etching gas to the reactor to etch the substrate; receiving optical signal from the reactor with the inspection window arranged on at least one of said walls to determine the endpoint of etching; generating protective gas flow in the inspection window during etching process, to prevent or reduce the etching gas or etching by-product flow toward the inspection window. This invention could improve the accuracy and stability of etching endpoint detection. 1. A substrate processing method including:placing the substrate into a reactor consisted by several walls;delivering etching gas to the reactor to etch the substrate;receiving optical signal from the reactor at the inspection window arranged on at least one of said walls to determine the endpoint of etching;generating protective gas flow at the inspection window during the etching process, to prevent or reduce the etching gas or etching by-product flow toward the inspection window.2. The substrate processing method of claim 1 , wherein claim 1 , the protective gas flow is a vertical gas curtain formed at the inspection window during the etching process claim 1 , to prevent or reduce the etching gas or etching by-product flow toward the inspection window.3. The substrate processing method of claim 1 , wherein claim 1 , a ring is arranged inside the reactor claim 1 , and the substrate is surrounded by the ring claim 1 , the path of protective gas is defined by the wall which the inspection window located and said ring.4. The substrate processing method of claim 3 , wherein claim 3 , an opening close to the inspection window is arranged in the ring claim 3 , so that the sensor could receive optical signal from the reactor at the inspection window.5. The substrate processing method of claim 3 , wherein claim 3 , said protective gas flow includes ...

Подробнее
17-06-2021 дата публикации

Apparatus For Reducing Wafer Contamination During ION-Beam Etching Processes

Номер: US20210183627A1
Принадлежит: International Business Machines Corp

An ion beam etching tool comprises a chuck configured to electrostatically receive a wafer; a plasma source configured to introduce an ion beam to the wafer; and a shield on the chuck and configured to shield the chuck from the ion beam. The shield comprises a material that is configured to be one of removable from the wafer or inert with regard to a semiconductor device on the wafer.

Подробнее
24-06-2021 дата публикации

CERAMIC SHOWERHEADS WITH CONDUCTIVE ELECTRODES

Номер: US20210189564A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing chamber showerheads may include a dielectric plate characterized by a first surface and a second surface opposite the first surface. The dielectric plate may define a plurality of apertures through the dielectric plate. The dielectric plate may define a first annular channel in the first surface of the dielectric plate, and the first annular channel may extend about the plurality of apertures. The dielectric plate may define a second annular channel in the first surface of the dielectric plate. The second annular channel may be formed radially outward from the first annular channel. The showerheads may also include a conductive material embedded within the dielectric plate and extending about the plurality of apertures without being exposed by the apertures. The conductive material may be exposed at the second annular channel. 1. A semiconductor processing chamber showerhead comprising:a plate comprising a first dielectric material, the plate characterized by a first surface and a second surface opposite the first surface, wherein the plate defines a plurality of apertures through the plate;a conductive material disposed on the first surface of the plate, wherein the conductive material is maintained at a first radial distance from each aperture of the plurality of apertures; anda coating comprising a second dielectric material, wherein the coating extends across the conductive material, and wherein the coating is maintained at a second radial distance from each aperture of the plurality of apertures, wherein the second radial distance is less than the first radial distance.2. The semiconductor processing chamber showerhead of claim 1 , wherein the conductive material is exposed at a radial edge of the showerhead to provide electrical coupling of the showerhead.3. The semiconductor processing chamber showerhead of claim 2 , wherein the showerhead defines an annular channel in the first surface of the plate radially outward of the ...

Подробнее
22-09-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS INCLUDING SHOWER HEAD AND EDGE RING AND RELATED METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES

Номер: US20220301827A1
Принадлежит:

A manufacturing method includes depositing a chamber protective layer in a chamber, supplying a first purge gas to the chamber, transferring a substrate to the chamber, the substrate being disposed inside an edge ring on an electrostatic chuck, processing the substrate, supplying a second purge gas to the chamber, transferring the substrate to an outside of the chamber, removing the chamber protective layer, and supplying a third purge gas to the chamber. Variation of the surface roughness of the edge ring may be minimal. A ratio of an edge gas flow rate of gas supplied to an edge of the substrate and the edge ring to a central gas flow rate of gas supplied to a central portion of the substrate in the processing the substrate may be 0.05 to 19. The flow rate ratio may be more than 1 in the supplying the second purge gas. 1. A method of manufacturing a semiconductor device comprising:depositing a chamber protective layer in a chamber;supplying a first purge gas to an interior of the chamber;transferring a substrate to the interior of the chamber, the substrate being disposed on an electrostatic chuck and surrounded by an edge ring of the electrostatic chuck;processing the substrate;supplying a second purge gas to the interior of the chamber;transferring the substrate to outside of the chamber;wherein the surface roughness Ra of the edge ring is 0.05 μm or less,wherein a ratio of an edge gas flow rate of gas supplied to an edge of the substrate and the edge ring to a central gas flow rate of gas supplied to a central portion of the substrate when the processing the substrate is in the range of 0.05 to 19,wherein the ratio of the edge gas flow rate to the central gas flow rate is more than 1 when supplying the second purge gas.2. The manufacturing method according to claim 1 ,wherein the chamber includes a shower head assembly configured to supply gas to the interior of the chamber, and a processing space is defined by an inner wall of the chamber and the shower head ...

Подробнее
22-09-2022 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20220301832A1
Принадлежит:

A plasma processing apparatus includes a plasma processing chamber; a plasma generator configured to generate a plasma in the plasma processing chamber; a substrate support disposed in the plasma processing chamber; a first conductive ring disposed to surround a substrate on the substrate support; an insulating ring disposed to surround the first conductive ring; and a second conductive ring disposed to surround the insulating ring, and connected to a ground potential. 1. A plasma processing apparatus , comprising:a plasma processing chamber;a plasma generator configured to generate a plasma in the plasma processing chamber;a substrate support disposed in the plasma processing chamber;a first conductive ring disposed to surround a substrate on the substrate support;an insulating ring disposed to surround the first conductive ring; anda second conductive ring disposed to surround the insulating ring, and connected to a ground potential.2. The plasma processing apparatus of claim 1 ,wherein the second conductive ring is disposed on an outer sidewall of the insulating ring.3. The plasma processing apparatus of claim 1 ,wherein the second conductive ring is disposed such that a top surface of the second conductive ring is the same height as a top surface of the insulating ring.4. The plasma processing apparatus of claim 1 ,wherein the second conductive ring is disposed such that a top surface of the second conductive ring is higher than a top surface of the insulating ring.5. The plasma processing apparatus of claim 1 ,wherein the insulating ring is made of quartz or alumina.6. The plasma processing apparatus of claim 1 ,wherein the first conductive ring is made of any one of silicon (Si), silicon carbide (SiC), and silicon oxide.7. The plasma processing apparatus of claim 1 ,wherein the second conductive ring is made of any one of silicon (Si), silicon carbide (SiC), and silicon oxide.8. The plasma processing apparatus of claim 1 ,wherein the second conductive ring has ...

Подробнее
23-05-2019 дата публикации

PLASMA SPRAY COATING ENHANCEMENT USING GRADUATED PARTICLE FEED RATE

Номер: US20190157047A1
Принадлежит:

A method for forming a ceramic coating on an article includes placing the article into a chamber or spray cell of a plasma spraying system. A first ceramic powder is then fed into the plasma spraying system at a first powder feed rate, and a first layer of a plasma resistant ceramic coating is deposited onto at least one surface of the article in a plasma spray process by the plasma spray system. The powder feed rate is adjusted to a second powder feed rate, and a second layer of the plasma resistant ceramic coating is deposited onto the at least one surface of the article in the plasma spray process by the plasma spray system. 1. A method , comprising:feeding a first ceramic powder into a plasma spraying system at a first powder feed rate of about 10-200 grams per minute;depositing a first layer of a ceramic coating on at least one surface of an article in a first phase of a plasma spray process by the plasma spray system using the first powder feed rate;subsequently feeding at least one of the first ceramic powder or a second ceramic powder into the plasma spraying system at a second powder feed rate that is lower than the first powder feed rate, wherein the second powder feed rate is 10-90% lower than the first powder feed rate; anddepositing a second layer of the ceramic coating over the first layer on the at least one surface of the article in a second phase of the plasma spray process by the plasma spray system using the second powder feed rate, wherein the first powder feed rate causes a higher deposition rate than the second powder feed rate, and wherein the second powder feed rate causes a surface of the ceramic coating to have a first quantity of surface particles, a first average surface roughness and a first porosity that are lower than a respective second quantity of surface particles, a second average surface roughness and a second porosity that would be generated with use of the first powder feed rate for the second layer.2. The method of claim 1 , ...

Подробнее
14-05-2020 дата публикации

SUBSTRATE PROCESSING CHAMBER COMPONENT ASSEMBLY WITH PLASMA RESISTANT SEAL

Номер: US20200152425A1
Принадлежит:

Embodiments disclosed herein relate to a substrate processing chamber component assembly with plasma resistant seal. In one embodiment, the semiconductor processing chamber component assembly includes a first semiconductor processing chamber component, a second semiconductor processing component, and a sealing member. The sealing member has a body formed substantially from polytetrafluoroethylene (PTFE). The sealing member provides a seal between the first and second semiconductor processing chamber components. The body includes a first surface, a second surface, a first sealing surface, and a second sealing surface. The first surface is configured for exposure to a plasma processing region. The second surface is opposite the first surface. The first sealing surface and the second sealing surface extend between the first surface and the second surface. The first sealing surface contacts the first semiconductor processing chamber component. The second sealing surface contacts the second semiconductor processing chamber component. 1. A semiconductor processing chamber component assembly , comprising:a first semiconductor processing chamber component;a second semiconductor processing chamber component; and a first side configured for exposure to a plasma processing region;', 'a second side opposite the first side;', 'a first sealing surface extending between the first side and the second side, the first sealing surface contacting the first semiconductor processing chamber component; and', 'a second sealing surface extending between the first side and the second side, the second sealing surface contacting the second semiconductor processing chamber component, wherein the first sealing surface, the second sealing surface, the first side, and the second side include a surface finish in the range of 1-30 pinches., 'a sealing member providing a seal between the first and second semiconductor processing chamber components, the sealing member having a body including a first ...

Подробнее
14-05-2020 дата публикации

SEMICONDUCTOR REACTOR AND METHOD FOR FORMING COATING LAYER ON METAL BASE MATERIAL FOR SEMICONDUCTOR REACTOR

Номер: US20200152426A1
Принадлежит:

A method for forming a coating layer on a metal base material for a semiconductor reactor according to an aspect of the present invention comprises the steps of: immersing a metal base material for a semiconductor reactor in an aqueous alkaline electrolyte solution containing NaOH and NaAlO; and connecting an electrode to the metal base material and supplying power to the electrode to form a coating layer on the metal base material through a plasma electrolytic oxidation (PEO) method. 1. A method for forming a coating layer on a metal base material for a semiconductor reactor , the method comprising:{'sub': '2', 'a step of immersing a metal base material for a semiconductor reactor in an aqueous alkaline electrolyte solution containing NaOH and NaAlO; and'}a step of forming a coating layer on the metal base material by a plasma electrolytic oxidation (PEO) method, by connecting an electrode to the metal base material and supplying power to the electrode.2. The method for forming a coating layer on a metal base material for a semiconductor reactor according to claim 1 , wherein the metal base material comprises an aluminum alloy claim 1 ,the electrolyte further comprises an yttrium salt, andthe coating layer comprises an aluminum oxide layer therein, and comprises a composite oxide layer of an aluminum oxide and an yttrium oxide at a surface thereof.3. The method for forming a coating layer on a metal base material for a semiconductor reactor according to claim 2 , wherein the composite oxide layer further comprises an aluminum-yttrium oxide.4. The method for forming a coating layer on a metal base material for a semiconductor reactor according to claim 2 , wherein the electrolyte comprises Y(NO)as the yttrium salt.5. The method for forming a coating layer on a metal base material for a semiconductor reactor according to claim 1 , wherein in the step of forming the coating layer claim 1 , a bipolar pulse current claim 1 , which has longer application time of a ...

Подробнее
24-06-2021 дата публикации

Method and Apparatus for Plasma Etching

Номер: US20210193471A1
Принадлежит: SPTS Technologies Ltd

A structure comprising a substrate and a component which forms involatile metal etch products is plasma etched. A structure comprising a substrate and a component which forms involatile metal etch products is provided. The structure is positioned on a support within a chamber having a first gas inlet arrangement comprising one or more gas inlets and a second gas inlet arrangement comprising one or more gas inlets. The structure is etched by performing a first plasma etch step using a first etch process gas mixture which is only introduced into the chamber through the first gas inlet arrangement. The structure is further etched by performing a second plasma etch step using a second etch process gas mixture which is only introduced into the chamber through the second gas inlet arrangement.

Подробнее
14-06-2018 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20180166258A1
Принадлежит:

A substrate processing apparatus includes a partition comprising at least one through-hole, a conduit arranged in the partition through the through-hole, a gas supply unit connected to the conduit, and a low dielectric material provided between a side wall of the through-hole and the conduit. 1. A substrate processing apparatus comprising:a partition comprising at least one through-hole;a conduit arranged in the partition through the through-hole;a gas supply unit connected to the conduit; anda low dielectric material provided between a side wall of the through-hole and the conduit.2. The substrate processing apparatus of claim 1 , wherein the low dielectric material comprises air.3. The substrate processing apparatus of claim 2 , wherein at least one path for connecting the air and outside is formed in the substrate processing apparatus.4. The substrate processing apparatus of claim 3 , wherein the path is formed between the partition and the conduit.5. The substrate processing apparatus of claim 3 , wherein the path is formed in the partition.6. The substrate processing apparatus of claim 1 , wherein the partition comprises a protrusion protruding toward the gas supply unit claim 1 , andthe low dielectric material contacts one side surface of the protrusion.7. The substrate processing apparatus of claim 1 , wherein the partition comprises a step portion located in an area where the through-hole is formed claim 1 ,the conduit comprises a flange, andthe conduit is connected to the partition through a coupling between the flange and the step portion.8. The substrate processing apparatus of claim 7 , wherein a path communicated with outside air is formed between the step portion and the flange.9. The substrate processing apparatus of claim 1 , further comprising an insulating plate arranged between the partition and the gas supply unit.10. The substrate processing apparatus of claim 9 , further comprising a radio frequency (RF) rod connected to the gas supply unit by ...

Подробнее
30-05-2019 дата публикации

Plasma processing apparatus

Номер: US20190164726A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber in which plasma is generated, and a protection target member which is provided in the processing chamber and needs to be protected from consumption by the plasma. The protection target member is made of a material having a property of integrating radicals and/or anions or a protective layer containing the material is provided on a surface of the protection target member.

Подробнее
21-05-2020 дата публикации

Chamber Seasoning to Improve Etch Uniformity by Reducing Chemistry

Номер: US20200161094A1

Processes for surface treatment of a workpiece are provided. In one example implementation, a method can include conducting a pre-treatment process on a processing chamber to generate a hydrogen radical affecting layer on a surface of the processing chamber prior to performing a hydrogen radical based surface treatment process on a workpiece in the processing chamber. In this manner, a pretreatment process can be conducted to condition a processing chamber to increase uniformity of hydrogen radical exposure to a workpiece.

Подробнее
01-07-2021 дата публикации

Chamber Seasoning to Improve Etch Uniformity by Reducing Chemistry

Номер: US20210202214A1
Принадлежит:

Processes for surface treatment of a workpiece are provided. In one example implementation, a method can include conducting a pre-treatment process on a processing chamber to generate a hydrogen radical affecting layer on a surface of the processing chamber prior to performing a hydrogen radical based surface treatment process on a workpiece in the processing chamber. In this manner, a pretreatment process can be conducted to condition a processing chamber to increase uniformity of hydrogen radical exposure to a workpiece. 120.-. (canceled)21. A method for processing a workpiece , the workpiece comprising a semiconductor material , the method comprising:conducting a pre-treatment process on a processing chamber to generate a hydrogen radical affecting layer on a surface of the processing chamber;subsequent to performing the pre-treatment process, performing a hydrogen radical based surface treatment process on the workpiece in the processing chamber,wherein the hydrogen radical based surface treatment process exposes the workpiece to a plurality of hydrogen radicals in the processing chamber.22. The method of claim 21 , wherein the pre-treatment process comprises exposing the processing chamber to a silicon containing gas.23. The method of claim 22 , wherein the silicon containing gas comprises silane (SiH4) disilane (Si2H6) or trisilane (Si3H8).24. The method of claim 21 , wherein the pre-treatment process comprises coating the surface of the processing chamber with the hydrogen radical affecting layer.25. The method of claim 21 , wherein the hydrogen radical affecting layer comprises silicon dioxide (SiO).26. The method of claim 21 , wherein the hydrogen radical affecting layer comprises aluminum oxide (AlO).27. The method of claim 21 , wherein the processing chamber is separated from a plasma chamber by a separation grid.28. The method of claim 21 , wherein the plurality of hydrogen radicals are generated from a process gas using an inductively coupled plasma ...

Подробнее
02-07-2015 дата публикации

Substrate processing apparatus, shutter device and plasma processing apparatus

Номер: US20150187542A1
Автор: Toshifumi Ishida
Принадлежит: Tokyo Electron Ltd

Abnormal discharge is suppressed from occurring within a chamber. A plasma processing apparatus 1 includes a cylindrical chamber 10 having an opening 51 through which a processing target substrate is loaded into the chamber; a deposition shield 71 which is provided along an inner wall of the chamber 10 and has an opening 71 a at a position corresponding to the opening 51; and a shutter 55, having a plate shape, configured to open and close the opening 71 a. Further, in a state that the opening 71 a is closed by the shutter 55, an outer periphery of the shutter 55 is overlapped with the deposition shield 71 in a thickness direction of the shutter 55 and an inner periphery of the opening 71 a is overlapped with the shutter 55 in the thickness direction of the shutter 55.

Подробнее
18-09-2014 дата публикации

Apparatus and methods for reducing particles in semiconductor process chambers

Номер: US20140272211A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure generally provide various apparatus and methods for reducing particles in a semiconductor processing chamber. One embodiment of present disclosure provides a vacuum screen assembly disposed over a vacuum port to prevent particles generated by the vacuum pump from entering substrate processing regions. Another embodiment of the present disclosure provides a perforated chamber liner around a processing region of the substrate. Another embodiment of the present disclosure provides a gas distributing chamber liner for distributing a cleaning gas around the substrate support under the substrate supporting surface.

Подробнее
18-09-2014 дата публикации

Corrosion resistant aluminum coating on plasma chamber components

Номер: US20140272459A1
Принадлежит: Lam Research Corp

Components of semiconductor material processing chambers are disclosed, which may include a substrate and at least one corrosion-resistant coating formed on a surface thereof. The at least one corrosion-resistant coating is a high purity metal coating formed by a cold-spray technique. An anodized layer can be formed on the high purity metal coating. The anodized layer comprises a process-exposed surface of the component. Semiconductor material processing apparatuses including one or more of the components are also disclosed, the components being selected from the group consisting of a chamber liner, an electrostatic chuck, a focus ring, a chamber wall, an edge ring, a plasma confinement ring, a substrate support, a baffle, a gas distribution plate, a gas distribution ring, a gas nozzle, a heating element, a plasma screen, a transport mechanism, a gas supply system, a lift mechanism, a load lock, a door mechanism, a robotic arm and a fastener. Methods of making the components and methods of plasma processing using the components are also disclosed.

Подробнее
08-07-2021 дата публикации

NANOSECOND PULSER RF ISOLATION FOR PLASMA SYSTEMS

Номер: US20210210313A1
Принадлежит:

Embodiments of the invention include a plasma system. The plasma system includes a plasma chamber; an RF driver configured to drive bursts into the plasma chamber with an RF frequency; a nanosecond pulser configured to drive pulses into the plasma chamber with a pulse repetition frequency, the pulse repetition frequency being less than the RF frequency; a high pass filter disposed between the RF driver and the plasma chamber; and a low pass filter disposed between the nanosecond pulser and the plasma chamber. 1. A plasma system comprising:a plasma chamber comprising a plurality of walls and a wafer support when a plasma is created within the plasma chamber a wall-plasma sheath is formed between the plasma and the at least one of the plurality of walls, and a wafer-plasma sheath is formed between the plasma and a wafer disposed on the wafer support, wherein the capacitance of the wall-plasma sheath is at least about ten times greater than the capacitance of the wafer-plasma sheath;an RF driver drives bursts into the plasma chamber with an RF frequency;a nanosecond pulser drives pulses into the plasma chamber with a pulse repetition frequency, the pulse repetition frequency being less than the RF frequency;a first filter disposed between the RF driver and the plasma chamber; anda second filter disposed between the nanosecond pulser and the plasma chamber.2. The plasma system according to claim 1 , wherein the capacitance of the wafer-plasma sheath is less than about 1 nF.3. The plasma system according to claim 1 , wherein the RF driver drives bursts with a peak voltage greater than about 1 kV and with a frequency greater than about 1 Mhz.4. The plasma system according to claim 1 , wherein the nanosecond pulser drives pulses with a peak voltage greater than about 1 kV and with a frequency less than the frequency of the bursts produced by the RF generator.5. The plasma system according to claim 1 , wherein the first filter comprises a high pass filter and wherein the ...

Подробнее
11-06-2020 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: US20200185192A1
Принадлежит:

Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems. 1. A plasma processing apparatus , comprising:a lid assembly and a chamber body enclosing a processing region; and a support pedestal disposed in a central region of the chamber body fluidly sealed from the processing region;', 'a lower electrode supported by the support pedestal;', 'a first actuation device disposed within the central region and configured to vertically move the lower electrode a distance;', 'a central support member sealed to the chamber body and the lower electrode;', 'a plasma screen supported by the lower electrode and extending along a periphery of the substrate support assembly;', 'an upper liner having an inner wall that maintains an overlap with the plasma screen as the first actuation device moves the lower electrode to protect the substrate support assembly during processing;', 'a plurality of lift pins disposed in the substrate support assembly; and', 'a second actuation device disposed within the central region and configured to vertically move the plurality of lift pins, wherein the plurality of lift pins are coupled to a lift pin plate., 'a substrate support assembly disposed in the chamber body, wherein the substrate support assembly comprises2. The plasma processing apparatus of claim 1 , further comprising a vacuum tube fluidly coupled to one or more lift pin holes disposed within the lower electrode.3. The plasma ...

Подробнее
11-06-2020 дата публикации

MULTI-LAYER PLASMA RESISTANT COATING BY ATOMIC LAYER DEPOSITION

Номер: US20200185200A1
Принадлежит:

Described herein are articles, systems and methods where a plasma resistant coating is deposited onto a surface of a chamber component using an atomic layer deposition (ALD) process. The plasma resistant coating has a stress relief layer and a layer comprising a solid solution of YO—ZrOand uniformly covers features, such as those having an aspect ratio of about 3:1 to about 300:1. 1. A method comprising: [{'sub': 2', '3, 'depositing a first layer comprising amorphous AOon the surface to a thickness of about 10 nm to about 1.5 μm using the ALD process; and'}, {'sub': 2', '3', '2, 'depositing a second layer comprising a solid solution of YO—ZrOto a thickness of about 10 nm to about 1.5 μm on the first layer using the ALD process;'}], 'depositing a plasma resistant coating onto a surface of a chamber component using an atomic layer deposition (ALD) process, comprisingwherein the plasma resistant coating uniformly covers the surface of the chamber component, is resistant to cracking and delamination at a temperature of up to 350° C. and is porosity-free.2. The method of claim 1 , wherein depositing the first layer comprises: injecting an aluminum-containing precursor into a deposition chamber containing the chamber component to cause the aluminum-containing precursor to adsorb onto the surface of the chamber component; and', {'sub': 2', '3, 'injecting an oxygen-containing reactant into the deposition chamber to cause the oxygen-containing reactant to react with the aluminum-containing precursor and form AO; and'}], 'performing a deposition cycle comprisingrepeating the deposition cycle one or more times until a target thickness is achieved for the first layer.3. The method of claim 1 , wherein depositing the second layer comprises alternating deposition of YOand ZrOto form a phase comprising the solid solution of YO—ZrOby: performing one more deposition cycles comprising:injecting a yttrium-containing precursor into a deposition chamber containing the chamber component ...

Подробнее