Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1491. Отображено 100.
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
12-07-2012 дата публикации

Plasma cvd apparatus

Номер: US20120174864A1
Принадлежит: Kobe Steel Ltd

The disclosed plasma CVD apparatus ( 1 ) is provided with a vacuum chamber ( 3 ); a pair of deposition rollers ( 2, 2 ) disposed within the vacuum chamber ( 3 ) that are connected to both poles of an AC power supply and around which a substrate (W) is wound; a gas-supplying device ( 5 ) that supplies process gas containing a source gas to a deposition zone (D) which is a portion of or all of the region that is on one side of a line linking the centers of rotation of the pair of deposition rollers ( 2, 2 ); and a magnetic-field-generating device ( 7 ) that, by means of the AC power supply being applied to each of the deposition rollers ( 2, 2 ), forms a magnetic field that causes the source gas in a predetermined region to become plasma. The magnetic-field-generating device ( 7 ) causes the source gas in the region adjacent to the surface of the portion of the pair of deposition rollers ( 2, 2 ) located within the deposition zone (D) to become plasma, forming a plasma region (P). The substrate (W) is wound around the pair of deposition rollers ( 2, 2 ) so as to pass through the plasma region (P).

Подробнее
16-05-2013 дата публикации

Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel

Номер: US20130118589A1
Автор: Chaolin Hu, XING Chen
Принадлежит: MKS Instruments Inc

An assembly for adjusting gas flow patterns and gas-plasma interactions including a toroidal plasma chamber. The toroidal plasma chamber has an injection member, an output member, a first side member and a second side member that are all connected. The first side member has a first inner cross-sectional area in at least a portion of the first side member and a second inner cross-sectional area in at least another portion of the first side member, where the first inner cross-sectional area and the second inner-cross-sectional area being different. The second side member has a third inner cross-sectional area in at least a portion of the second side member and a fourth inner cross-sectional area in at least another portion of the second side member, where the third inner cross-sectional area and the fourth inner-cross-sectional area being different.

Подробнее
03-01-2019 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20190006186A1
Принадлежит:

A shape of a hole can be improved. The plasma etching method includes a recess forming of forming a recess having a depth smaller than a thickness of a silicon oxide film by etching the silicon oxide film by plasma; a removing process of removing a reaction product adhering to the recess by plasma generated from a fluorocarbon gas; and a penetrating process of forming a hole penetrating the silicon oxide film by etching the recess, from which the reaction product is removed, by plasma. 1. A plasma etching method , comprising:a recess forming process of forming a recess having a depth smaller than a thickness of a silicon oxide film by etching the silicon oxide film by plasma;a removing process of removing a reaction product adhering to the recess by plasma generated from a fluorocarbon gas; anda penetrating process of forming a hole penetrating the silicon oxide film by etching the recess, from which the reaction product is removed, by plasma.2. The plasma etching method of claim 1 ,wherein the recess forming process and the removing process are alternately repeated multiple times until a depth of the recess reaches a preset depth, andin the penetrating process, when the depth of the recess has reached the preset depth, the hole is formed by etching the recess, from which the reaction product is removed, by the plasma.3. The plasma etching method of claim 1 ,wherein the silicon oxide film is included in a multilayered film in which a silicon oxide film and a silicon nitride film are alternately stacked on top of each other.4. The plasma etching method of claim 3 , further comprising:a first extending process of extending the hole by etching, with plasma, a silicon nitride film exposed through the hole penetrating the silicon oxide film and a silicon oxide film formed under the silicon nitride film, anda second extending process of further extending the hole by etching, with plasma, a silicon nitride film exposed through the extended hole and a silicon oxide film ...

Подробнее
08-01-2015 дата публикации

MICROPLASMA JET DEVICES, ARRAYS, MEDICAL DEVICES AND METHODS

Номер: US20150008825A1

Preferred embodiments of the present invention include microplasma jet devices and arrays in various materials, and low temperature microplasma jet devices and arrays. These include preferred embodiment single microplasma jet devices and arrays of devices formed in monolithic polymer blocks with elongated microcavities. The arrays can be densely packed, for example having 100 jets in an area of a few square centimeters. Additional embodiments include metal/metal oxide microplasma jet devices that have micronozzles defined in the metal oxide itself. Methods of fabrication of microplasma jet devices are also provided by the invention, and the methods have been demonstrated as being capable of producing tailored micronozzle contours that are unitary with the material insulating electrodes. 1. A microplasma jet device comprising:a monolithic polymer;one or more elongated microcavities within said monolithic polymer, said elongated microcavities extending entirely through said monolithic polymer and being dimensioned to accept gas flow therethrough;electrodes buried within said monolithic polymer, disposed proximate to said elongated cavities such that said electrodes can generate and sustain plasma within said elongated cavities, but isolated from said elongated cavities by portions of said monolithic;a gas supply to direct gas flow through said elongated cavities; anda power supply to power said electrodes to generate plasma within said elongated cavities.2. The device of claim 1 , comprising an array of said elongated cavities.3. The device of claim 1 , wherein said gas supply supplies a low molecular weight atomic species.4. The device of claim 3 , wherein the low molecular weight atomic species comprises Helium claim 3 , Neon or Argon.5. The device of claim 1 , wherein said one or more elongated microcavities has a length to diameter ratio of at least 10:1.6. The device of claim 1 , wherein said electrodes comprise one of foils claim 1 , rods wires or metal layers.7 ...

Подробнее
27-01-2022 дата публикации

PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220028666A1
Автор: Hirayama Masaki
Принадлежит:

A plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, a dielectric plate, an upper electrode, an introduction part, a driving shaft, and an actuator. The stage is provided in the processing container. The dielectric plate is provided above the stage via a space in the processing container. The upper electrode has flexibility, is provided above the dielectric plate, and provides a gap between the dielectric plate and the upper electrode. The introduction part is an introduction part of radio frequency waves that are VHF waves or UHF waves, is provided at a horizontal end portion of the space. The driving shaft is coupled to the upper electrode on a central axial line of the processing container. The actuator is configured to move the driving shaft in a vertical direction. 113-. (canceled)14. A plasma processing apparatus comprising:a processing container;a stage provided in the processing container;a dielectric plate provided above the stage via a space in the processing container;an upper electrode having flexibility and being provided above the dielectric plate, the upper electrode being configured to provide a gap between the dielectric plate and the upper electrode;an introduction part of radio frequency waves that are VHF waves or UHF waves, the introduction part being provided at a horizontal end portion of the space;a driving shaft that is a central axial line of the processing container extending in a vertical direction and is coupled to the upper electrode on the central axial line including a center of the stage; andan actuator configured to move the driving shaft in the vertical direction.15. The plasma processing apparatus of claim 14 , further comprising:an elastic member interposed between a peripheral edge portion of the dielectric plate and the processing container,wherein the peripheral edge portion of the dielectric plate is elastically supported between the processing container and the upper ...

Подробнее
14-01-2016 дата публикации

System and Method for Protection of Vacuum Seals in Plasma Processing Systems

Номер: US20160013025A1
Принадлежит: MATTSON TECHNOLOGY, INC.

Systems and methods for protecting vacuum seals in a plasma processing system are provided. The processing system can include a vacuum chamber defining a sidewall and an inductive coil wrapped around at least a portion of the sidewall. A vacuum seal can be positioned between the sidewall of the vacuum chamber and a heat sink. A thermally conductive bridge can be coupled between the sidewall and heat sink. Further, the thermally conductive bridge can be positioned relative to the vacuum seal such that the thermally conductive bridge redirects a conductive heat path from the sidewall or any heat source to the heat sink so that the heat path bypasses the vacuum seal. 1. A plasma processing system , comprising:a vacuum chamber defining a sidewall;a vacuum seal coupling the sidewall of the vacuum chamber to a heat sink; anda thermally conductive bridge coupled between the sidewall and the heat sink;wherein the thermally conductive bridge is positioned relative to the vacuum seal such that the thermally conductive bridge redirects a conductive heat path from a heat source to the heat sink so that the heat path bypasses the vacuum seal.2. The plasma processing system of claim 1 , wherein the bridge is flexible and conformable to the shape of the vacuum seal and vacuum chamber.3. The plasma processing system of claim 2 , wherein the bridge is elastic so that a contact to the heat source and to the heat sink can be made by compressing the bridge in at least one direction.4. The plasma processing system of claim 3 , wherein the bridge comprises a first component for making contact with the heat source and a second component for making contact with the heat sink.5. The plasma processing system of claim 1 , wherein the bridge comprises a heat conducting component and elastic component coupled to the heat conducting component.6. The plasma processing system of claim 1 , wherein the heat path conducts through at least a portion of the sidewall.7. The plasma processing system of ...

Подробнее
14-01-2021 дата публикации

PROCESS KIT WITH ADJUSTABLE TUNING RING FOR EDGE UNIFORMITY CONTROL

Номер: US20210013014A1
Принадлежит:

Process kits, processing chambers, and methods for processing a substrate are provided. The process kit includes an edge ring, a sliding ring, an adjustable tuning ring, and an actuating mechanism. The edge ring has a first ring component interfaced with a second ring component that is movable relative to the first ring component forming a gap therebetween. The sliding ring is positioned beneath the second ring component of the edge ring. The adjustable tuning ring is positioned beneath the sliding ring. The actuating mechanism is interfaced with the lower surface of the adjustable tuning ring and configured to actuate the adjustable tuning ring such that the gap between the first and second ring components is varied. In one or more examples, the sliding ring includes a matrix and a coating, the matrix contains an electrically conductive material and the coating contains an electrically insulting material. 1. A process kit for a substrate processing chamber , comprising:an edge ring having a first ring component and a second ring component, the first ring component interfaced with the second ring component such that the second ring component is movable relative to the first ring component forming a gap therebetween, and the second ring component having an upper surface and a lower surface;a sliding ring positioned beneath the second ring component, the sliding ring having an upper surface and a lower surface, and the upper surface of the sliding ring contacting the lower surface of the second ring component;an adjustable tuning ring positioned beneath the sliding ring, the adjustable tuning ring having an upper surface and a lower surface, and the upper surface of the adjustable tuning ring contacting the lower surface of the sliding ring;a cover ring at least partially adjacent to the second ring component, and wherein the cover ring is completely positioned radially outward of the adjustable tuning ring;a sleeve at least partially positioned beneath the cover ring ...

Подробнее
09-01-2020 дата публикации

METHODS AND APPARATUS FOR LINEAR SCAN PHYSICAL VAPOR DEPOSITION WITH REDUCED CHAMBER FOOTPRINT

Номер: US20200013592A1
Принадлежит:

Apparatus and method for physical vapor deposition (PVD) are provided. The apparatus can include a linear PVD source to provide a stream of material flux comprising material to be deposited on a substrate; a substrate support having a support surface to support the substrate at a non-perpendicular angle to the stream of material flux, wherein the substrate support and linear PVD source are movable with respect to each other along an axis that is parallel to a plane of the support surface of the substrate support sufficiently to cause the stream of material flux to move completely over a surface of the substrate disposed on the substrate support during operation; and a selectively sealable aperture disposed between the linear PVD source and the substrate support, the selectively sealable aperture including two movable shields that are independently movable and configured to control a size and location of the selectively sealable aperture. 1. An apparatus for physical vapor deposition (PVD) , comprising:a linear PVD source to provide a stream of material flux comprising material to be deposited on a substrate;a substrate support having a support surface to support the substrate at a non-perpendicular angle to the stream of material flux, wherein the substrate support and linear PVD source are movable with respect to each other along an axis that is parallel to a plane of the support surface of the substrate support sufficiently to cause the stream of material flux to move completely over a surface of the substrate disposed on the substrate support during operation; anda selectively sealable aperture disposed between the linear PVD source and the substrate support, the selectively sealable aperture including two movable shields that are independently movable and configured to control a size and location of the selectively sealable aperture.2. The apparatus of claim 1 , wherein the substrate support is configured to rotate the substrate within the plane of the substrate ...

Подробнее
18-01-2018 дата публикации

SUBSTRATE PROCESSING CHAMBER COMPONENT ASSEMBLY WITH PLASMA RESISTANT SEAL

Номер: US20180019104A1
Принадлежит:

Embodiments disclosed herein relate to a substrate processing chamber component assembly with plasma resistant seal. In one embodiment, the semiconductor processing chamber component assembly includes a first semiconductor processing chamber component, a second semiconductor processing component, and a sealing member. The sealing member has a body formed substantially from polytetrafluoroethylene (PTFE). The sealing member provides a seal between the first and second semiconductor processing chamber components. The body includes a first surface, a second surface, a first sealing surface, and a second sealing surface. The first surface is configured for exposure to a plasma processing region. The second surface is opposite the first surface. The first sealing surface and the second sealing surface extend between the first surface and the second surface. The first sealing surface contacts the first semiconductor processing chamber component. The second sealing surface contacts the second semiconductor processing chamber component. 1. A semiconductor processing chamber component assembly , comprising:a first semiconductor processing chamber component;a second semiconductor processing chamber component; and a first surface configured for exposure to a plasma processing region;', 'a second surface opposite the first side;', 'a first sealing surface extending between the first side and the second side, the first sealing surface contacting the first semiconductor processing chamber component; and', 'a second sealing surface extending between the first side and the second side, the second sealing surface contacting the second semiconductor processing chamber component., 'a sealing member having a body formed substantially from polytetrafluoroethylene (PTFE) and providing a seal between the first and second semiconductor processing chamber components, the body comprising2. The semiconductor processing chamber component assembly of claim 1 , wherein the body includes a first ...

Подробнее
16-01-2020 дата публикации

Plasma Source Having a Dielectric Plasma Chamber with Improved Plasma Resistance

Номер: US20200022246A1
Принадлежит: BARCLAYS BANK PLC

A plasma chamber of a plasma processing system is provided. The plasma chamber defines a plasma channel having a first side and a second side oppositely disposed along a length of the plasma channel. The plasma chamber comprises a first section and a second section constructed from a dielectric material and an interface that bonds together the first and second sections at between a first flange of the first section and a third flange of the second section and between a second flange of the first section and a fourth flange of the second section.

Подробнее
10-02-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20220044913A1
Принадлежит:

A substrate processing apparatus having a simplified exhaust structure includes: a substrate supporting unit configured to support a substrate; a first lid on the substrate supporting unit, the first lid including at least one processing unit; a second lid under the first lid, the second lid including a partition wall; and a support arranged under the first lid and the second lid and including an opening and a seating portion on the opening, wherein the second lid is on the seating portion of the support. 1. A substrate processing apparatus comprising:a substrate supporting unit configured to support a substrate;a first lid on the substrate supporting unit, the first lid comprising at least one processing unit;a second lid under the first lid, the second lid comprising a partition wall; anda support arranged under the first lid and the second lid and comprising an opening and a seating portion on the opening,wherein the second lid is on the seating portion of the support.2. The substrate processing apparatus of claim 1 ,wherein a reaction space is formed between the substrate supporting unit and the processing unit, andan exhaust space is formed between the second lid and the support.3. The substrate processing apparatus of claim 2 ,wherein a first surface of the partition wall defines the reaction space, anda second surface of the partition wall defines the exhaust space.4. The substrate processing apparatus of claim 3 ,wherein the exhaust space is arranged to surround the reaction space,a channel connecting the reaction space and the exhaust space is formed under the partition wall, anda gas in the reaction space is exhausted to the outside through the channel, the exhaust space, and the opening.5. The substrate processing apparatus of claim 1 ,wherein the second lid is detachably fixed to the support.6. The substrate processing apparatus of claim 5 ,wherein the seating portion of the support includes a stepped structure, andthe second lid is arranged to be ...

Подробнее
24-01-2019 дата публикации

PROCESSING APPARATUS FOR TARGET OBJECT AND INSPECTION METHOD FOR PROCESSING APPARATUS

Номер: US20190027345A1
Принадлежит:

A processing apparatus includes a chamber main body; a stage having therein a first passage for coolant and a space communicating with the first passage; a first pipeline having a first end portion inserted into the space to be connected to the first passage and a second end portion connected to a coolant supply mechanism; and a first sealing member provided at a gap between a wall surface confining the space and the first end portion. A second passage having one end and the other end is formed within the stage. The one end of the second passage is connected to the gap. The first sealing member is contacted with the wall surface at a side of the first passage with respect to the second passage. The processing apparatus comprises a second pipeline connected to the other end thereof; and a detecting device connected to the second pipeline. 1. A processing apparatus for a target object , comprising:a chamber main body in which a chamber is provided;a stage, configured to support the target object placed thereon and provided within the chamber, having therein a first passage for a coolant and a space communicating with the first passage;a first pipeline having a first end portion inserted into the space to be connected to the first passage and a second end portion connected to a coolant supply mechanism; anda first sealing member provided at a gap between a wall surface confining the space and the first end portion and configured to seal the gap,wherein a second passage having one end and the other end is formed within the stage, and the one end of the second passage is connected to the gap, andthe first sealing member is in contact with the wall surface at a side of the first passage with respect to the second passage,wherein the processing apparatus further comprises:a second pipeline connected to the other end of the second passage; anda detecting device connected to the second pipeline and configured to detect an amount of the coolant flowing in the second pipeline. ...

Подробнее
28-01-2021 дата публикации

SHUTTER MECHANISM AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210027994A1
Принадлежит: TOKYO ELECTRON LIMITED

A shutter mechanism for opening and closing an opening of a cylindrical chamber of a substrate processing apparatus is provided. The shutter mechanism includes a valve body having a circumferential length of at least half of an inner circumference of the chamber, and two or more elevating mechanisms connected to a lower portion of the valve body and configured to vertically move the valve body. 1. A shutter mechanism for opening and closing an opening of a cylindrical chamber of a substrate processing apparatus , the shutter mechanism comprising:a valve body having a circumferential length of at least half of an inner circumference of the chamber; andtwo or more elevating mechanisms connected to a lower portion of the valve body and configured to vertically move the valve body.2. The shutter mechanism of claim 1 , wherein the valve body has an annular shape.3. The shutter mechanism of claim 1 , wherein the two or more elevating mechanisms include three or more elevating mechanisms.4. The shutter mechanism of claim 2 , wherein the two or more elevating mechanisms include three or more elevating mechanisms.5. The shutter mechanism of claim 1 , wherein the elevating mechanisms are arranged at equal intervals.6. The shutter mechanism of claim 2 , wherein the elevating mechanisms are arranged at equal intervals.7. The shutter mechanism of claim 3 , wherein the elevating mechanisms are arranged at equal intervals.8. The shutter mechanism of claim 1 , wherein the valve body has a conductive member on a conductive surface thereof to be in contact with an upper member disposed along an upper inner wall of the chamber.9. The shutter mechanism of claim 2 , wherein the valve body has a conductive member on a conductive surface thereof to be in contact with an upper member disposed along an upper inner wall of the chamber.10. The shutter mechanism of claim 3 , wherein the valve body has a conductive member on a conductive surface thereof to be in contact with an upper member ...

Подробнее
04-02-2016 дата публикации

Plasma processing devices having multi-port valve assemblies

Номер: US20160033977A1
Принадлежит: Lam Research Corp

A plasma processing device may include a plasma processing chamber, a plasma electrode assembly, a wafer stage, a plasma producing gas inlet, a plurality of vacuum ports, at least one vacuum pump, and a multi-port valve assembly. The multi-port valve assembly may comprise a movable seal plate positioned in the plasma processing chamber. The movable seal plate may comprise a transverse port sealing surface that is shaped and sized to completely overlap the plurality of vacuum ports in a closed state, to partially overlap the plurality of vacuum ports in a partially open state, and to avoid substantial overlap of the plurality of vacuum ports in an open state. The multi-port valve assembly may comprise a transverse actuator coupled to the movable seal plate and a sealing actuator coupled to the movable seal plate.

Подробнее
02-02-2017 дата публикации

METHOD AND DEVICE FOR GENERATING A PLASMA EXCITED BY A MICROWAVE ENERGY IN THE ELECTRON CYCLOTRON RESONANCE (ECR) DOMAIN, IN ORDER TO CARRY OUT A SURFACE TREATMENT OR PRODUCE A COATING AROUND A FILIFORM ELEMENT

Номер: US20170032939A1
Принадлежит:

According to the process, the filiform component is continuously linearly moved through magnetic dipoles arranged opposite each other and around a tube constituting a treatment chamber, and the microwave energy is introduced between at least two magnetic dipoles. 1- A process to generate a plasma excited by microwave energy in a field of electron cyclotron resonance (ECR) , to execute a surface treatment or coating around a filiform component , comprising:continuously linearly moving the filiform component through at least two magnetic dipoles arranged opposite each other and around a tube constituting a treatment chamber, andintroducing the microwave energy between the at least two magnetic dipoles.2- The process according to claim 1 , further including: executing a surface treatment comprising a cleaning claim 1 , a pickling claim 1 , a functionalisation claim 1 , or a grafting.3- The process according to claim 1 , further including: coating by PECVD (plasma-enhanced chemical vapour deposition).4- A device to generate a plasma around a continuously linearly driven filiform component and to produce microwave energy in a field of cyclotron resonance claim 1 , comprising: at least one module composed of two magnetic dipoles arranged opposite each other and mounted around a tube constituting a treatment chamber and through which the filiform component to be treated is linearly moved claim 1 , and a microwave applicator being mounted between the two dipoles.5- The device according to claim 4 , wherein the magnetic dipoles comprise annular magnets.6- The device according to claim 5 , wherein the annular magnets comprise permanent magnets.7- The device according to claim 5 , wherein the annular magnets comprise electromagnet coils.8- The device according to claim 4 , wherein the microwave applicator is arranged perpendicularly to a central axis of the tube.9- The device according to claim 4 , wherein the tube constitutes a Tee having a median branch and two other ...

Подробнее
04-02-2021 дата публикации

RADIO FREQUENCY POWER RETURN PATH

Номер: US20210032748A1
Принадлежит:

Embodiments presented herein are directed to radio frequency (RF) grounding in process chambers. In one embodiment, a dielectric plate is disposed between a chamber body and a lid of a process chamber. The dielectric plate extends laterally into a volume defined by the chamber body and the lid. A substrate support is disposed in the volume opposite the lid. The substrate support includes a support body disposed on a stem. The support body includes a central region and a peripheral region. The peripheral region is radially outward of the central region. The central region has a thickness less than a thickness of the peripheral region. A flange is disposed adjacent to a bottom surface of the peripheral region. The flange extends radially outward from an outer edge of the peripheral region. A bellows is disposed on the flange and configured to sealingly couple to the dielectric plate. 1. An apparatus , comprising:a chamber body and a lid defining a volume therein;a dielectric plate disposed between the chamber body and the lid, the dielectric plate extending laterally into the volume; a support body disposed on a stem, the support body including a central region and a peripheral region radially outward of the central region, the central region having a thickness less than a thickness of the peripheral region; and', 'a flange adjacent to a bottom surface of the peripheral region, the flange extending radially outward of an outer edge of the peripheral region; and, 'a substrate support disposed in the volume opposite the lid, the substrate support comprising2. The apparatus of claim 1 , further comprising a bellows disposed on the flange and configured to sealingly couple to the dielectric plate.3. The apparatus of claim 1 , further comprising a conductive rod extending through the stem.4. The apparatus of claim 3 , wherein the conductive rod is capable of coupling to ground.5. The apparatus of claim 1 , further comprising a ground plate disposed between the support body ...

Подробнее
17-02-2022 дата публикации

VACUUM PROCESSING APPARATUS AND METHOD FOR CONTROLLING VACUUM PROCESSING APPARATUS

Номер: US20220051876A1
Автор: Mori Kiyoshi
Принадлежит:

The present disclosure relates to a vacuum processing apparatus. The vacuum processing apparatus includes a processing container capable of maintaining an inside thereof in a vacuum atmosphere, a stage provided in the processing container and on which a substrate is placed, a support member passing through an opening formed at a bottom of the processing container to support the stage from below, a holder part located outside the processing container, a flange part arranged around the opening on the outside of the processing container, and a sealing part configured to be expandable and contractible and provided inside the spherical bearing along the circumferential direction of the opening so as to airtightly seal at least a space between the flange part and the holder part. 1. A vacuum processing apparatus comprising:a processing container capable of maintaining an inside thereof in a vacuum atmosphere;a stage provided in the processing container and on which a substrate is placed;a support member passing through an opening formed at a bottom of the processing container and supporting the stage from below;a holder part located outside the processing container, wherein an end of the support member is fixed to the holder part to be integrally movable with the stage, and the holder part includes an inner turn portion formed to cover the opening from the outside of the processing container and forming a movable part of a spherical bearing along a circumferential direction of the opening;a flange part arranged around the opening on the outside of the processing container, wherein the flange part includes an outer turn portion engaged with the inner turn portion and forming a fixed part of the spherical bearing; anda sealing part configured to be expandable and contractible and provided inside the spherical bearing along the circumferential direction of the opening so as to airtightly seal at least a space between the flange part and the holder part.2. The vacuum ...

Подробнее
12-02-2015 дата публикации

PLASMA PROCESSING CHAMBER WITH REMOVABLE BODY

Номер: US20150041062A1
Принадлежит: LAM RESEARCH CORPORATION

An apparatus for plasma processing a wafer is provided. A bottom plate is provided. A tubular chamber wall with a wafer aperture is adjacent to the bottom plate. A bottom removable seal provides a vacuum seal between the bottom plate and the tubular chamber wall at a first end of the tubular wall. A top plate is adjacent to the tubular chamber wall. A top removable seal provides a vacuum seal between a second end of the tubular wall and the top plate. A vertical seal is provided, where a vertical movement of the tubular wall allows the vertical seal to create a seal around the wafer aperture. A bottom alignment guide aligns the tubular chamber wall with the bottom plate. A top alignment guide aligns the top plate with the tubular chamber wall. A wafer chuck is disposed between the bottom plate and the top plate. 1. An apparatus for plasma processing a wafer , comprising:a bottom plate;a tubular chamber wall with a wafer aperture;a bottom removable seal for providing a vacuum seal between the bottom plate and the tubular chamber wall at a first end of the tubular wall;a top plate;a top removable seal for providing a vacuum seal between a second end of the tubular wall and the top plate;a vertical seal, wherein a vertical movement of the tubular wall allows the vertical seal to create a seal around the wafer aperture;a bottom alignment guide for aligning the tubular chamber wall with the bottom plate;a top alignment guide for aligning the top plate with the tubular chamber wall; anda wafer chuck disposed between the bottom plate and the top plate.2. An apparatus for plasma processing a wafer , comprising:a bottom plate;a tubular chamber wall;a bottom removable seal for providing a vacuum seal between the bottom plate and the tubular chamber wall at a first end of the tubular wall;a top plate;a top removable seal for providing a vacuum seal between a second end of the tubular wall and the top plate; anda wafer chuck disposed between the bottom plate and the top plate.3 ...

Подробнее
04-02-2021 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20210035786A1
Принадлежит:

A substrate processing apparatus having an improved exhaust structure includes a reaction space formed between a processing unit and a substrate support unit, an exhaust unit surrounding the reaction space, an exhaust port with a channel inside, a partition wall with an exhaust line inside, wherein the channel of the exhaust port connects the exhaust unit and the exhaust line. 1. A substrate processing apparatus comprising:a partition wall having an exhaust line therein;a substrate support unit included in the partition wall;a processing unit disposed above the substrate support unit;an exhaust unit connected to a reaction space between the substrate support unit and the processing unit; andan exhaust port connected to at least a part of the exhaust unit,wherein the exhaust port is configured to connect the exhaust unit and the exhaust line inside the partition wall.2. The substrate processing apparatus of claim 1 , wherein an exhaust space connected to the reaction space is defined in the exhaust unit.3. The substrate processing apparatus of claim 2 , wherein the exhaust unit comprises a barrier wall that limits a side portion of the reaction space.4. The substrate processing apparatus of claim 3 , wherein the exhaust unit further comprises:an outer wall disposed parallel to the barrier wall; anda connection wall extending to connect the barrier wall and the outer wall.5. The substrate processing apparatus of claim 4 , wherein the connection wall provides a contact surface between the exhaust unit and the processing unit.6. The substrate processing apparatus of claim 1 , further comprising:a first surface and a second surface,wherein the exhaust line extends along an edge between the first surface and the second surface.7. The substrate processing apparatus of claim 1 , further comprising:a support portion configured to support the processing unit and the exhaust unit,wherein the support portion is disposed between the exhaust port and the partition wall.8. The ...

Подробнее
04-02-2021 дата публикации

LIGHT SOURCE APPARATUS

Номер: US20210036477A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A light source apparatus includes an airtight container having a hemispherical or semielliptical first curved portion configured to receive laser light, a hemispherical or semielliptical second curved portion opposite to the first curved portion, and a cylindrical portion connecting the first curved portion and the second curved portion; assist gas sealed in the airtight container; and a light source configured to irradiate laser light to the first curved portion from outside of the airtight container. 1. A light source apparatus , comprising:an airtight container having a hemispherical or semielliptical first curved portion, a hemispherical or semielliptical second curved portion opposite to the first curved portion, and a cylindrical portion connecting the first curved portion and the second curved portion;assist gas sealed in the airtight container; anda light source configured to irradiate laser light to the first curved portion from outside of the airtight container.2. The light source apparatus of claim 1 , wherein the cylindrical portion further comprises a tip portion.3. The light source apparatus of claim 1 , wherein the second curved portion is provided with a tip portion claim 1 , the tip portion in a position other than an optical axis of the laser light.4. The light source apparatus of claim 1 , further comprising:a mirror reflecting laser light from the light source and irradiating the laser light to the first curved portion of the airtight container,wherein a curved shape of the first curved portion has a portion into which the laser light is vertically incident.5. The light source apparatus of claim 1 , wherein the assist gas comprises at least one of Ar claim 1 , Kr claim 1 , Xe claim 1 , He claim 1 , Ne claim 1 , N claim 1 , Br claim 1 , Cl claim 1 , I claim 1 , HO claim 1 , O claim 1 , H claim 1 , CH claim 1 , NO claim 1 , NO claim 1 , CHOH claim 1 , CHOH claim 1 , CO claim 1 , NH claim 1 , one or more metal halides claim 1 , a Ne/Xe mixture claim ...

Подробнее
24-02-2022 дата публикации

INTEGRATED EPITAXY AND PRECLEAN SYSTEM

Номер: US20220059342A1
Принадлежит:

Implementations of the present disclosure generally relates to a transfer chamber coupled to at least one vapor phase epitaxy chamber a plasma oxide removal chamber coupled to the transfer chamber, the plasma oxide removal chamber comprising a lid assembly with a mixing chamber and a gas distributor; a first gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a second gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a third gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; and a substrate support with a substrate supporting surface; a lift member disposed in a recess of the substrate supporting surface and coupled through the substrate support to a lift actuator; and a load lock chamber coupled to the transfer chamber. 1. A method of processing a substrate , comprising:{'sub': '3', 'removing oxide from a substrate by a process that includes exposing the substrate to a processing gas comprising NH, HF, and radicals; and'}forming a film on the substrate by a vapor phase epitaxy process.2. The method of claim 9 , further comprising cooling the substrate while removing oxide from the substrate.3. The method of claim 9 , further comprising performing a thermal treatment process the substrate after removing oxide from the substrate.411. The method of claim claim 9 , wherein the thermal treatment process is performed under an inert atmosphere at a temperature of 400 degrees Celsius or higher.5. The method of claim 9 , wherein the oxide removal process comprises:disposing the substrate in a processing chamber;forming a plasma from an inert gas;{'sub': '3', 'flowing the plasma into a mixing chamber with NHand HF to form a reaction mixture;'}flowing the reaction mixture into the processing chamber, and exposing the substrate to the reaction mixture.613. The method of claim claim 9 , wherein the oxide removal ...

Подробнее
15-02-2018 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20180047545A1
Автор: Miura Shigehiro
Принадлежит:

A substrate processing method includes an etching step of mounting a substrate on a surface of a rotatory table arranged in a vacuum chamber and supplying an etching gas into the vacuum chamber while rotating the rotary table to etch a film formed on a surface of the substrate. The etching step includes supplying the etching gas to the surface of the rotary table and supplying a purge gas from a plurality of purge gas supply units that are provided near a region where the etching gas is supplied, and controlling an etching amount of etching the film by independently varying a flow rate of the purge gas that is supplied from each of the plurality of purge gas supply units. 1. A substrate processing method comprising:an etching step of mounting a substrate on a surface of a rotatory table arranged in a vacuum chamber and supplying an etching gas into the vacuum chamber while rotating the rotary table to etch a film formed on a surface of the substrate; supplying the etching gas to the surface of the rotary table and supplying a purge gas from a plurality of purge gas supply units that are provided near a region where the etching gas is supplied; and', 'controlling an etching amount of etching the film by independently varying a flow rate of the purge gas that is supplied from each of the plurality of purge gas supply units., 'wherein the etching step includes'}2. The substrate processing method according to claim 1 , whereina flow rate of the purge gas supplied from each of the plurality of purge gas supply units is varied based on a distribution of the etching gas supplied to the surface of the rotary table.3. The substrate processing method according to claim 2 , whereinthe flow rate of the purge gas is decreased to increase the etching amount, and the flow rate of the purge gas increased to decrease the etching amount.4. The substrate processing method according to claim 1 , further comprisinga film forming step of supplying a first reaction gas and a second ...

Подробнее
03-03-2022 дата публикации

VACUUM PROCESSING APPARATUS

Номер: US20220064799A1
Принадлежит:

A vacuum processing apparatus of the present is a vacuum processing apparatus which performs plasma processing. The vacuum processing apparatus includes an electrode flange, a shower plate, an insulating shield, a processing chamber in which a processing-target substrate is to be disposed, an electrode frame, and a slide plate. The electrode frame and the slide plate are slidable in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered, and a space surrounded by the shower plate, the electrode flange, and the electrode frame is sealable. The electrode frame includes a frame-shaped upper plate surface portion, a vertical plate surface portion, and a lower plate surface portion. 1. A vacuum processing apparatus which performs plasma processing , the vacuum processing apparatus comprising:an electrode flange connected to a high-frequency power supply;a shower plate spaced apart from and facing the electrode flange and serving as a cathode together with the electrode flange;an insulating shield provided around the shower plate;a processing chamber in which a processing-target substrate is to be disposed in an opposite side of the shower plate opposite with respect to the electrode flange;an electrode frame attached to the shower plate side of the electrode flange; anda slide plate attached to a circumferential edge portion of the shower plate on the electrode frame side, whereinthe shower plate is formed to have a substantially rectangular outline,the electrode frame and the slide plate are slidable in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered, and a space surrounded by the shower plate, the electrode flange, and the electrode frame is sealable, andthe electrode frame comprises:a frame-shaped upper plate surface portion attached to the electrode flange;a vertical plate surface portion provided to stand toward the shower plate from an entire outer circumference ...

Подробнее
03-03-2022 дата публикации

Pedestal Support Design for Precise Chamber Matching and Process Control

Номер: US20220068608A1
Принадлежит: Applied Materials, Inc.

Process chambers and methods for calibrating components of a processing chamber while the chamber volume is under vacuum are described. The process chamber includes a motor shaft connected to the process chamber with a plurality of motor bolts. A support plate is positioned under the chamber floor to accommodate for deflection of the chamber floor due to vacuum conditions within the chamber volume. A bellows assembly extending from the chamber floor to the support plate maintains vacuum conditions within the chamber.

Подробнее
03-03-2022 дата публикации

ULTRA-LARGE AREA SCANNING REACTIVE ION ETCHING MACHINE AND ETCHING METHOD THEREOF

Номер: US20220068617A1
Принадлежит:

The present disclosure relates to a field of dry etching technology. The present disclosure provides an ultra-large area scanning reactive ion etching machine and an etching method thereof. The ultra-large area scanning reactive ion etching machine includes: an injection chamber (), an etching reaction chamber (), a transition chamber (), and an etching ion generation chamber (). By moving a sample holder () among the injection chamber (), the etching reaction chamber () and the transition chamber () in a scanning direction, a scanning etching is performed on a sample () placed on the sample holder (), which may realize a large-area, uniform and efficient etching.

Подробнее
05-03-2015 дата публикации

Plasma cvd apparatus, method for forming film and dlc-coated pipe

Номер: US20150059910A1
Автор: Norio ARAMAKI, Yuuji Honda
Принадлежит: Youtec Co Ltd

To provide a plasma CVD apparatus capable of forming a thin film on the inner surface of a pipe even without a vacuum vessel. An aspect of the present invention is a plasma CVD apparatus including a first member sealing an end of a pipe; a second member sealing the other end of the pipe; a gas introduction mechanism that is connected to the first member and that introduces a raw material gas into the pipe; an exhausting mechanism that is connected to the second member and that vacuum-exhausts the inside of the pipe; an electrode disposed in the pipe; and a high-frequency power.

Подробнее
05-03-2015 дата публикации

HOT WALL REACTOR WITH COOLED VACUUM CONTAINMENT

Номер: US20150059981A1
Принадлежит:

Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing substrates includes a chamber body enclosing a processing volume, the chamber body comprising a chamber floor, a chamber wall coupled to the chamber floor, and a chamber lid removably coupled to the chamber wall, wherein at least one of the chamber floor, the chamber wall, and the chamber lid comprise passages for a flow of a thermal control media; a heater plate disposed adjacent to and spaced apart from the chamber floor; a sleeve disposed adjacent to and spaced apart from the chamber wall, the sleeve supported by the heater plate; and a first sealing element disposed at a first interface between the chamber wall and the chamber lid. 1. An apparatus for processing substrates , comprising:a chamber body enclosing a processing volume, the chamber body comprising a chamber floor, a chamber wall coupled to the chamber floor, and a chamber lid removably coupled to the chamber wall, wherein at least one of the chamber floor, the chamber wall, and the chamber lid comprise passages for a flow of a thermal control media;a heater plate disposed adjacent to and spaced apart from the chamber floor;a sleeve disposed adjacent to and spaced apart from the chamber wall, the sleeve supported by the heater plate; anda first sealing element disposed at a first interface between the chamber wall and the chamber lid.2. The apparatus of claim 1 , wherein the heater plate is spaced apart from the chamber floor by a first gap claim 1 , wherein the sleeve is spaced apart from the chamber wall by a second gap claim 1 , and wherein the first gap and the second gap are in fluid communication.3. The apparatus of claim 2 , further comprising a purge gas port disposed in the chamber body to provide a purge gas to at least one of the first gap and the second gap.4. The apparatus of claim 1 , wherein the sleeve comprises:a chamber liner comprising a lower duct bounded by an inner ...

Подробнее
01-03-2018 дата публикации

Plasma processing apparatus

Номер: US20180061619A1
Автор: Jun Hirose, Shin Matsuura
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus of the present disclosure includes a chamber, a shutter, and a contact portion. The chamber has an opening in a sidewall thereof so as to carry a wafer W into the chamber through the opening, and performs therein a predetermined processing on the wafer W by plasma of a processing gas supplied thereinto. The shutter opens or closes the opening by moving along the sidewall of the chamber. The contact portion is formed of a conductive material, and is not in contact with the shutter while the shutter is moving. When the shutter is in the position for closing the opening, the contact portion is displaced in a direction different from the direction of movement of the shutter to come into contact with the shutter.

Подробнее
02-03-2017 дата публикации

PLASMA GENERATION APPARATUS

Номер: US20170062183A1
Принадлежит:

An inductive-coupling plasma generator includes an electroconductive chamber with a toroidal-shaped electrical discharge space formed inside. The plasma generator also includes a high-frequency power source connected to the chamber. The power source is configured to cause a high-frequency current to flow through the chamber along a toroidal direction. 1. A plasma generation apparatus , comprising:a chamber made of an electroconductive material and having a toroidal-shaped electrical discharge space; anda power source connected to the chamber for causing a current to flow through the chamber along a toroidal direction.2. The plasma generation apparatus according to claim 1 , the chamber is provided with a first insulating portion and includes a first portion and a second portion that are electrically insulated from each other in the toroidal direction via the first insulating portion.3. The plasma generation apparatus according to claim 2 , further comprising a first connecting line and a second connecting line claim 2 , wherein the power source is provided with a first output terminal and a second output terminal claim 2 , the first connecting line connects the first output terminal to the first portion of the chamber claim 2 , and the second connecting line connects the second output terminal to the second portion of the chamber.4. The plasma generation apparatus according to claim 1 , wherein the chamber is made of a metal.5. The plasma generation apparatus according to claim 1 , further comprising a magnetic core that surrounds a portion of the chamber.6. The plasma generation apparatus according to claim 2 , wherein the first portion and the second portion of the chamber are spaced apart from each other in the toroidal direction via a gap.7. The plasma generation apparatus according to claim 6 , further comprising a relay adapter for defining a size of the gap claim 6 ,wherein the first portion of the chamber is provided with a first external flange, and the ...

Подробнее
02-03-2017 дата публикации

APPARATUS FOR COATING A FILM IN A CONTAINER AND METHOD FOR COATING THE FILM

Номер: US20170062189A1

An apparatus for coating a film in a container and a method for coating a film are provided. The apparatus includes a cylindrical housing having a containing space penetrating through both ends thereof; a first arc-shaped electrode and a second arc-shaped electrode surrounding and covering an outer side of the cylindrical housing with a gap formed between the first and second arc-shaped electrodes such that the first arc-shaped electrode is free from electrically connected to the second arc-shaped electrode; a first conductive ring and a second conductive ring surrounding on the first and second arc-shaped electrodes, respectively; an upper supporting seat and a lower supporting seat disposed at the both ends of the cylindrical housing, respectively, to form a sealed environment for the containing space; and a valve component furnished at the upper supporting seat and inserted into the container for providing a processing gas in a film-coating process. 1. An apparatus for coating a film in a container , comprising:a cylindrical housing having a containing space to contain the container, the containing space penetrating through both ends of the cylindrical housing;a first arc-shaped electrode and a second arc-shaped electrode surrounding and covering an outer side of the cylindrical housing with a gap formed between the first arc-shaped electrode and the second arc-shaped electrode such that the first arc-shaped electrode is free from electrically connecting to the second arc-shaped electrode;a first conductive ring fixed on the first arc-shaped electrode and a second conductive ring fixed on the second arc-shaped electrode, wherein the first conductive ring and the second conductive ring surround on the first arc-shaped electrode and the second arc-shaped electrode;an upper supporting seat and a lower supporting seat disposed at the both ends of the cylindrical housing, respectively, to form a sealed environment for the containing space; anda valve component ...

Подробнее
17-03-2022 дата публикации

VACUUM PROCESSING APPARATUS AND METHOD OF CLEANING VACUUM PROCESSING APPARATUS

Номер: US20220081774A1
Принадлежит:

A vacuum processing apparatus of the present invention is a vacuum processing apparatus which performs plasma processing. The vacuum processing apparatus includes an electrode flange, a shower plate, an insulating shield, a processing chamber in which a processing-target substrate is to be disposed, an electrode frame, and a slide plate. The electrode frame and the slide plate are slidable in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered. The shower plate is supported by the electrode frame using a support member penetrating through an elongated hole. The elongated hole is formed so that the support member is relatively movable in the elongated hole in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered. 1. A vacuum processing apparatus which performs plasma processing , the vacuum processing apparatus comprising:an electrode flange connected to a high-frequency power supply;a shower plate spaced apart from and facing the electrode flange and serving as a cathode together with the electrode flange;an insulating shield provided around the shower plate;a processing chamber in which a processing-target substrate is to be disposed in an opposite side of the shower plate opposite with respect to the electrode flange;an electrode frame attached to the shower plate side of the electrode flange; anda slide plate attached to a circumferential edge portion of the shower plate on the electrode frame side, whereinthe electrode frame and the slide plate are slidable in response to thermal deformation that occurs when a temperature of the shower plate is raised or lowered, and a space surrounded by the shower plate, the electrode flange, and the electrode frame is sealable,the shower plate is supported by the electrode frame using a support member penetrating through an elongated hole provided in the circumferential edge portion of the shower plate,the elongated hole is ...

Подробнее
28-02-2019 дата публикации

INTEGRATED EPITAXY AND PRECLEAN SYSTEM

Номер: US20190066998A1
Принадлежит:

Implementations of the present disclosure generally relates to a transfer chamber coupled to at least one vapor phase epitaxy chamber a plasma oxide removal chamber coupled to the transfer chamber, the plasma oxide removal chamber comprising a lid assembly with a mixing chamber and a gas distributor; a first gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a second gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a third gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; and a substrate support with a substrate supporting surface; a lift member disposed in a recess of the substrate supporting surface and coupled through the substrate support to a lift actuator; and a load lock chamber coupled to the transfer chamber. 1. A processing system , comprising:a transfer chamber coupled to at least one film formation chamber;a plasma oxide removal chamber coupled to the transfer chamber, the plasma oxide removal chamber comprising a remote plasma source and a substrate support comprising a cooling channel and a heater; anda load lock chamber coupled to the transfer chamber.2. The processing system of claim 1 , wherein the plasma oxide removal chamber is an RF remote plasma chamber.3. The processing system of claim 1 , wherein the film formation chamber is an epitaxy chamber.4. The processing system of claim 1 , wherein the plasma oxide removal chamber is a fluorine processing chamber and the film formation chamber is an epitaxy chamber.5. The processing system of claim 4 , wherein the heater in the plasma oxide removal chamber is a resistive heater.6. The processing system of claim 5 , further comprising an anneal chamber.7. The processing system of claim 1 , wherein the plasma oxide removal chamber comprises a lid assembly with a chamber claim 1 , and with a central conduit claim 1 , a cylindrical ...

Подробнее
07-03-2019 дата публикации

FILM FORMATION APPARATUS

Номер: US20190074167A1
Автор: Kambe Yu, ONO Daisuke
Принадлежит:

A film formation apparatus includes a chamber which has an interior capable of being vacuumed, and which includes a lid that is openable and closable on the upper part of the chamber, a rotation table which is provided in the chamber and which and carries a workpiece in the circular trajectory, a film formation unit that deposits film formation materials by sputtering on the workpiece carried by the rotation table to form films, a shielding member which is provided with an opening at the side which the workpiece passes through, and which forms a film formation room where the film formations by the film formation units are performed, and a support which supports the shielding member, and which is independent relative to the chamber and is independent from the lid. 1. A film formation apparatus comprising:a chamber which has an interior capable of being vacuumed, and which comprises a lid that is openable and closable on an upper part of the chamber;a carrying unit which is provided in the chamber and which carries a workpiece in a circular trajectory;a film formation unit that deposit a film formation material by sputtering on the workpiece carried by the carrying unit to form a film;a shielding member which is provided with an opening at a side which the workpiece W passes through, and which forms a film formation room where the film formation by the film formation unit is performed; anda support which supports the shielding member, and which is immovable relative to the chamber and independent from the lid.2. The film formation apparatus according to claim 1 , wherein the support comprises:an outer-circumference support that supports the shielding member at an outer circumference side of the rotation of the carrying unit; andan inner-circumference support that supports the shielding member at an inner circumference side of the rotation of the carrying unit.3. The film formation apparatus according to claim 1 , further comprising a first vibration absorber provided ...

Подробнее
16-03-2017 дата публикации

ONE-PIECE PROCESS KIT SHIELD FOR REDUCING THE IMPACT OF AN ELECTRIC FIELD NEAR THE SUBSTRATE

Номер: US20170076924A1
Принадлежит:

Embodiments of process kit shields and process chambers incorporating same are provided herein. In some embodiments, a one-piece process kit shield configured for use in a processing chamber for processing a substrate having a given diameter includes: a cylindrical body having an upper portion and a lower portion; an annular heat transfer channel disposed within the upper portion; and a cover ring section extending radially inward from the lower portion and having an annular leg extending from a bottom surface of the cover ring section, wherein the annular leg is configured to interface with a deposition ring to form a tortuous path between the bottom surface and the deposition ring. 1. A one-piece process kit shield configured for use in a processing chamber for processing a substrate having a given diameter , comprising:a cylindrical body having an upper portion and a lower portion;an annular heat transfer channel disposed within the upper portion; anda cover ring section extending radially inward from the lower portion and having an annular leg extending from a bottom surface of the cover ring section, wherein the annular leg is configured to interface with a deposition ring to form a tortuous path between the bottom surface and the deposition ring.2. The one-piece process kit shield of claim 1 , wherein the upper portion comprises:an adapter section extending radially outward and having a resting surface to support the one-piece process kit shield on walls of a chamber and a sealing surface on which a chamber lid rests to seal off an interior volume of the chamber when the one-piece process kit shield is placed in the chamber,wherein the annular heat transfer channel is disposed in the adapter section.312. The one-piece process kit shield of claim 1 , wherein the cover ring section includes a radially inwardly extending lip having an inner diameter greater than the given diameter by about inch to about inches.4. The one-piece process kit shield of claim 1 , ...

Подробнее
25-03-2021 дата публикации

MODULAR REACTOR FOR MICROWAVE PLASMA-ASSISTED DEPOSITION

Номер: US20210087676A1
Принадлежит:

The invention relates to a microwave plasma-assisted deposition modular reactor for manufacturing synthetic diamond. The reactor has at least three modulation elements selected from: a crown adapted to be positioned between a first enclosure part and a second enclosure part; a substrate holder module mobile in vertical translation and in rotation, in contact with a quarter-wave and including at least one fluid cooling system; a tray mobile in vertical translation in order to change the shape and volume of the resonant cavity and including through openings allowing the gases to pass; a gas distribution module, including a removable gas distribution plate comprising an inner surface, an outer surface, and a plurality of gas distribution nozzles forming channels between said surfaces capable of conducting a gas flow, and a support device connected to a cooling system and adapted to accommodate the removable gas distribution plate; and a substrate cooling control module including a removable thermal resistance gas injection device. 1. A microwave plasma-assisted modular deposition reactor for manufacturing synthetic diamond , said reactor comprising:a microwave generator configured to generate microwaves, the frequency of which is between 300 MHz and 3000 MHz,a resonant cavity formed, at least in part, by cylindrical inner walls of a reactor enclosure,a gas inlet system adapted to supply gases within the resonant cavity,a gas output module adapted to remove said gases from the resonant cavity,a wave coupling module adapted to transfer the microwaves from the microwave generator to the resonant cavity in order to allow the formation of a plasma,a growth support present in the resonant cavity, andat least three modulation elements, said modulation elements each being selected from:a crown adapted to be positioned between a first enclosure part and a second enclosure part in order to change a shape and/or volume of the resonant cavity, and a seal system, allowing for the ...

Подробнее
12-03-2020 дата публикации

PLASMA PROCESSING DEVICE

Номер: US20200083026A1
Принадлежит:

A plasma processing device in which plasma processing uniformity is improved up to an outer peripheral portion of a wafer and the number of non-defective devices that can be manufactured from one wafer is increased. The plasma processing device includes a vacuum container; a mounting table, a susceptor ring that covers an outer peripheral portion of an electrode base material, and an insulation ring covered by the susceptor ring and surrounding the electrode base material, and thin film electrode formed on an upper surface and a part of a surface facing the outer periphery of the electrode base material; a first high frequency power applied to the electrode base material a second high frequency power applied to the thin film electrode; a plasma generating unit that generates plasma on an upper portion of the mounting table inside the vacuum container; and a control unit. 1. A plasma processing device , comprising:a vacuum container;a mounting table that includes an electrode base material where a sample subject to processing is mounted inside the vacuum container, a susceptor ring that is formed of an insulating material that covers an outer peripheral portion of the electrode base material, and an insulation ring that is covered by the susceptor ring, is disposed to surround an outer periphery of the electrode base material, and has a thin film electrode formed on an upper surface and a part of a surface facing the outer periphery of the electrode base material;a first high frequency power applying unit that applies a first high frequency power to the electrode base material of the mounting table;a second high frequency power applying unit that applies a second high frequency power to the thin film electrode formed on the insulation ring;a plasma generating unit that generates plasma on an upper portion of the mounting table inside the vacuum container; anda control unit that controls the first high frequency power applying unit, the second high frequency power ...

Подробнее
12-03-2020 дата публикации

Lift pin assembly, an electrostatic chuck and a processing apparatus where the electrostatic chuck is located

Номер: US20200083087A1

Disclosed are a lift pin assembly, an electrostatic chuck with the lift pin assembly, and a processing apparatus where the electrostatic chuck is located. The lift pin assembly comprises: a lift pin, a lift pin receiving channel connected to a pressure control device, one end of the lift pin receiving channel proximal to a wafer being provided with a sealing ring, an upper surface of the sealing ring being in contact with a back face of the wafer during processing to avoid a gas at the back face of the wafer from entering the lift pin receiving channel, thereby enabling the pressure control device to independently control the pressure in the lift pin receiving channel.

Подробнее
05-05-2022 дата публикации

INTERNALLY DIVISIBLE PROCESS CHAMBER USING A SHUTTER DISK ASSEMBLY

Номер: US20220139684A1
Принадлежит:

Apparatus and methods for forming and using internally divisible physical vapor deposition (PVD) process chambers using shutter disks are provided herein. In some embodiments, an internally divisible process chamber may include an upper chamber portion having a conical shield, a conical adaptor, a cover ring, and a target, a lower chamber portion having a substrate support having inner and outer deposition rings, and wherein the substrate support is vertically movable, and a shutter disk assembly configured to internally divide the process chamber and create a separate sealed deposition cavity and a separate sealed oxidation cavity, wherein the shutter disk assembly includes one or more seals disposed along its outer edges and configured to contact at least one of the conical shield, the conical adaptor, or the deposition rings to form the separate sealed deposition and oxidation cavities.

Подробнее
28-03-2019 дата публикации

SEALING ARTICLE COMPRISING METAL COATING, METHOD OF MAKING AND METHOD OF USING THE SAME

Номер: US20190093220A1
Принадлежит:

A sealing article includes a body and a coating layer disposed on at least one surface of the body. The body comprises a polymeric elastomer such as perfluoroelastomer or fluoroelastomer. The coating layer comprises at least one metal. The sealing article may be a seal, a gasket, an O-ring, a T-ring or any other suitable product. The sealing article is resistant to ultra-violet (UV) light and plasma, and may be used for sealing a semiconductor processing chamber. 1. A sealing article , comprising:a body comprising a polymeric elastomer, anda coating layer disposed on at least one surface of the body, the coating layer comprising at least one metal.2. The sealing article of claim 1 , wherein the polymeric elastomer in the body is selected from the group consisting of perfluoroelastomer claim 1 , fluoroelastomer and combinations thereof.3. The sealing article of claim 1 , wherein the at least one metal in the coating layer is selected from the group consisting of aluminum claim 1 , copper claim 1 , gold claim 1 , silver claim 1 , and combinations thereof.4. The sealing article of claim 1 , wherein the coating layer is made of aluminum.5. The sealing article of claim 1 , wherein the coating layer has a thickness in a range of from 10 micrometers to about 10 claim 1 ,000 micrometers.6. The sealing article of claim 1 , wherein the sealing article is selected from the group consisting of a seal claim 1 , a gasket claim 1 , an O-ring claim 1 , and a T-ring claim 1 , and is in a loop configuration or is sized and shaped to provide a loop configuration extending along an elongated shape of the body.7. The sealing article of claim 1 , wherein the body has a cross-section in a semi-circular or semi-elliptical shape claim 1 , and the at least one surface of the body corresponds to a top surface of the body.8. The sealing article of claim 7 , wherein the top surface of the body coated with the coating layer is a flat surface of the semi-circular or semi-elliptical shape.9. The ...

Подробнее
05-04-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180096824A1
Принадлежит:

A plasma processing apparatus comprises a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body, and a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface. The substrate is held on the holding sheet and set on the seat surface with the holding sheet therebetween. The plasma processing apparatus further comprises a guide being provided along a circumference of the electrode body for alignment of the frame, and a cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined. 1. A plasma processing apparatus , comprising:a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body;a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface;the conveying carrier having a holding sheet and a frame provided around a periphery of the holding sheet;the substrate being held on the holding sheet and set on the seat surface with the holding sheet therebetween;a guide being provided along a circumference of the electrode body for alignment of the frame; anda cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined.2. The plasma processing apparatus according to claim 1 , wherein the cover is connected with the lid through first biasing means.3. The plasma processing apparatus according to claim 1 , wherein the guide includes a first surface ...

Подробнее
28-03-2019 дата публикации

SUBSTRATE PROCESSING CHAMBER HAVING IMPROVED PROCESS VOLUME SEALING

Номер: US20190096638A1
Принадлежит:

Embodiments of process chambers are provided herein. In some embodiments, a process chamber includes: a chamber wall defining an inner volume within the process chamber; a substrate support disposed in the inner volume having a support surface to support a substrate, wherein the inner volume includes a processing volume disposed above the support surface and a non-processing volume disposed at least partially below the support surface; a gas supply plenum fluidly coupled to the processing volume via a gas supply channel disposed above the support surface; a pumping plenum fluidly coupled to the processing volume via an exhaust channel disposed above the support surface; and a sealing apparatus configured to fluidly isolate the processing volume from the non-processing volume when the substrate support is in a processing position, wherein the processing volume and the non-processing volume are fluidly coupled when the substrate support is in a non-processing position. 1. A process chamber , comprising:a chamber wall defining an inner volume within the process chamber;a substrate support disposed in the inner volume having a support surface to support a substrate, wherein the inner volume includes a processing volume disposed above the support surface and a non-processing volume disposed at least partially below the support surface;a gas supply plenum fluidly coupled to the processing volume via a gas supply channel disposed above the support surface;a pumping plenum fluidly coupled to the processing volume via an exhaust channel disposed above the support surface; anda sealing apparatus configured to fluidly isolate the processing volume from the non-processing volume when the substrate support is in a processing position, wherein the processing volume and the non-processing volume are fluidly coupled when the substrate support is in a non-processing position.2. The process chamber of claim 1 , further comprising:a process kit disposed within the inner volume and ...

Подробнее
12-04-2018 дата публикации

RARE-EARTH OXIDE BASED COATINGS BASED ON ION ASSISTED DEPOSITION

Номер: US20180100228A1
Принадлежит:

A component for a semiconductor processing chamber includes a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches. The component further includes a conformal protective layer on at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is less than the first average surface roughness. 1. A chamber component for a processing chamber comprising:a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches; and{'sub': 2', '3', '2, 'a conformal protective layer on the at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film comprising 40 mol % to less than 100 mol % of YOand above 0 mol % to 60 mol % of ZrO, the conformal protective layer having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is less than the first average surface roughness.'}2. The chamber component of claim 1 , wherein the conformal protective layer has a thickness of 10-30 μm.3. The chamber component of claim 1 , wherein a porosity of the conformal protective layer is below 1%.4. The chamber component of claim 1 , wherein the conformal protective layer has a post polished roughness of less than 8 micro-inches.5. The chamber component of claim 1 , wherein the ceramic body is a bulk sintered ceramic body comprising at least one of YOor a ceramic compound comprising YAlOand a solid-solution of YO—ZrO.6. The chamber component of claim 1 , where the conformal protective layer comprises a conformal ...

Подробнее
12-04-2018 дата публикации

COMMON DEPOSITION PLATFORM, PROCESSING STATION, AND METHOD OF OPERATION THEREOF

Номер: US20180100236A1
Принадлежит:

An apparatus for depositing a thin film on a substrate is described. The apparatus includes a substrate support having an outer surface for guiding the substrate along a surface of the substrate support through a first vacuum processing region and at least one second vacuum processing region, a first deposition sources corresponding to the first processing region and at least one second deposition source corresponding to the at least one second vacuum processing region. The apparatus further includes one or more vacuum flanges providing at least a further gas outlet between the first deposition source and the at least one second deposition source. 1. An apparatus for depositing a thin film on a substrate , comprising:a substrate support having a curved outer surface; an electrode having a surface opposing the curved outer surface of the substrate support;', 'a processing gas inlet and a processing gas outlet arranged at opposing sides of the surface of the electrode;', 'a first separation wall surrounding the surface of the electrode, the processing gas inlet, and the processing gas outlet; and', 'at least one separation gas inlet having one or more separation gas inlet openings surrounding the surface of the electrode, the processing gas inlet, the processing gas outlet, and the first separation wall; and, 'a first deposition source corresponding to a first processing region at the curved outer surface and at least one second deposition source corresponding to at least one second vacuum processing region at the curved outer surface, wherein at least the first deposition source comprisesa first vacuum flange for evacuation of the processing gas of the first deposition source.2. The apparatus according to claim 1 , further comprising:one or more vacuum flanges providing at least a further gas outlet between the first deposition source and the at least one second deposition source, wherein the one or more vacuum flanges are provided between a first separation gas ...

Подробнее
12-04-2018 дата публикации

Method for depositing ald films using halide-based precursors

Номер: US20180102245A1
Принадлежит: Lam Research Corp

A method of depositing ALD films on semiconductor substrates processed in a micro-volume of a plasma enhanced atomic layer deposition (PEALD) reaction chamber wherein a single semiconductor substrate is supported on a ceramic surface of a pedestal and process gas is introduced through gas outlets in a ceramic surface of a showerhead into a reaction zone above the semiconductor substrate, includes (a) cleaning the ceramic surfaces of the pedestal and showerhead with a fluorine plasma such that aluminum-rich byproducts are formed on the ceramic surfaces, (b) depositing a conformal halide-free atomic layer deposition (ALD) oxide undercoating on the ceramic surfaces so as to cover the aluminum-rich byproducts, (c) depositing a pre-coating on the halide-free ALD oxide undercoating, and (d) processing a batch of semiconductor substrates by transferring each semiconductor substrate into the reaction chamber and depositing a film on the semiconductor substrate supported on the ceramic surface of the pedestal.

Подробнее
11-04-2019 дата публикации

SPLIT SLIT LINER DOOR

Номер: US20190108983A1
Автор: NOORBAKHSH HAMID
Принадлежит:

Embodiments disclosed herein generally relate to a substrate processing chamber component assembly with a split slit liner door assembly. In one embodiment, the split slit liner door assembly has a first door portion having a top surface, a rear face and a font face, a RF conductive gasket is disposed on the front face of the first door portion, a second door portion having sides, a bottom and a front surface, the bottom coupled to the actuator, and a linkage assembly coupling the first door portion to the second door portion wherein the linkage assembly is configured to translate a vertical motion of the second door portion relative to a first door portion and a horizontal motion spacing the first door portion from the second door portion. 1. A split slit liner door assembly , comprising:a first door portion having a top surface, a rear face and a front face;a RF conductive gasket is disposed on the front face of the first door portion;a second door portion having sides, a bottom surface and a front surface; anda linkage assembly coupling the first door portion to the second door portion wherein the linkage assembly is configured to translate a vertical motion of the second door portion relative to the first door portion and a horizontal motion spacing the first door portion from the second door portion.2. The split slit liner door assembly of further comprising:an actuator configured to provide vertical movement, the actuator coupled to the bottom surface of the second door portion.3. The split slit liner door assembly of further comprising:a bumper is disposed on the top surface.4. The split slit liner door assembly of wherein the linkage assembly further comprises:a tension spring linkage biasing the first door portion to the second door portion.5. The split slit liner door assembly of wherein the linkage assembly further comprises:an oblong hole formed in one end of the linkage coupling the first door portion to the second door portion; anda compression bias ...

Подробнее
18-04-2019 дата публикации

GAS SEPARATION BY ADJUSTABLE SEPARATION WALL

Номер: US20190112706A1
Принадлежит:

An apparatus for coating a thin film on a flexible substrate is described. The apparatus includes a coating drum having an outer surface for guiding the flexible substrate through a first vacuum processing region and at least one second vacuum processing region, a gas separation unit for separating the first vacuum processing region and at least one second vacuum processing region and adapted to form a slit through which the flexible substrate can pass between the outer surface of the coating drum and the gas separation unit, wherein the gas separation unit is adapted to control fluid communication between the first processing region and the second processing region by adjusting the position of the gas separation unit. 1. An apparatus for coating a thin film on a substrate , comprising:a substrate support having an outer surface for guiding the substrate through a first vacuum processing region and at least one second vacuum processing region; anda gas separation unit separating the first vacuum processing region from the at least one second vacuum processing region, wherein the gas separation unit is positioned to form a slit through which the substrate can pass between the outer surface of the substrate support and the gas separation unit, wherein the gas separation unit is directly mounted to a body of a deposition source corresponding to the first vacuum processing region or the second vacuum processing region.2. The apparatus according to claim 1 , wherein the substrate support is a coating drum having an axis.3. The apparatus according to claim 2 , wherein an actuator is provided between the body of the deposition source and a chamber wall of the apparatus.4. The apparatus according to claim 2 , further comprising a support element connected to the gas separation unit and the axis of the coating drum.5. The apparatus according to claim 4 , wherein the support element is a disk or a portion of a disk.6. The apparatus according to claim 5 , wherein the disk or ...

Подробнее
09-04-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20200111646A1
Принадлежит: TOKYO ELECTRON LIMITED

An apparatus for processing reaction products that are deposited when an etching target film contained in a target object to be processed is etched is provided with: a processing chamber; a partition plate; a plasma source; a mounting table; a first processing gas supply unit; a second processing gas supply unit. The processing chamber defines a space, and the partition plate is arranged within the processing chamber and divides the space into a plasma generating space and a substrate processing space, while suppressing permeation of ions and vacuum ultraviolet rays. The plasma source generates a plasma in the plasma forming space. The mounting table is arranged in the substrate processing space to mount the target object thereon. 111-. (canceled)12. A substrate processing method for removing reaction products generated in etching an etching target layer included in a target object by using a substrate processing apparatus including:a processing chamber defining a space;a partition unit which is disposed in the processing chamber and partitions the space into a plasma generating space and a substrate processing space, the partition unit being configured to suppress transmission of ions and vacuum ultraviolet rays;a plasma source configured to generate a plasma in the plasma generating space;a mounting table disposed in the substrate processing space for mounting the target object thereon;a first processing gas supply unit configured to supply a first processing gas into the plasma generating space, the first processing gas to be dissociated by the plasma to generate radicals; anda second processing gas supply unit configured to supply a second processing gas into the substrate processing space, the substrate processing method comprising:generating the radicals by supplying the first processing gas from the first processing gas supply unit into the plasma generating space in which a plasma is generated;moving the generated radicals into the substrate processing space ...

Подробнее
14-05-2015 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20150132970A1
Принадлежит: Tokyo Electron Ltd

An apparatus for processing reaction products that are deposited when an etching target film contained in a target object to be processed is etched is provided with: a processing chamber; a partition plate; a plasma source; a mounting table; a first processing gas supply unit; a second processing gas supply unit. The processing chamber defines a space, and the partition plate is arranged within the processing chamber and divides the space into a plasma generating space and a substrate processing space, while suppressing permeation of ions and vacuum ultraviolet rays. The plasma source generates a plasma in the plasma forming space. The mounting table is arranged in the substrate processing space to mount the target object thereon.

Подробнее
25-08-2022 дата публикации

ISOLATED VOLUME SEALS AND METHOD OF FORMING AN ISOLATED VOLUME WITHIN A PROCESSING CHAMBER

Номер: US20220270898A1
Принадлежит:

A method and apparatus for substrate processing and a cluster tool including a transfer chamber assembly and a plurality of processing assemblies. Processing chamber volumes are sealed from the transfer chamber volume using a support chuck on which a substrate is disposed. A seal ring assembly is coupled to the support chuck. The seal ring assembly includes an inner assembly, an assembly bellows circumscribing the inner assembly, and a bellows disposed between the inner and outer platform. An inner ring is disposed between inner assembly of the seal ring assembly and the bottom surface of the support chuck. An outer ring disposed between the seal ring assembly and the lower sealing surface of the process chamber wall. The support chuck is raised to form an isolation seal between the processing chamber volume and the transfer chamber volume using the bellows, the inner ring, and the outer ring. 1. A substrate processing chamber comprising:an upper wall, and a bottom wall, a liner disposed between the upper wall and the bottom wall, and a containment member coupled to an internal surface of the liner, wherein the containment member has a lower sealing surface;a support chuck having a substrate supporting surface, and a bottom surface opposite the substrate supporting surface; an upper bellows ring;', 'a lower bellows ring attached to an inner assembly; and', 'an assembly bellows disposed between the upper bellows ring and the lower bellows ring;', 'an outer seal ring disposed between the upper bellows ring and the lower sealing surface, the outer seal ring having an outer sealing surface, the outer seal ring extending radially around a center of the seal ring assembly; and, 'a seal ring assembly coupled to the bottom surface of the support chuck, the seal ring assembly comprising a transfer position, wherein the substrate supporting surface is exposed to a first region, and', 'a processing position, wherein the substrate support surface is in a second region isolated ...

Подробнее
16-04-2020 дата публикации

SYSTEMS AND METHODS FOR IMPROVED SEMICONDUCTOR ETCHING AND COMPONENT PROTECTION

Номер: US20200118845A1
Принадлежит: Applied Materials, Inc.

Semiconductor systems and methods may include a semiconductor processing chamber having a gas box defining an access to the semiconductor processing chamber. The chamber may include a spacer characterized by a first surface with which the gas box is coupled, and the spacer may define a recessed ledge on an interior portion of the first surface. The chamber may include a support bracket seated on the recessed ledge that extends along a second surface of the spacer. The chamber may also include a gas distribution plate seated on the support bracket. 1. A semiconductor processing system comprising: a first gasbox plate characterized by a first surface and a second surface opposite the first surface, and', 'a second gasbox plate coupled with the first gasbox plate along the second surface of the first gasbox plate, wherein the second gasbox plate is characterized by a first surface and a second surface opposite the first surface, wherein the second gasbox plate defines a plurality of channels within the first surface of the second gasbox plate, and wherein the second surface of the first gasbox plate and the first surface of the second gasbox plate define a flow path through the plurality of channels., 'a gasbox comprising2. The semiconductor processing system of claim 1 , wherein the gasbox defines a central aperture configured to receive a delivery tube.3. The semiconductor processing system of claim 1 , wherein the plurality of channels are in fluid communication with one another to define a compound channel extending radially outward along the gasbox.4. The semiconductor processing system of claim 1 , wherein the first gasbox plate defines a port extending through the first gasbox plate.5. The semiconductor processing system of claim 4 , wherein the port provides fluid access to the plurality of channels defined in the first surface of the second gasbox plate.6. The semiconductor processing system of claim 4 , wherein the port is configured to provide fluid access ...

Подробнее
11-05-2017 дата публикации

ION ASSISTED DEPOSITION FOR RARE-EARTH OXIDE BASED COATINGS

Номер: US20170130319A1
Принадлежит:

A method of manufacturing an article comprises performing ion assisted deposition (IAD) to deposit a protective layer on at least one surface of the article, wherein the protective layer is a plasma resistant rare earth oxide film having a thickness of less than 300 μm and an average surface roughness of 10 micro-inches or less. 1. A method comprising:{'sub': 4', '2', '9', '2', '3', '2', '3', '2', '3', '3', '4', '2', '9', '3', '4', '2', '9', '3', '4', '2', '9', '3', '4', '2', '9', '2', '3', '2', '2', '3', '2', '2', '3', '2', '3', '2, 'performing ion assisted deposition to deposit a first conformal protective layer on at least one surface of a chamber component for a processing chamber, wherein the at least one surface has a first average surface roughness of approximately 8-16 micro-inches, wherein the first conformal protective layer has the first average surface roughness after deposition, and wherein the first conformal protective layer is a plasma resistant rare earth oxide ceramic film having a substantially uniform thickness of less than 300 μm over the at least one surface that is selected from a group consisting of YAlO, ErO, GdO, NdO, YF, ErAlO, ErAlO, GdAlO, GdAlO, NdAlO, NdAlO, a first ceramic compound comprising YAlOand a solid-solution of YO—ZrO, and a second ceramic compound comprising a mixture of YO, ZrO, ErO, GdOand SiO; and'}polishing the first conformal protective layer to a second average surface roughness of less than 10 micro-inches, wherein the second average surface roughness is equal to or less than the first average surface roughness.2. The method of claim 1 , further comprising:polishing the first conformal protective layer to an average surface roughness of 8 micro-inches or less.3. The method of claim 1 , wherein the first conformal protective layer has a thickness of 10-30 μm.4. The method of claim 1 , wherein a deposition rate of 1-2 Angstroms per second is used to deposit the first conformal protective layer.5. The method of claim 1 , ...

Подробнее
10-05-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180130643A1
Принадлежит:

A plasma processing apparatus includes: a base that has an electrode body provided with a placing surface on which a substrate is placed and a pedestal which supports the electrode body; a lid that is liftable and lowerable with respect to the base and forms a sealed space for performing plasma processing on the substrate placed on the placing surface by being lowered to come into close contact with the pedestal; a cover that is provided integrally with the lid and covers at least a part of an outer edge of the substrate placed on the placing surface when the sealed space is formed; and a guide that is disposed around the electrode body. 1. A plasma processing apparatus comprising:a base that has an electrode body provided with a placing surface on which a substrate is placed and a pedestal which supports the electrode body;a lid that is liftable and lowerable with respect to the base and forms a sealed space for performing plasma processing on the substrate placed on the placing surface by being lowered to come into close contact with the pedestal;a cover that is provided integrally with the lid and covers at least a part of an outer edge of the substrate placed on the placing surface when the sealed space is formed; anda guide that is disposed around the electrode body and is provided with a first main surface which is opposite to the lid, a second main surface which is opposite to the pedestal, and a guide surface which faces a side surface of the electrode body,wherein, when the sealed space is formed, the cover abuts on the first main surface and at least one of the cover and the guide surface is opposite to a side surface of the substrate, andwherein, when the sealed space is not formed, the cover and the first main surface are separated from each other and at least a part of the guide surface protrudes from the placing surface toward a side of the lid.2. The plasma processing apparatus of claim 1 , further comprising:a first biasing part that connects the ...

Подробнее
11-05-2017 дата публикации

RARE-EARTH OXIDE BASED COATINGS BASED ON ION ASSISTED DEPOSITION

Номер: US20170133207A1
Принадлежит:

A component for a semiconductor processing chamber includes a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches. The component further includes a conformal protective layer on at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is equal to or less than the first average surface roughness. 1. A component for a semiconductor processing chamber , comprising:a ceramic body having at least one surface with a first average surface roughness of approximately 8-16 micro-inches; anda conformal protective layer on at least one surface of the ceramic body, wherein the conformal protective layer is a plasma resistant rare earth oxide film having a substantially uniform thickness of less than 300 μm over the at least one surface and having a second average surface roughness of below 10 micro-inches, wherein the second average surface roughness is equal to or less than the first average surface roughness.2. The component of claim 1 , wherein the conformal protective layer comprises at least one of YAlOor YF.3. The component of claim 1 , wherein the conformal protective layer has a thickness of 10-30 μm and a porosity of below 1%.4. The component of claim 1 , wherein the average surface roughness of the conformal protective layer is less than 8 micro-inches and the substantially uniform thickness of the conformal is about 0.5-7.0 μm.5. The component of claim 1 , wherein the ceramic body is a bulk sintered ceramic body comprising at least one of YO claim 1 , or a ceramic compound comprising YAlOand a solid-solution of YO—ZrO.6. The component of claim 1 , where the conformal protective layer comprises a protective layer stack comprising a ...

Подробнее
23-04-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20200126816A1
Принадлежит:

A substrate processing apparatus includes a processing vessel; a placing table provided within the processing vessel and configured to place a substrate thereon; and a component disposed between the processing vessel and the placing table, the component constituting an anode. The component has a flow path through which a heat exchange medium flows. 1. A substrate processing apparatus , comprising:a reaction chamber; andan anode component disposed in the reaction chamber, the anode component having a flow path for a heat exchange fluid.2. The substrate processing apparatus of claim 1 ,wherein the reaction chamber has a first opening,the anode component includes:a deposition shield having a second opening at a position corresponding to the first opening; anda shutter configured to open or close the second opening, whereinat least one of the deposition shield and the shutter has the flow path.3. The substrate processing apparatus of claim 1 ,wherein the anode component is a baffle plate disposed in an exhaust device and the baffle plate has the flow path.4. The substrate processing apparatus of claim 1 ,wherein the anode component having the flow path includes a heat exchange promoter that increases a contact area with the heat exchange fluid.5. The substrate processing apparatus of claim 1 ,wherein the anode component having the flow path includes a frame having an internal space, a partition defining the flow path in the internal space, and a heat exchange promoter disposed in the flow path.6. The substrate processing apparatus of claim 5 ,wherein the heat exchange promoter supports the frame.7. The substrate processing apparatus of claim 5 ,wherein the frame, the partition and the heat exchange promoter are integrated into a single structure.8. The substrate processing apparatus of claim 1 ,wherein the anode component having the flow path is formed by a 3D printing technique or an additive manufacturing technique.9. The substrate processing apparatus of claim 3 , ...

Подробнее
09-05-2019 дата публикации

UNIFORM PUMPING DUAL-STATION VACUUM PROCESSOR

Номер: US20190139745A1
Принадлежит:

The present invention relates to a dual-station vacuum processor that pumps uniformly, comprising two vacuum processing chambers that may act as a process processing chamber, and an offset-pumping port and a vacuum pump which are common to and communicate with the two vacuum processing chambers, wherein a damper having a set thickness in a vertical direction is provided in a region proximal to the offset-pumping port in each vacuum processing chamber, so as to lower a pumping rate of gas at the pumping port proximal end and balance the pumping rate with the pumping rate of the gas at the pumping port distal end, thereby ameliorating the impact of chamber offset on the uniformity process processing. The present invention may further provide, in a rib as the damper, a channel in communication with the atmospheric environment outside of the chamber, so as to facilitate connection between a cable pipeline in the chamber and the outside. 1. A dual-station vacuum processor configured with two vacuum processing chambers , and the two vacuum processing chambers share and communicate to an offset-pumping port and a vacuum generation system , wherein:in the dual-station vacuum processor, a damper is provided in an adjustment region in each vacuum processing chamber; the damper has a set thickness in a vertical direction, and the damper extends transversely to cause its downward projection to have a region overlapping with the offset-pumping port;a vertically extending baffle plate is provided on a bottom plate of each vacuum processing chamber, a base for disposing a substrate is mounted on a sealing plate set to the top portion of the baffle plate, a chamber jointly enclosed by the sealing plate and the baffle plate, the bottom portion of the chamber has a first atmospheric environment channel in communication with an atmosphere environment, and the top portion of the sealing plate and an outer sidewall of the baffle plate communicate to the offset pumping port;the damper ...

Подробнее
04-06-2015 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20150155141A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus of the present disclosure includes a processing container provided with an opening to carry an object to be processed (“workpiece”) into or out of a chamber adjacent to the processing container; a microwave introducing mechanism configured to introduce microwaves into the processing container; an exhaust device configured to evacuate the processing container; and a thermal insulating member provided between an outer surface of a gate valve that is provided near the opening and the chamber adjacent to the processing container. The thermal insulating member is coated with a conductive film at least on a surface of the thermal insulating member facing the outer surface of the gate valve, a surface of the thermal insulating member facing the chamber adjacent to the processing container, and a surface of the thermal insulating member exposed to outer air. 1. A plasma processing apparatus comprising:a processing container provided with an opening to carry an object to be processed (“workpiece”) into or out of a chamber adjacent to the processing container;a microwave introducing mechanism configured to introduce microwaves into the processing container;an exhaust device configured to evacuate the processing container; anda thermal insulating member provided between an outer surface of a gate valve that is provided near the opening and the chamber adjacent to the processing container;wherein the thermal insulating member is coated with a conductive film at least on a surface facing the outer surface of the gate valve, a surface facing the chamber adjacent to the processing container, and a surface exposed to outer air.2. The plasma processing apparatus of claim 1 , wherein the conductive film is coated on a whole outer peripheral surface of the thermal insulating member.3. The plasma processing apparatus of claim 1 , wherein the conductive film is coated by a plating method or a thermal spraying method.4. The plasma processing apparatus of ...

Подробнее
21-08-2014 дата публикации

Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same

Номер: US20140235003A1
Принадлежит: Samsung Display Co Ltd

Provided is a vapor deposition apparatus including: a plasma generator configured to change at least a portion of a first raw material gas into a radical form; a corresponding surface corresponding to the plasma generator; a reaction space between the plasma generator and the corresponding surface; and an insulating member separated from, and surrounding the plasma generator.

Подробнее
07-06-2018 дата публикации

Film forming apparatus

Номер: US20180155817A1
Принадлежит: Tokyo Electron Ltd

A film forming apparatus includes: a chamber main body defining a chamber; a slit plate partitioning the chamber into a first space and a second space below the first space, the slit plate having a slit penetrating therethrough; a holder holding a target in the first space; a stage for supporting a substrate, the stage being movable in a moving direction perpendicular to a longitudinal direction of the slit in a moving area including an area directly below the slit; and a mechanism for moving the stage along the moving direction. In order to suppress scattering of particles from the target to another area other than the moving area in the second space through the slit, the stage has one or more protruding portions which provide upwardly and/or downwardly bent portions in a path around the stage between the slit and the another area in the second space.

Подробнее
23-05-2019 дата публикации

Multi-zone reactor, system including the reactor, and method of using the same

Номер: US20190157054A1
Принадлежит: ASM IP Holding BV

Multi-zone reactors, systems including a multi-zone reactor, and methods of using the systems and reactors are disclosed. Exemplary multi-zone reactors include a movable susceptor assembly and a moveable plate. The movable susceptor assembly and movable plate can move vertically between reaction zones of a reactor to expose a substrate to multiple processes or reactants.

Подробнее
14-05-2020 дата публикации

SUBSTRATE PROCESSING CHAMBER COMPONENT ASSEMBLY WITH PLASMA RESISTANT SEAL

Номер: US20200152425A1
Принадлежит:

Embodiments disclosed herein relate to a substrate processing chamber component assembly with plasma resistant seal. In one embodiment, the semiconductor processing chamber component assembly includes a first semiconductor processing chamber component, a second semiconductor processing component, and a sealing member. The sealing member has a body formed substantially from polytetrafluoroethylene (PTFE). The sealing member provides a seal between the first and second semiconductor processing chamber components. The body includes a first surface, a second surface, a first sealing surface, and a second sealing surface. The first surface is configured for exposure to a plasma processing region. The second surface is opposite the first surface. The first sealing surface and the second sealing surface extend between the first surface and the second surface. The first sealing surface contacts the first semiconductor processing chamber component. The second sealing surface contacts the second semiconductor processing chamber component. 1. A semiconductor processing chamber component assembly , comprising:a first semiconductor processing chamber component;a second semiconductor processing chamber component; and a first side configured for exposure to a plasma processing region;', 'a second side opposite the first side;', 'a first sealing surface extending between the first side and the second side, the first sealing surface contacting the first semiconductor processing chamber component; and', 'a second sealing surface extending between the first side and the second side, the second sealing surface contacting the second semiconductor processing chamber component, wherein the first sealing surface, the second sealing surface, the first side, and the second side include a surface finish in the range of 1-30 pinches., 'a sealing member providing a seal between the first and second semiconductor processing chamber components, the sealing member having a body including a first ...

Подробнее
30-05-2019 дата публикации

MEDICAL DEVICE WITH PLASMA MODIFIED OXIDE LAYER AND METHOD OF FORMING SUCH A DEVICE

Номер: US20190161854A1
Принадлежит:

A method of modifying a surface of a medical device for implantation or disposition inside a patient is described. The medical device comprises a structure having at least one surface. The method includes the steps of: placing the medical device into a plasma chamber substantially free from contaminants and substantially sealing the plasma chamber from the atmosphere; removing at least an outermost layer of any oxide layer from the at least one surface of the structure by a plasma oxide-removal process, whilst maintaining the plasma chamber under seal from the atmosphere; and subsequently forming a new oxide layer at the least one surface of the structure by introducing at least one gas into the plasma chamber, whilst maintaining the plasma chamber under seal from the atmosphere. A medical device including a bulk material and an oxide layer disposed over at least one surface of the medical device. The oxide layer is substantially pure and free from contaminants. 1. A method of modifying a surface of a medical device for implantation or disposition inside a patient , the medical device comprising a structure having at least one surface , the method including the steps of:placing the medical device into a plasma chamber substantially free from contaminants and substantially sealing the plasma chamber from the atmosphere;removing at least an outermost layer of any oxide layer from the at least one surface of the structure by a plasma oxide-removal process, whilst maintaining the plasma chamber under seal from the atmosphere; andsubsequently forming a new oxide layer at the at least one surface of the structure by introducing at least one gas into the plasma chamber, whilst maintaining the plasma chamber under seal from the atmosphere.2. A method according to wherein the plasma oxide-removal process includes the steps of:drawing down a vacuum in the plasma chamber;introducing at least one of hydrogen and argon gas into the plasma chamber; andsupplying energy to the ...

Подробнее
30-05-2019 дата публикации

Plasma processing apparatus

Номер: US20190164726A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber in which plasma is generated, and a protection target member which is provided in the processing chamber and needs to be protected from consumption by the plasma. The protection target member is made of a material having a property of integrating radicals and/or anions or a protective layer containing the material is provided on a surface of the protection target member.

Подробнее
06-06-2019 дата публикации

COMPOSITION AND MOLDED ARTICLE

Номер: US20190169415A1
Принадлежит:

The invention provides a composition capable of providing a molded article that has excellent heat resistance and a small weight change against oxygen plasma exposure and fluorine plasma exposure during a semiconductor manufacturing step. The composition contains a fluorine-containing polymer and a hyperbranched polymer of a cage silsesquioxane with a specific structure. 2. The composition according to claim 1 ,wherein the hyperbranched polymer of a cage silsesquioxane has a molecular weight distribution.5. The composition according to claim 1 ,wherein the fluorine-containing polymer is a fluorine-containing elastomer.6. The composition according to claim 1 ,wherein the composition contains 0.5 to 100 parts by mass of the hyperbranched polymer of a cage silsesquioxane relative to 100 parts by mass of the fluorine-containing polymer.7. The composition according to claim 1 , further comprising a cross-linking agent.8. The composition according to claim 1 ,wherein the composition is a molding material.9. A molded article obtainable from the composition according to . The invention relates to compositions containing a fluorine-containing polymer, and molded articles obtainable therefrom.Fluoroelastomers, especially perfluoroelastomers containing a tetrafluoroethylene (TFE) unit, have excellent chemical resistance, solvent resistance, and heat resistance. They are therefore widely used as components such as sealants in severe environments in the aerospace field, the semiconductor equipment field, the chemical plant field, and the like.Addition of filler to fluorine-containing elastomers is a known technique to improve characteristics that sealants need to have.In order to provide a semiconductor equipment sealant having heat resistance, low gas permeability, and stability even against plasma irradiation in an oxygen or CFatmosphere, and generating no dust, Patent Literature 1 proposes adding 1 to 50 parts by weight of silica and 1 to 10 parts by weight of an organic ...

Подробнее
23-06-2016 дата публикации

PLASMA GENERATING DEVICE WITH MOVING CAROUSEL AND METHOD OF USE

Номер: US20160181072A1
Автор: DeLarge Gregory
Принадлежит:

One possible embodiment of the invention could be a plasma reactor chamber and method of operating same wherein the plasma reactor chamber comprises a set of chamber walls and a door that when closed seals the plasma reactor chamber air-tight; one or more RF electrodes with at least one RF electrode being a power RF electrode; and a structure that moves one or more specimens proximate to the one or more RF electrodes. 1. A method of operating a plasma reactor chamber comprising the following steps but not necessarily in the order shown:(a) providing a plasma reactor chamber that is sealable and air-tight when sealed, the plasma reactor chamber containing one or more RF electrodes, polytetrafluoroethylene at least partially covering at least one of the one or more RF electrodes;(b) removing the air from plasma reactor chamber so it can be replaced with a plasma creating gas;(c) energizing the one or more RF electrodes to energize the polytetrafluoroethylene; and(d) forming a plasma field proximate to the one or more RF electrodes.2. The method of further comprising a step of forming a gas from energizing polytetrafluoroethylene that gas providing deposition upon one or more specimens placed into the plasma reactor chamber.3. The method of further comprising a step of using a gas formed from the energizing of polytetrafluoroethylene for deposition.4. The method of further comprising a step of forming a gas from energizing polytetrafluoroethylene that gas supporting plasma creation.5. The method of further comprising a step of using a gas formed from the energizing polytetrafluoroethylene for plasma creation.6. The method of wherein the energizing of polytetrafluoroethylene forms fluorine gas.7. The method of further comprising a step of introducing the one or more specimens to a gas created through the energization of the polytetrafluoroethylene.8. The method of further comprising a step of introducing the one or more specimens to the plasma field as formed by at ...

Подробнее
02-07-2015 дата публикации

Substrate processing apparatus, shutter device and plasma processing apparatus

Номер: US20150187542A1
Автор: Toshifumi Ishida
Принадлежит: Tokyo Electron Ltd

Abnormal discharge is suppressed from occurring within a chamber. A plasma processing apparatus 1 includes a cylindrical chamber 10 having an opening 51 through which a processing target substrate is loaded into the chamber; a deposition shield 71 which is provided along an inner wall of the chamber 10 and has an opening 71 a at a position corresponding to the opening 51; and a shutter 55, having a plate shape, configured to open and close the opening 71 a. Further, in a state that the opening 71 a is closed by the shutter 55, an outer periphery of the shutter 55 is overlapped with the deposition shield 71 in a thickness direction of the shutter 55 and an inner periphery of the opening 71 a is overlapped with the shutter 55 in the thickness direction of the shutter 55.

Подробнее
30-06-2016 дата публикации

METHOD AND SYSTEM FOR GALVANIZING BY PLASMA EVAPORATION

Номер: US20160186308A1
Автор: VANDENBRANDE Pierre
Принадлежит:

The invention relates to a method and a system for the plasma treatment of successive substrates comprising one or more steel products in which the substrates are transported, one after another, through at least one plasma treatment zone, characterized in that the electric power for generating the plasma in the treatment zone is varied according to the area of the substrate is present in this treatment zone when the substrate is running through this zone. 1919201791920151628299192015162829. Plant for the plasma treatment of successive substrates comprising one or more steel products , this plant having at least one plasma treatment device ( , ,) with a chamber in which a treatment zone is provided and transport means () for passing the substrates through the treatment zone of the device ( , ,) , characterised in that a detector ( , , ,) is provided at the entry and exit of the treatment device ( , ,) , these detectors ( , , ,) cooperating by means of a slave program with an electrical power supply provided in order to generate a plasma in the treatment zone according to the area of the substrate present in this treatment zone when the substrate passes through this zone.29819201113. Plant according to claim 1 , characterised in that it comprises a first treatment device () having a vacuum vessel () with a plasma ion source for activating the surface of the substrates claim 1 , followed by at least a second treatment device ( claim 1 ,) having a zinc plating vacuum vessel ( claim 1 ,) for galvanising the substrates.32989141589168. Plant according to claim 2 , characterised in that an entry vacuum airlock () is provided upstream of the first device () that is separated from the vessel () of the first device () by an airtight door () claim 2 , a detector () for the entry of the substrate being provided in the vessel () upstream of the treatment zone of the first device () and a detector () for the exiting of the substrate being mounted in the vessel () downstream of ...

Подробнее
28-06-2018 дата публикации

FEEDTHROUGH DEVICE AND SIGNAL CONDUCTOR PATH ARRANGEMENT

Номер: US20180182514A1
Принадлежит: MAPPER LITHOGRAPHY IP B.V.

Feedthrough device (), for forming a hermetic seal around signal conductors in a signal conductor group () with a group width. The device comprises a slotted member () and a base (). The base defines a through hole () that extends entirely through the base along a feedthrough direction (X), and is adapted to accommodate the slotted member. The slotted member defines first and second surfaces () on opposite sides associated with the feedthrough direction, and a side surface () facing transverse to the feedthrough direction. The slotted member comprises a slot (), which extends along the feedthrough direction through the slotted member, and opens into the first and second surfaces and into a longitudinal opening () along the side surface. The slot extends transversely into the slotted member up to a slot depth at least equal to the signal conductor group width. 2. The feedthrough device according to claim 1 , wherein the first surface of the slotted member is recessed along the feedthrough direction (X) relative to a surface of the base directly around the hole claim 1 , when the slotted member is accommodated in the hole claim 1 , so that at least the first surface of the slotted member and the inner surface of the base form a receptacle in which a sealing body can be accommodated.3. The feedthrough device according to claim 1 , wherein the side surface of the slotted member has a cross-sectional shape that resembles a stadium or a rounded rectangle claim 1 , and wherein the inner surface of the base around the though hole has cross-sectional shape adapted to accommodate the slotted member in a form-fitting manner.4. The feedthrough device according to claim 1 , wherein the side surface of the slotted member defines a flat surface portion that extends in a translationally symmetric manner along a direction (Y) perpendicular to the feedthrough direction (X) claim 1 , wherein the longitudinal opening is located at the flat surface portion claim 1 , and wherein the at ...

Подробнее
04-06-2020 дата публикации

FEEDTHROUGH DEVICE AND SIGNAL CONDUCTOR PATH ARRANGEMENT

Номер: US20200176151A1
Принадлежит: ASML Netherlands B.V.

Feedthrough device (), for forming a hermetic seal around signal conductors in a signal conductor group () with a group width. The device comprises a slotted member () and a base (). The base defines a through hole () that extends entirely through the base along a feedthrough direction (X), and is adapted to accommodate the slotted member. The slotted member defines first and second surfaces () on opposite sides associated with the feedthrough direction, and a side surface () facing transverse to the feedthrough direction. The slotted member comprises a slot (), which extends along the feedthrough direction through the slotted member, and opens into the first and second surfaces and into a longitudinal opening () along the side surface. The slot extends transversely into the slotted member up to a slot depth at least equal to the signal conductor group width. 123-. (canceled)24. A feedthrough device for forming a hermetic seal around a plurality of signal conductors , the signal conductors extending alongside each other to form a signal conductor group with a group width , wherein the feedthrough device comprises:a slotted member, defined by a first surface, a second surface, and a side surface, the first and second surfaces facing opposite each other in a feedthrough direction (X) and the side surface configured to interconnect the first and second surfaces, wherein the slotted member comprises at least one slot extending along the feedthrough direction through the slotted member and defining a passage for the signal conductor group to pass through the slotted member, wherein the slot comprises an opening along the side surface and is configured to extend from the side surface into the slotted member in a direction transverse to the feedthrough direction; anda base with a through hole configured to extend through the base in the feedthrough direction between openings defined in outer surfaces of the base, wherein the through hole is adapted to accommodate the ...

Подробнее
16-07-2015 дата публикации

Process chamber and substrate processing device

Номер: US20150197851A1
Принадлежит: INOCT Co Ltd

The present invention relates to a process chamber and to a substrate processing device. The process chamber according to one embodiment of the present invention includes: a boat in which a plurality of substrates are vertically stacked apart from each; a chamber housing which raises the boat in order to position the boat in an inner space and horizontally injects a process gas from the sidewall and causes the process gas to flow between the substrates which are stacked apart from each other so as to discharge the process gas; a boat elevation unit which elevates the boat into the chamber housing; and a substrate transfer gate at which one side wall of the chamber housing is penetrated. Also, the substrate processing device according to one embodiment of the present invention includes: a process chamber having a boat which causes a plurality of substrates to be stacked apart from each other and injects process gas in between the substrates which are stacked apart from each other in the boat during rotation so as to discharge the process gas; a load lock chamber which is changed from a vacuum state to an atmospheric state or from an atmospheric state to a vacuum state; and a transfer chamber which transfers the substrate transferred in the load lock chamber to the process chamber and transfers the substrate transferred from the process chamber to the load lock chamber.

Подробнее
14-07-2016 дата публикации

VAPOR DEPOSITION APPARATUS, DEPOSITION METHOD, AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS BY USING THE SAME

Номер: US20160203954A1
Принадлежит:

Provided is a vapor deposition apparatus including: a plasma generator configured to change at least a portion of a first raw material gas into a radical form; a corresponding surface corresponding to the plasma generator; a reaction space between the plasma generator and the corresponding surface; and an insulating member separated from, and surrounding the plasma generator. 1. A vapor deposition apparatus for forming a deposition layer on a substrate , the vapor deposition apparatus comprising:a plasma generator configured to change at least a portion of a first raw material gas into a radical form;a corresponding surface corresponding to the plasma generator;a reaction space between the plasma generator and the corresponding surface; andan insulating member separated from, and surrounding the plasma generator.2. The vapor deposition apparatus of claim 1 , wherein the insulating member is separated from the plasma generator by an inserting member located between the plasma generator and the insulating member.3. The vapor deposition apparatus of claim 2 , wherein a space between the plasma generator and the insulating member is substantially sealed by the inserting member.4. The vapor deposition apparatus of claim 3 , wherein the inserting member comprises a first inserting member adjacent one end of the plasma generator claim 3 , and a second inserting member adjacent an opposing end of the plasma generator claim 3 , andwherein a space between the first inserting member and the second inserting member, between the plasma generator and the insulating member, is substantially sealed by the first inserting member and the second inserting member.5. The vapor deposition apparatus of claim 2 , wherein the inserting member has elasticity.6. The vapor deposition apparatus of claim 2 , wherein the inserting member is an O-ring.7. The vapor deposition apparatus of claim 1 , wherein the plasma generator is an electrode.8. The vapor deposition apparatus of claim 1 , wherein ...

Подробнее
27-06-2019 дата публикации

Plasma Processing Apparatus and Method of Manufacturing Semiconductor Device Using the Same

Номер: US20190198296A1
Принадлежит:

Disclosed are plasma processing apparatuses and methods of manufacturing semiconductor devices. The plasma processing apparatus includes a chamber including lower and upper housings, a window in the upper housing, an antenna for generating plasma of a first gas, wherein the antenna is disposed on the window and in the upper housing, a first pump for exhausting the first gas between the window and the lower housing, wherein the first pump is associated with the lower housing, a power supply for providing a power output, wherein the power supply is connected to the antenna through a first cavity of the upper housing, and a second pump for pumping a second gas between the window and in the upper housing so as to hold the antenna and the window onto an inside wall of the upper housing. 1. A plasma processing apparatus , comprising:a chamber comprising a lower housing and an upper housing on the lower housing;a window in the upper housing;an antenna for generating a plasma of a first gas, wherein the antenna is disposed on the window and in the upper housing;a first pump for exhausting the first gas between the window and the lower housing, wherein the first pump is associated with the lower housing;a power supply for providing a power output, wherein the power supply is connected to the antenna through a first cavity of the upper housing; anda second pump for pumping a second gas between the window and the upper housing so as to hold the antenna and the window onto an inside wall of the upper housing, wherein the second pump is associated with a second cavity of the upper housing, wherein the second cavity is different than the first cavity, and wherein the second pump is associated independently of the power supply.2. The plasma processing apparatus of claim 1 , wherein the first pump comprises a dry pump claim 1 , and wherein the second pump comprises a venturi pump that generates a pressure lower than atmospheric pressure.3. The plasma processing apparatus of claim 2 ...

Подробнее
18-06-2020 дата публикации

VACUUM CHAMBER OPENING SYSTEM

Номер: US20200194234A1
Принадлежит:

An apparatus for processing substrates is provided. A chamber comprises a chamber top and a chamber bottom, wherein the chamber bottom is detachably connected to the chamber top. At least one substrate support supports at least one substrate in the chamber. A substrate port allows a substrate to move into or out of the chamber. A seal creates a vacuum seal when the chamber top is on the chamber bottom. A manipulation system for manipulating an interior of the chamber when the chamber top is spaced apart from the chamber bottom comprises 1) a sealing wall for creating a seal between the chamber top and chamber bottom when the chamber top is spaced apart from the chamber bottom and 2) a manipulation port in the sealing wall, wherein the manipulation port allows a mechanical force to be provided through the sealing wall inside the chamber. 1. An apparatus for processing substrates , comprising: a chamber top; and', 'a chamber bottom, wherein the chamber bottom is detachably connected to the chamber top;, 'a chamber, comprisingat least one substrate support for supporting at least one substrate in the chamber;a substrate port for moving a substrate into or out of the chamber;a seal for creating a vacuum seal when the chamber top is on the chamber bottom; and a sealing wall for creating a seal between the chamber top and chamber bottom when the chamber top is spaced apart from the chamber bottom; and', 'a manipulation port in the sealing wall, wherein the manipulation port allows a mechanical force to be provided through the sealing wall inside the chamber., 'a manipulation system for manipulating an interior of the chamber when the chamber top is spaced apart from the chamber bottom, comprising2. The apparatus claim 1 , as recited in claim 1 , further comprising:a gas inlet for providing gas into the chamber; anda pressure system for sensing and maintaining a pressure in the chamber.3. The apparatus claim 1 , as recited in claim 1 , wherein the manipulation port is at ...

Подробнее
18-06-2020 дата публикации

APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200194235A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

An apparatus for manufacturing a semiconductor device includes: a process chamber including a plasma processing space; and a substrate supporter arranged in the process chamber and configured to support a substrate, wherein the substrate supporter includes: a base including a plurality of lift pin holes, each configured to accommodate a lift pin; and a seal band having a ring shape and protruding from the base, the seal band having an inner diameter that is less than a pitch circle diameter of the plurality of lift pin holes. 1. An apparatus configured to manufacture a semiconductor device , the apparatus comprising:a process chamber having a plasma processing space therein; anda substrate supporter in the process chamber, the substrate supporter configured to support a substrate, the substrate supporter including,a base having a plurality of lift pin holes therein such that the plurality of lift pin holes are arranged with a pitch circle diameter, the plurality of lift pin holes each configured to accommodate a lift pin; anda seal band having a ring shape and protruding from the base, the seal band having an inner diameter less than the pitch circle diameter of the plurality of lift pin holes.2. The apparatus according to claim 1 , wherein the plurality of lift pin holes are configured to penetrate the seal band.3. The apparatus according to claim 2 , wherein the plurality of lift pin holes are between an outer edge of the seal band and an inner edge of the seal band.4. The apparatus according to claim 2 , wherein a width of the seal band in a radial direction thereof is greater than a diameter of each of the plurality of lift pin holes.5. The apparatus according to claim 1 , wherein a width of the seal band in a radial direction thereof is constant.6. The apparatus according to claim 1 , wherein the substrate supporter further comprises:a pedestal temperature controller configured to adjust a temperature of the substrate loaded on the substrate supporter.7. The ...

Подробнее
27-07-2017 дата публикации

METHOD AND APPARATUS FOR SAVING ENERGY WHILE INCREASING THE CONVEYING SPEED IN VACUUM COATING PLANTS

Номер: US20170213708A1
Принадлежит: Grenzebach Maschinenbau GmbH

Disclosed are an apparatus and a method for saving energy while increasing the conveying speed in vacuum coating plants consisting of a series of sputtering segments () and gas separation segments () along with a continuous substrate plane (). Said apparatus has the following features: a) each of the sputtering segments () consists of a tank tub () inside which a conveying device () is located; the flange () of the tank is positioned in the immediate vicinity above the substrate plane (); a cathode bearing block (), along with targets () and gas inlet ducts (), is located in the tank cover () in the immediate vicinity of the substrate together with splash guards (); b) in the region of the substrate plane (), the gas separation segments () are provided with a tunnel cover () that extends along the entire length of the gas separation segment (); c) sputtering segments () and/or gas separation segments () are evacuated using one or more vacuum pumps (), and the air pumped in said process is trapped in an air reservoir () having an adjustable volume. 1321: An apparatus for saving energy and simultaneously increasing the throughput speed in vacuum coating installations which consist of a sequence of sputtering segments () and gas separating segments () with a continuous substrate plane () , having the following features:{'b': 3', '12', '11', '1', '4', '4', '6', '6', '1', '5', '8', '10', '9', '4, 'd) the sputtering segments () each consist of a tank tub () with an internal transporting device () for transporting substrates () and at least one tank cover () which is connected to the tank tub () by means of a tank flange (), wherein the tank flange () is positioned in the immediate vicinity above the substrate plane () and wherein a cathode bearing block () with targets () and gas inlet ducts () is located in the immediate vicinity of the substrate with splash guards () in the tank cover (),'}{'b': 2', '1', '14', '2', '1', '17', '18', '1', '14, 'e) the gas separating ...

Подробнее
26-07-2018 дата публикации

METHOD AND APPARATUS FOR SEMICONDUCTOR PROCESSING CHAMBER ISOLATION FOR REDUCED PARTICLES AND IMPROVED UNIFORMITY

Номер: US20180211820A1
Принадлежит:

Implementations of the present disclosure generally relate to apparatus and methods for uniform deposition of thin films on substrates. In one implementation, a plasma-processing chamber comprises a chamber body including chamber walls, a chamber floor, and a lid support. The plasma-processing chamber further comprises a substrate support assembly at least partially disposed within the chamber body and configured to support a substrate. The plasma-processing chamber further comprises a lid assembly disposed over the support assembly and positioned on the lid support wherein the lid assembly and the chamber body define a first processing volume. The plasma-processing chamber further comprises a bottom isolation assembly that circumscribes at least a portion of the substrate support assembly and is vertically movable from a loading position to a processing position. A seal is formed between the bottom isolation assembly and the lid assembly when the bottom isolation assembly is in the processing position. 1. A plasma-processing chamber , comprising:a chamber body including chamber walls, a chamber floor, and a lid support;a substrate support assembly at least partially disposed within the chamber body and configured to support a substrate;a lid assembly disposed over the support assembly and positioned on the lid support wherein the lid assembly and the chamber body define a first processing volume; anda bottom isolation assembly that circumscribes at least a portion of the substrate support assembly and is vertically movable from a loading position to a processing position, wherein a seal is formed between the bottom isolation assembly and the lid assembly when the bottom isolation assembly is in the processing position.2. The plasma-processing chamber of claim 1 , wherein the bottom isolation assembly and the lid assembly define a second processing volume which is smaller than and within the first processing volume when the bottom isolation assembly is in the ...

Подробнее
13-08-2015 дата публикации

BATCH-TYPE REMOTE PLASMA PROCESSING APPARATUS

Номер: US20150228476A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

A plasma processing apparatus comprises a processing chamber in which a plurality of substrates are stacked and accommodated; a pair of electrodes extending in the stacking direction of the plurality of substrates, which are disposed at one side of the plurality of substrates in said processing chamber, and to which high frequency electricity is applied; and a gas supply member which supplies processing gas into a space between the pair of electrodes. 19-. (canceled)10. A method of manufacturing a semiconductor device comprising:accommodating a plurality of substrates in a processing chamber provided in a processing tube;activating a processing gas in a plasma generating chamber that extends outside of a circumference of the processing tube by applying electricity to an electrode;supplying the processing gas from the plasma generating chamber to the processing chamber; andremoving the processing gas from the processing chamber via a gas exhaust opening formed in the processing tube.11. The method of manufacturing a semiconductor device as recited in claim 10 , wherein the electrode is provided outside of the plasma generating chamber.12. The method of manufacturing a semiconductor device as recited in claim 10 , wherein the electrode has a flat plate-like shape.13. The method of manufacturing a semiconductor device as recited in claim 10 , wherein the electrode has a cylindrical shape.14. The method of manufacturing a semiconductor device as recited in claim 10 , wherein a wall with a blowout opening separates the processing chamber and the plasma generating chamber.15. The method of manufacturing a semiconductor device as recited in claim 10 , wherein the gas exhaust opening is opened at the bottom of the processing tube.16. The method of manufacturing a semiconductor device as recited in claim 10 , wherein the gas exhaust opening is opened at the top of the processing tube.17. A method of manufacturing a semiconductor device comprising:accommodating a plurality of ...

Подробнее
11-07-2019 дата публикации

Load lock system for charged particle beam imaging

Номер: US20190214225A1
Принадлежит: ASML Netherlands BV

A load lock system for charged particle beam imaging with a particle shielding plate, a bottom seal plate and a plurality of sensor units is provided. The sensor units are located above the wafer, the shield plate is designed to have a few number of screws, and the bottom seal plate contains no cable, no contact sensors and fewer screws used. In the invention, the system is designed to improve the contamination particles from components in the load lock system of charged particle beam inspection tool and also to simplify its assembly.

Подробнее
09-07-2020 дата публикации

Semiconductor processing chamber

Номер: US20200219737A1
Принадлежит: Xia Tai Xin Semiconductor Qing Dao Ltd

The instant disclosure includes a semiconductor processing chamber. The semiconductor processing chamber includes a lid, a body, and a gasket. The gasket has a sealing portion and at least one handle portion protruding from the sealing portion. The at least one handle portion is used for applying force to the gasket during replacement process.

Подробнее
16-08-2018 дата публикации

Temperature Controlled Spacer For Use In A Substrate Processing Chamber

Номер: US20180233326A1
Принадлежит:

A system for processing a substrate includes a chamber having a chamber wall that defines a lower chamber portion and an upper chamber wall that defines an upper chamber portion. A showerhead is disposed in the upper chamber portion. A pedestal with a support for the substrate is disposed in the lower chamber portion and oriented below the showerhead, such that a processing region is defined between the support of the pedestal and the showerhead. A spacer is disposed between the showerhead and the lower chamber wall of the lower chamber portion. The spacer is defined by an annular body that includes a vertical component. The annular body also includes a side extension that is disposed outside of the processing region and projects radially away from the vertical component. The annular body includes a groove that is formed in the side extension so as to surround the vertical component of the annular body. A heating element is embedded in the groove of the side extension. 1. A system for processing a substrate , the system comprising:a chamber having a chamber wall that defines a lower chamber portion and having an upper chamber portion, the upper chamber portion having a plasma chamber;a showerhead disposed between the plasma chamber of the upper chamber portion and a processing region;a pedestal disposed in the lower chamber portion, the pedestal having a support for the substrate, when present, the support of the pedestal configured to be oriented under the showerhead, such that the processing region is defined between the support of the pedestal and the showerhead; an annular body with a vertical component that defines a sidewall that surrounds the processing region;', 'the annular body includes a side extension that is disposed outside of the processing region, the side extension projects radially away from the vertical component;', 'the annular body includes a groove that is formed in the side extension, the groove is configured to surround the vertical component ...

Подробнее
16-08-2018 дата публикации

ADJUSTABLE EXTENDED ELECTRODE FOR EDGE UNIFORMITY CONTROL

Номер: US20180233334A1
Принадлежит:

Embodiments described herein generally related to a substrate processing apparatus. In one embodiment, a process kit for a substrate processing chamber disclosed herein. The process kit includes a first ring having a top surface and a bottom surface, an adjustable tuning ring having a top surface and a bottom surface, and an actuating mechanism. The bottom surface is supported by a substrate support member. The bottom surface at least partially extends beneath a substrate supported by the substrate support member. The adjustable tuning ring is positioned beneath the first ring. The top surface of the adjustable tuning ring and the first ring define an adjustable gap. The actuating mechanism is interfaced with the bottom surface of the adjustable tuning ring. The actuating mechanism is configured to alter the adjustable gap defined between the bottom surface of the first ring and the top surface of the adjustable tuning ring. 1. A method for changing a plasma-sheath boundary curvature at and near the periphery of the substrate in a plasma processing chamber , the method comprising:forming a plasma above a substrate support having an edge ring and an adjustable tuning ring disposed under the edge ring;activating a lift mechanism to vertically move the adjustable tuning ring;adjusting a gap between a top surface of the adjustable tuning ring and a bottom surface of the edge ring by vertically moving the adjustable tuning ring; andchanging a plasma-sheath boundary curvature above the edge ring.2. The method of claim 1 , wherein changing a plasma profile comprises:altering a thickness of a plasma sheath formed between the plasma and the edge ring.3. The method of further comprising:inserting one or more dielectric pads between the tuning ring and the substrate support to create a gap.4. The method of further comprising:decreasing power coupled from a cathode to the tuning ring by increasing the gap.5. The method of claim 1 , wherein adjusting a gap between the adjustable ...

Подробнее
23-08-2018 дата публикации

Film forming apparatus

Номер: US20180237914A1
Принадлежит: Tokyo Electron Ltd

An apparatus for forming a nitride film of a raw material component on a substrate, includes: a raw material gas supply part having discharge ports that discharge a raw material gas and a purge gas, and an exhaust port; a reaction region spaced apart from the raw material gas supply part in a circumferential direction of a rotary table; a modification region spaced apart from the reaction region in the circumferential direction and in which the nitride film is modified with a hydrogen gas; a first plasma generating part provided in the modification region and a second plasma generating part provided in the reaction region, and for activating a gas existing in each of the modification and reaction regions; a reaction gas supply part for supplying the ammonia gas to the reaction region; and an exhaust port that evacuates an interior of the vacuum vessel.

Подробнее
24-08-2017 дата публикации

Atmospheric Pressure Pulsed Arc Plasma Source and Methods of Coating Therewith

Номер: US20170243727A1
Принадлежит:

An atmospheric pressure pulsed arc plasma source and method of using including a housing having a housing opening therein; an insulator tube having an insulator tube opening therein, retained within the housing opening; and a conductive tube, retained within the insulator tube opening. A nozzle is retained by the housing. A feed path is defined in the conductive tube and the nozzle and a gas feed port is operatively coupled to the feed path. Feedstock is provided in the feed path and electrically coupled to the conductive tube. A pulsed DC power source provides a pulsed voltage to the conductive tube. The plasma source emits a discharge stream having a temperature that is less than 50° C. from the nozzle and a coating is formed on a substrate. 1. An atmospheric pressure pulsed arc plasma source , comprising:a housing, including a housing opening therein;an insulator tube having an insulator tube opening therein, wherein said insulator tube is retained within said housing opening;a conductive tube retained within said insulator tube opening; wherein said conductive tube includes a first portion and a second portion;a nozzle retained by said housing and positioned around said first portion of said conductive tube;a feed path defined in said conductive tube and said nozzle;a gas feed port operatively coupled to said feed path; anda pulsed DC power supply electrically coupled to said conductive tube wherein said pulsed DC power supply is configured to provide a pulsed voltage in the range of 0.5 to 100 kV at a pulse frequency in the range of 10 to 5000 Hz and a pulse width of 1 to 5000 μs, and said atmospheric pressure pulsed arc plasma source emits a discharge stream having a temperature that is less than 50° C. as measured by a thermocouple placed into said discharge stream 1 cm from the nozzle.2. The atmospheric pressure pulsed arc plasma source of claim 1 , further comprising a gas supply operatively coupled to said gas feed port claim 1 , wherein said gas supply is ...

Подробнее
10-09-2015 дата публикации

Plasma processing apparatus and substrate processing apparatus provided with same

Номер: US20150255258A1
Принадлежит: Tokyo Electron Ltd

Provided is a plasma processing apparatus including: a rotary mounting table supported by a rotatory shaft arranged rotatably within a processing chamber and including multiple substrate placement units arranged side by side in a circumferential direction; a processing gas supplying section for supplying processing gas into the processing chamber; a plasma generating section wherein multiple microwave introducing mechanisms, each provided on the ceiling of the processing chamber so as to face the rotary mounting table and used for generating a plasma of the processing gas, are arranged in multiple rows spaced apart from each other from the inside of the movement path of the substrates when the rotary mounting table is rotated to the outside, each row of microwave introducing mechanisms being formed by arranging the microwave introducing mechanisms annularly side by side along the circumferential direction; and an exhaust unit that evacuates an inside of the processing chamber.

Подробнее
01-08-2019 дата публикации

ION BEAM APPARATUS INCLUDING SLIT STRUCTURE FOR EXTRACTING ION BEAM

Номер: US20190237292A1
Автор: Park Jongchul
Принадлежит:

An ion beam apparatus includes a source part generating plasma therein, a process part in which a process using an ion beam is performed, and a slit structure provided between the source part and the process part and extracting the ion beam from the plasma. The slit structure includes at least one electrode structure. The electrode structure has a slit penetrating the electrode structure and extending in a first direction. The ion beam is irradiated onto a substrate at an incident angle through the slit. The incident angle of the ion beam is adjusted by rotating the electrode structure on a rotation axis parallel to the first direction. 114-. (canceled)15. An ion beam apparatus , comprising:a source part generating plasma therein;a process part in which a process using an ion beam is performed;a slit structure provided between the source part and the process part, the slit structure extracting the ion beam from the plasma, the slit structure including an electrode plate having a plurality of slits that have line shapes when viewed in a plan view; anda stage provided in the process part, the stage on which a substrate is to be loaded, whereinthe ion beam is irradiated onto the substrate at an incident angle through the plurality of slits,the stage is provided to have a tilt angle with respect to a flat surface of the electrode plate, andthe incident angle of the ion beam is adjusted by adjusting the tilt angle of the stage.16. The ion beam apparatus as claimed in claim 15 , wherein:each of the plurality of slits has a straight line shape extending in a first direction when viewed in a plan view, andthe plurality of slits are arranged in a second direction intersecting the first direction when viewed in a plan view.17. The ion beam apparatus as claimed in claim 15 , wherein at least one of the plurality of slits has a curved line shape when viewed in a plan view.18. The ion beam apparatus as claimed in claim 15 , wherein:the electrode plate is a first electrode plate ...

Подробнее
01-08-2019 дата публикации

ASYMMETRICAL SEALING AND GAS FLOW CONTROL DEVICE

Номер: US20190237344A1
Принадлежит:

A sealing device configured for use in a vacuum chamber between a reaction region of the vacuum chamber and an exhaust port includes a ring-shaped body with an upper surface and a lower surface. A distance between the upper surface and the lower surface of the sealing device is a thickness of the ring-shaped body. The thickness of the ring-shaped body differs along a circumference of the ring-shaped body such that the ring-shaped body has a wedge shape. The thickness of the ring-shaped body, around its circumference is dependent upon a structure of the exhaust port. 1. A sealing device configured for use in a vacuum chamber between a reaction region of the vacuum chamber and an exhaust port , comprising:a ring-shaped body;an upper surface; anda lower surface,wherein a distance between the upper surface and the lower surface of the sealing device is a thickness of the ring-shaped body,wherein the thickness of the ring-shaped body differs along a circumference of the ring-shaped body such that the ring-shaped body has a wedge shape, andwherein the thickness of the ring-shaped body, around its circumference is dependent upon a structure of the exhaust port.2. The sealing device of claim 1 , wherein the sealing device constitutes a part of a lower surface of an exhaust passage surrounding the reaction region.3. The sealing device of claim 1 , wherein the sealing device is disposed opposite to a gas distribution plate for supplying a process gas to the reaction region.4. The sealing device of claim 1 , wherein the structure of the exhaust port includes one or more of a distance from the exhaust port claim 1 , a direction of the exhaust port claim 1 , and a number of additional exhaust ports other than the exhaust port.5. The sealing device of claim 1 , wherein a reference plane includes an internal-diameter center axis of the body and the exhaust port claim 1 , anda cross-sectional shape of the ring-shaped body taken in the reference plane is asymmetrical with respect to ...

Подробнее
23-07-2020 дата публикации

COIL AND WINDOW FOR PLASMA PROCESSING SYSTEM

Номер: US20200234920A1
Принадлежит:

An apparatus for processing substrates is provided. A plasma processing chamber is provided. At least one substrate support for supporting at least one substrate is in the plasma processing chamber. At least one gas inlet is provided for flowing gas into the plasma processing chamber. A dielectric window forms a cover for the plasma processing chamber. The dielectric window comprises an outer dielectric window ring with a central aperture and an inner concaved dielectric window extending across the central aperture, wherein the inner concaved dielectric window forms a volume in fluid communication with an interior of the plasma processing chamber, and wherein the at least one gas inlet flows gas into the volume of the inner concaved dielectric window. An outer coil assembly is adjacent to the outer dielectric window ring. An inner coil assembly surrounds the inner concaved dielectric window. 1. An apparatus for processing substrates , comprising:a plasma processing chamber;at least one substrate support for supporting at least one substrate in the plasma processing chamber;at least one gas inlet for flowing gas into the plasma processing chamber; an outer dielectric window ring with a central aperture; and', 'an inner concaved dielectric window extending across the central aperture,, 'a dielectric window forming a cover for the plasma processing chamber; comprisingwherein the inner concaved dielectric window forms a volume in fluid communication with an interior of the plasma processing chamber, and wherein the at least one gas inlet flows gas into the volume of the inner concaved dielectric window;an outer coil assembly adjacent to the outer dielectric window ring; andan inner coil assembly surrounding the inner concaved dielectric window.2. The apparatus claim 1 , as recited in claim 1 , wherein the inner concaved dielectric window has a first end adjacent to the outer dielectric window ring and the interior of the plasma processing chamber and a second end spaced ...

Подробнее
30-09-2021 дата публикации

RF RETURN PATH FOR REDUCTION OF PARASITIC PLASMA

Номер: US20210305020A1
Принадлежит:

Embodiments disclosed herein include an RF return assembly. In an embodiment, the RF return assembly comprises a first plate with a flange, where a first hole and a second hole pass through the flange. The RF return assembly may further comprise a second plate over the first plate, and a first body positioned above the flange. In an embodiment, the RF return assembly further comprises a second body positioned below the flange, where the first body is affixed to the second body by a pillar that passes through the first hole. In an embodiment, the RF return assembly further comprises a spring attached between the second plate and the second body, where the spring passes through the second hole, and a conductive band to electrically couple the first body to the flange. 1. An RF return assembly , comprising:a first plate with a flange, wherein a first hole passes through the flange, and a second hole passes through the flange;a second plate over the first plate;a first body positioned above the flange;a second body positioned below the flange, wherein the first body is affixed to the second body by a pillar that passes through the first hole;a spring attached between the second plate and the second body, wherein the spring passes through the second hole; anda conductive band to electrically couple the first body to the flange.2. The RF return assembly of claim 1 , wherein the first plate and the second plate are displaceable relative to the first body and the second body.3. The RF return assembly of claim 2 , wherein the conductive band is flexible to maintain electrical contact between the first body and the flange as the first plate displaces relative to the first body.4. The RF return assembly of claim 3 , wherein the conductive band has an S-shaped profile.5. The RF return assembly of claim 3 , wherein the conductive band has a C-shaped profile.6. The RF return assembly of claim 1 , wherein the first body and the second body are rings.7. The RF turn assembly of ...

Подробнее
30-07-2020 дата публикации

OPENING AND SHUTTING DEVICE AND SUBSTRATE PROCESSING APPARATUS INCLUDING THE SAME

Номер: US20200243306A1
Автор: HAN Yong Ki
Принадлежит: KCTECH CO.,LTD.

Disclosed are an opening and shutting device and a substrate processing apparatus including the same. A device for opening and closing an entrance through which a substrate is carried into and out of a processing chamber includes an opening and shutting part that is disposed between the entrance and the processing chamber and that opens and closes the entrance while rotating so as to be brought into close contact with the entrance. 1. A device for opening and closing an entrance through which a substrate is carried into and out of a processing chamber , the device comprising:an opening and shutting part disposed between the entrance and the processing chamber and configured to open and close the entrance while rotating so as to be brought into close contact with the entrance.2. The device of claim 1 , wherein the opening and shutting part comprises:a rotary member configured to rotate about a rotary shaft thereof; anda door member connected to the rotary member, the door member including a sealing surface configured to cover and seal the entrance depending on the rotation of the rotary member.3. The device of claim 2 , wherein the rotary shaft of the rotary member is parallel to a lengthwise direction of the entrance.4. The device of claim 2 , wherein with respect to a section perpendicular to the rotary shaft claim 2 , the rotary member has a circular cross-sectional shape claim 2 , and the door member has a shape protruding from an outer surface of the rotary member.5. The device of claim 4 , wherein the door member is formed such that the sealing surface extends along a direction of a tangent to the outer surface of the rotary member.6. The device of claim 2 , wherein the opening and shutting part further comprises:a sealing member disposed on the sealing surface of the door member configured to cover the entrance.7. The device of claim 6 , wherein the sealing member has a plate shape having a larger area than the entrance.8. The device of claim 2 , further ...

Подробнее
22-09-2016 дата публикации

Elongated Capacitively Coupled Plasma Source For High Temperature Low Pressure Environments

Номер: US20160276136A1
Принадлежит:

A modular plasma source assembly for use with a processing chamber is described. The assembly includes an RF hot electrode with an end dielectric and a sliding ground connection positioned adjacent the sides of the electrode. A seal foil connects the sliding ground connection to the housing to provide a grounded sliding ground connection separated from the hot electrode by the end dielectric. A coaxial feed line passes through a conduit into the RF hot electrode isolated from the processing environment so that the coaxial RF feed line is at atmospheric pressure while the plasma processing region is at reduced pressure. 1. A modular plasma source assembly comprising:an elongate housing having a side wall, an electrically grounded front face and a gas volume;an elongate RF hot electrode within the housing, the elongate RF hot electrode having a front face, a back face, elongate sides and a first end and a second end defining an elongate axis, the elongate RF hot electrode spaced from the front face to form a gap between the front face of the RF hot electrode and the front face of the elongate housing;an end dielectric in contact with each of the first end and the second end of the RF hot electrode and between the RF hot electrode and the side wall;a sliding ground connection positioned at one or more of the first end and the second end of the RF hot electrode opposite the end dielectric, the sliding ground connection isolated from direct contact with the RF hot electrode by the end dielectric; anda coaxial RF feed line passing through the elongate housing, the coaxial RF feed line including an outer conductor and an inner conductor separated by an insulator, the outer conductor in communication with electrical ground and the inner conductor in electrical communication with the elongate RF hot electrode.2. The modular plasma source assembly of claim 1 , further comprising a seal foil positioned at each sliding ground connection opposite the end dielectric claim 1 , the ...

Подробнее
11-11-2021 дата публикации

L-MOTION SLIT DOOR FOR SUBSTRATE PROCESSING CHAMBER

Номер: US20210351014A1
Автор: NOORBAKHSH HAMID
Принадлежит:

Embodiments of process kits for use in a process chamber are provided herein. In some embodiments, a process kit includes a slit door having an arcuate profile and including a first plate coupled to a second plate, wherein the first plate is configured to be coupled to an actuator, and wherein the second plate has a processing volume facing surface that includes silicon. 1. A process kit for use in a process chamber , comprising:a slit door having an arcuate profile and including a first plate coupled to a second plate, wherein the first plate is configured to be coupled to an actuator, and wherein the second plate has a processing volume facing surface that includes silicon.2. The process kit of claim 1 , wherein the second plate is disposed on the first plate and coupled to the first plate via fasteners extending through the first plate and into openings in the second plate.3. The process kit of claim 2 , further comprising an insert disposed in each of the openings in the second plate claim 2 , wherein the insert includes a threaded central opening to receive the fasteners.4. The process kit of claim 1 , wherein one or more legs extend from an outer surface of the first plate claim 1 , and the first plate includes an opening from an inner surface of the first plate through each of the one or more legs to accommodate a fastener for coupling the first plate to the actuator.5. The process kit of claim 1 , wherein the second plate is entirely made of polysilicon or silicon carbide (SiC).6. The process kit of claim 1 , wherein a height of the second plate is about 2.0 inches to about 3.0 inches.7. The process kit of claim 1 , wherein the second plate includes a middle plate and a cover plate covering an inner surface of the middle plate claim 1 , wherein an inner surface of the cover plate is the processing volume facing surface of the second plate claim 1 , and wherein the cover plate is coupled to the middle plate via a plurality of fasteners extending through the ...

Подробнее
29-09-2016 дата публикации

PLASMA NITRIDING APPARATUS

Номер: US20160281207A1
Принадлежит: IHI CORPORATION

A plasma nitriding apparatus includes: a surface treatment unit which includes a treatment tank to house part of a treatment object inclusive of a surface treatment region, and performs a nitriding treatment on the surface treatment region inside of the treatment tank by using plasma of a treatment gas; and an outer container which receives supply of the treatment gas, and houses the treatment object and the treatment tank so that a region of the treatment object other than the part is exposed from the treatment tank. 1. A plasma nitriding apparatus comprising:a surface treatment unit including a treatment tank to house part of a treatment object inclusive of a surface treatment region, and configured to perform a nitriding treatment on the surface treatment region inside of the treatment tank by using plasma of a treatment gas; andan outer container configured to receive supply of the treatment gas, and to house the treatment object and the treatment tank so that a region of the treatment object other than the part is exposed from the treatment tank.2. The plasma nitriding apparatus according to claim 1 , wherein the surface treatment unit comprises an electrode disposed in the treatment tank in such a manner as to be opposed to the surface treatment region claim 1 , and configured to produce the plasma between the electrode and the treatment object.3. The plasma nitriding apparatus according to claim 2 , wherein the surface treatment unit comprises a heating unit configured to heat the surface treatment region.4. The plasma nitriding apparatus according to claim 3 , wherein the heating unit is an electric heater disposed on a side surface of the treatment tank away from the surface treatment region. This application is a continuation application of International Application No. PCT/JP2014/079303, filed on Nov. 5, 2014, which claims priority to Japanese Patent Application No. 2013-260995, filed on Dec. 18, 2013, the entire contents of which are incorporated by ...

Подробнее
18-11-2021 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20210358722A1
Принадлежит:

To reduce the damage caused due to the degradation of sealing material without complicating the structure of the vacuum sealing material of the vacuum container and to perform cleaning without affecting the lifetime of the sealing material in a plasma processing apparatus, this invention provides a plasma processing apparatus in which a window portion and a processing chamber are coupled to each other with an elastomeric sealing material sandwiched therebetween, and a sealing material is arranged at a position where a ratio of a distance from the inner wall surface of a processing chamber in an interstice portion to the sealing material with respect to the interstice between the window portion and the processing chamber having the sealing material sandwiched there between is 3 or more, in a vacuum state with the air exhausted from the processing chamber by the vacuum exhaust unit. 1. A plasma processing apparatus comprising:a processing chamber;a vacuum exhaust unit which evacuates the processing chamber to vacuum;a gas supply unit which supplies a gas into the processing chamber;a sample table which mounts a target sample arranged within the processing chamber;a window portion which is formed by dielectric material to form a ceiling surface of the processing chamber above the sample table; anda microwave power supply unit which supplies microwave power into the processing chamber through the window portion,wherein the window portion and the processing chamber are coupled to each other with an elastomeric sealing material sandwiched therebetween, and the sealing material is arranged at a position where the ratio of the distance from an inner wall surface of the processing chamber in an interstice portion to the sealing material, with respect to the interstice between the window portion and the processing chamber having the sealing material sandwiched there between, is 3 or more, in a vacuum state with the air exhausted from the processing chamber by the vacuum ...

Подробнее
08-10-2015 дата публикации

Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel

Номер: US20150287575A1
Автор: Chen Xing, Hu Chaolin
Принадлежит:

An assembly for adjusting gas flow patterns and gas-plasma interactions including a toroidal plasma chamber. The toroidal plasma chamber has an injection member, an output member, a first side member and a second side member that are all connected. The first side member has a first inner cross-sectional area in at least a portion of the first side member and a second inner cross-sectional area in at least another portion of the first side member, where the first inner cross-sectional area and the second inner-cross-sectional area being different. The second side member has a third inner cross-sectional area in at least a portion of the second side member and a fourth inner cross-sectional area in at least another portion of the second side member, where the third inner cross-sectional area and the fourth inner-cross-sectional area being different. 1. An assembly for adjusting gas flow patterns and gas-plasma interactions , the assembly comprising:a toroidal plasma chamber, wherein the toroidal plasma chamber comprises a first injection member, an output member, a first side member and a second side member, the first side member connecting a first end of the first injection member and the output member and the second side member connecting a second end of the injection member and the output member, wherein:the first side member has a diameter that is different from the diameter of the second side member; anda first gas injector for injecting a first gas into the toroidal plasma chamber through the first end of the first injection member and a second gas injector for injection a second gas into the toroidal plasma chamber through the second end of the first injection member, the injection of the first and second gas causing a flow of gas for the formation of plasma within the toroidal plasma chamber, wherein the first gas and the second gas are different gasses.2. The assembly of claim 1 , wherein the first side member and the second side member have a complementary ...

Подробнее
08-10-2015 дата публикации

Methods for Discretized Processing and Process Sequence Integration of Regions of a Substrate

Номер: US20150287616A1
Принадлежит:

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate. 1. A processing system for discretized processing of a substrate , the system comprising:a stage for holding the substrate; 'wherein the stage or the processing cell are movable with respect to each other in three dimensions for contacting different site isolated regions on the substrate with the processing cell;', 'a processing cell,'}a sealing element positioned on a bottom of the processing cell and facing the stage for sealing the processing cell with each of the different site isolated regions; anda delivery tool for delivering processing fluids to the processing cell.2. The processing system of claim 1 , wherein the processing cell comprises a septum for sealing a top of the processing cell claim 1 , and wherein the delivery tool comprises a probe for piercing through the septum and delivering the processing fluids to the processing cell through the septum.3. The processing system of claim 2 , wherein the processing cell remains sealed while delivering processing fluids to the processing cell through the septum.4. The processing system of claim 2 , wherein the probe is movable in three dimensions with respect to the processing cell.5. The processing system of claim 2 , wherein the probe comprises a heater.6. The processing system of claim 1 , wherein the delivery tool comprises a pump for delivering processing fluids to the processing cell.7. The processing system of claim 6 , wherein the pump is a syringe pump.8. The processing system of claim 1 , wherein the delivery tool comprises a microprocessor programmed ...

Подробнее
22-10-2015 дата публикации

SUBSTRATE TREATMENT APPARATUS INCLUDING SEALING MEMBER HAVING ATYPICAL SECTION

Номер: US20150303036A1
Принадлежит: SEMES CO., LTD.

A substrate treatment apparatus includes a seal on at least one of upper or lower chambers of a process chamber. The seal hermetically closes the substrate treatment region, and may be at a location to prevent a gap from forming between the upper and lower chambers. The lower chamber includes an inner wall and an outer wall defining a groove including the seal. The inner wall has a top surface lower than that of the outer wall. The seal has an atypical cross-sectional shape with a recess facing the substrate treatment region. 1. A substrate treatment apparatus , comprising:a process chamber including a substrate treatment region between an upper chamber and a lower chamber; anda seal on at least one of the upper or lower chambers to hermetically close the substrate treatment region, wherein the seal is at a location to prevent a gap between the upper and lower chambers, and wherein the lower chamber includes an inner wall and an outer wall defining a groove including the seal, the inner wall has a top surface lower than that of the outer wall, and the seal has an atypical cross-sectional shape with a recess facing the substrate treatment region.2. The apparatus as claimed in claim 1 , wherein:the seal is or includes an O-ring, andthe atypical cross-sectional shape is an atypical circular shape.3. The apparatus as claimed in claim 1 , further comprising:a filler between the seal and the groove,wherein the filler is at a location to reduce a size of a gap between the seal and the groove.4. The apparatus as claimed in claim 3 , wherein the filler has a compressive strain property that is substantially equivalent to or lower than that of the seal.5. The apparatus as claimed in claim 1 , wherein the lower chamber is to move toward the upper chamber until the lower and upper chambers are in contact with each other claim 1 , to hermetically close the substrate treatment region.6. The apparatus as claimed in claim 1 , wherein claim 1 , when the lower and upper chambers are ...

Подробнее
20-10-2016 дата публикации

CONTAINER, APPARATUS AND METHOD FOR HANDLING AN IMPLANT

Номер: US20160302906A1
Принадлежит: NOVA PLASMA LTD.

A portable container is provided for handling an implant. The portable container comprises a sealed compartment enclosing a fluid of a pre-defined composition and at least one implant configured to be installed in a live subject. The portable container may further comprise at least one electrode made of an electrical conductive material, electrically associated with an electric conductor outside the sealed compartment and configured for applying a plasma generating electric field inside the sealed compartment. An apparatus for plasma treatment of an implant and having an activation device is further provided. The activation device comprises a slot configured to receive a portable container, and an electrical circuit configured to be electrically associated with at least one electrode. The electrical circuit is configured to provide to the at least one electrode electric power suitable for applying a plasma generating electric field in the sealed compartment, when the portable container is disposed in the slot. 169-. (canceled)70. A portable container for handling an implant , the portable container comprising:a sealed compartment enclosing an ionizable fluid of a pre-defined composition, said sealed compartment further containing an implant therein configured to be installed in a live subject, said sealed compartment configured to be opened by a user to thereby enable removing said implant from said portable container; andat least one electrode made of an electrical conductive material, electrically associated with an at least one electric conductor outside said sealed compartment, and configured for applying a plasma-generating electric field inside said sealed compartment,wherein the portable container is configured to enable storing said implant inside said sealed compartment, shipping said portable container with said implant being stored therein, and, without breaking the seal of the sealed compartment, generating plasma in said fluid using an electric field, ...

Подробнее
20-10-2016 дата публикации

HIGH TEMPERATURE ELECTROLYSIS GLOW DISCHARGE METHOD

Номер: US20160307733A1
Автор: Foret Todd
Принадлежит:

The present invention provides a glow discharge assembly that includes an electrically conductive cylindrical screen, a flange assembly, an electrode, an insulator and a non-conductive granular material. The electrically conductive cylindrical screen has an open end and a closed end. The flange assembly is attached to and electrically connected to the open end of the electrically conductive cylindrical screen. The flange assembly has a hole with a first diameter aligned with a longitudinal axis of the electrically conductive cylindrical screen. The electrode is aligned with the longitudinal axis of the electrically conductive cylindrical screen and extends through the hole of the flange assembly into the electrically conductive cylindrical screen. The insulator seals the hole of the flange assembly around the electrode and maintains a substantially equidistant gap between the electrically conductive cylindrical screen and the electrode. The non-conductive granular material is disposed within the substantially equidistant gap. 131-. (canceled)32. A method for producing a steam comprising the steps of: an electrically conductive cylindrical screen having an open end and a closed end,', 'a flange assembly attached to and electrically connected to the open end of the electrically conductive cylindrical screen, the flange assembly having a hole with a first diameter aligned with a longitudinal axis of the electrically conductive cylindrical screen,', 'an electrode aligned with the longitudinal axis of the electrically conductive cylindrical screen and extending through the hole of the flange assembly into the electrically conductive cylindrical screen, the electrode having a second diameter that is smaller than the first diameter of the hole,', 'an insulator that seals the first hole of the flange assembly around the electrode and maintains a substantially equidistant gap between the electrically conductive cylindrical screen and the electrode,', 'a non-conductive ...

Подробнее
20-10-2016 дата публикации

COLD PLASMA JET HAND SANITIZER

Номер: US20160307735A1
Автор: Konesky Gregory A.
Принадлежит:

A cold plasma jet hand sanitizer and method of use are provided. A pair of opposing two-dimensional arrays of atmospheric pressure cold plasma jets is used to create a sterilizing volume. Any object placed into that volume will have its surface sterilized. The opposing arrays of plasma jets are operated electrically 180 degrees out of phase so that the opposing arrays of plasma jets essentially fire into each other in the absence of an intervening object, or directly impinge on the surface of an intervening object. 114-. (canceled)15. A cold plasma sanitizing device comprising:a gas manifold including a first gas input conduit that receives a gas into the gas manifold, a plurality of first gas output conduits formed on a first surface of the gas manifold and a plurality of second gas output conduits formed on a second surface of the gas manifold, wherein the first surface is spaced apart from the second surface and an output of each of the plurality of first gas output conduits faces and is longitudinally aligned with an output of a corresponding second gas output conduit;a first plurality of wire electrodes, wherein each wire electrode of the first plurality of wire electrodes is disposed within a respective first gas output conduit of the plurality of first gas output conduits and is configured to receive a first voltage; anda second plurality of wire electrodes, wherein each wire electrode of the second plurality of wire electrodes is disposed within a respective second gas output conduit of the plurality of second gas output conduits and is configured to receive a second voltage, the second voltage is 180 degrees out of phase with respect to the first voltage;wherein a plasma jet is formed at an output of each of the plurality of first and second gas output conduits when gas is introduced into the first gas input conduit and the first and second voltages are applied to respective wire electrodes such that the plasma jet formed at the output of each of the ...

Подробнее
26-10-2017 дата публикации

Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel

Номер: US20170309456A1
Автор: Chen Xing, Hu Chaolin
Принадлежит:

An assembly for adjusting gas flow patterns and gas-plasma interactions including a toroidal plasma chamber. The toroidal plasma chamber has an injection member, an output member, a first side member and a second side member that are all connected. The first side member has a first inner cross-sectional area in at least a portion of the first side member and a second inner cross-sectional area in at least another portion of the first side member, where the first inner cross-sectional area and the second inner-cross-sectional area being different. The second side member has a third inner cross-sectional area in at least a portion of the second side member and a fourth inner cross-sectional area in at least another portion of the second side member, where the third inner cross-sectional area and the fourth inner-cross-sectional area being different. 1. A method for adjusting gas flow patterns and gas-plasma interactions , the method comprising: 'wherein the injection member, the output member, the first side member and the second side member form a toroidal plasma channel; and', 'providing a toroidal plasma chamber comprising an injection member, an output member, a first side member and a second side member, the first side member connecting a first end of the injection member and the output member, and the second side member connecting a second end of the injection member and the output member,'}injecting, via a first gas injector, a first gas into the first side member of the toroidal plasma chamber through the first end of the injection member, wherein the first side member has an inner cross-sectional area selected to substantially match a size of a plasma formed using the first gas; andinjecting, via a second gas injector, a second gas into the second side member of the toroidal plasma chamber through the second end of the injection member, wherein the second side member has an inner cross-sectional area selected to substantially match a size of a plasma formed ...

Подробнее