Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1895. Отображено 199.
10-11-2015 дата публикации

УПРАВЛЯЮЩИЙ МОДУЛЬ ДЛЯ УСТРОЙСТВА ДЛЯ ИОННОЙ ИМПЛАНТАЦИИ

Номер: RU2014111556A
Принадлежит:

... 1. Управляющий модуль для устройства для ионной имплантации, включающий источник питания, содержащий:- электрогенератор (HT) с заземленным положительным полюсом;- первый переключатель (SW1), первый полюс которого соединен с отрицательным полюсом генератора (HT), и второй полюс которого соединен с выходным разъемом (S) источника питания; и- второй переключатель (SW2), первый полюс которого соединен с выходным разъемом (S), и второй полюс которого соединен с нейтрализующим разъемом (N);отличающийся тем, что он содержит токоизмерительную цепь (AMP) для измерения тока смещения, протекающего между вторым полюсом второго переключателя (SW2) и нейтрализующим разъемом (N).2. Управляющий модуль по п. 1, отличающийся тем, что нейтрализующий разъем (N) заземлен.3. Управляющий модуль по п. 1, отличающийся тем, что нейтрализующий разъем (N) соединен с положительным полюсом источника напряжения, отрицательный полюс которого заземлен.4. Управляющий модуль по любому из пп. 1-3, отличающийся тем, что токоизмерительная ...

Подробнее
15-02-2018 дата публикации

Verfahren zum geregelten reaktiven Sputtern

Номер: DE102016114981A1
Принадлежит:

Gemäß verschiedenen Ausführungsformen kann ein Verfahren zum geregelten reaktiven Sputtern Folgendes aufweisen: ein Bereitstellen einer Kathode (204), wobei die Kathode (204) ein metallisches Targetmaterial mit Aluminium aufweist (102); ein geregeltes Betreiben eines Generators (G) zum Bereitstellen einer elektrischen Spannung (U) an der Kathode (204) und eines Stroms (I) zwischen der Kathode (204) und einer Anode (210), wobei das geregelte Betreiben des Generators (G) unter Verwendung einer ersten Generatorkenngröße (P, U, I) als Regelgröße durchgeführt wird (104); ein geregeltes Zuführen von mindestens einem Reaktivgas, wobei das geregelte Zuführen des mindestens einen Reaktivgases unter Verwendung einer zweiten Generatorkenngröße (U, P, I) als Regelgröße durchgeführt wird (106); und ein Erfassen einer Intensität (Int) einer Plasmaemissionslinie von Aluminium (108). Dabei kann eine Vorgabe-Spannung (U0) des Generators als eine Führungsgröße für die erste Generatorkenngröße (U) oder für ...

Подробнее
23-07-2015 дата публикации

Sputteranordnung und Verfahren zum geregelten reaktiven Sputtern

Номер: DE102014103735A1
Принадлежит:

Gemäß verschiedenen Ausführungsformen kann ein Verfahren zum geregelten reaktiven Sputtern aufweisen: ein geregeltes Zuführen von mindestens einem Reaktivgas und mindestens einem Arbeitsgas in eine Sputter-Prozesskammer, wobei das geregelte Zuführen eine erste Regelung und eine zweite Regelung aufweist, wobei die erste Regelung unter Verwendung eines Partialdrucks des mindestens einen zugeführten Reaktivgases als Regelgröße durchgeführt wird, und wobei die zweite Regelung unter Verwendung einer Spektroskopie durchgeführt wird.

Подробнее
13-10-2021 дата публикации

Glow plasma gas measurement signal processing

Номер: GB0002593983A
Принадлежит:

Methods and systems are disclosed for enhanced determination of the composition of a sample gas using glow discharge optical emission spectroscopy (GD-OES) for gas analysis. A first method comprises: generating one or more oscillating electromagnetic fields within a plasma cell to excite particles therein to produce a glow discharge from plasma in the plasma cell; controlling the operating conditions for the plasma cell to maintain glow discharge optical emissions from the plasma within a desired operating range while flowing a gas mixture through the plasma cell; monitoring one or more glow discharge optical emissions from the plasma in the plasma cell, wherein said monitoring comprises measuring the optical emissions, or measuring a signal that correlates with the optical emissions, at twice the plasma excitation frequency; and processing the signal during each excitation cycle of the electromagnetic excitation, to determine the concentration of a gas within the gas mixture flowing through ...

Подробнее
21-10-2021 дата публикации

Plasma source chamber for a spectrometer

Номер: AU2020271256A1
Принадлежит:

A plasma source chamber (10) for use in a spectrometer comprises an inner housing (11) for accommodating a plasma source (31) and an outer housing (12) accommodating the inner housing. The outer housing (12) comprises at least one outer air inlet opening (21) in a first wall and at least one outer air outlet opening (22) in a second wall. Walls of the inner housing and walls of the outer housing define a spacing (25) so as to allow a first air flow (1) from the at least one outer air inlet opening (21) to the at least one outer air outlet opening (22) through the spacing (25) between the inner housing and the outer housing. The inner housing (11) comprises at least one inner air inlet opening (23) in a first wall and at least one inner air outlet opening (24) in a second wall to allow a second air flow (2) from the at least one inner air inlet opening to the at least one inner air outlet opening through the inner housing. Thus, an improved cooling of the outer surfaces of the plasma source ...

Подробнее
01-10-2020 дата публикации

PLASMA REACTORS HAVING RECUPERATORS

Номер: CA3134155A1
Принадлежит:

A plasma generating system (10) includes a waveguide (20) for transmitting a microwave energy therethrough and an inner wall (40) disposed within the waveguide to define a plasma cavity, where plasma (46) is generated within the plasma cavity using the microwave energy. The plasma generating system (10) further includes: an adaptor (44) having a gas outlet (32) through which an exhaust gas processed by the plasma (46) exits the plasma cavity; and a recuperator (100) directly attached to the adaptor (44) and having a gas passageway that is in fluid communication with the gas outlet (32) in the adaptor (44). The recuperator (100) recovers heat energy from the exhaust gas and heats an input gas using the heat energy.

Подробнее
15-07-2004 дата публикации

Process for monitoring a plasma-promoted surface treatment used for chemical deposition of silicon oxide onto a plastic substrate involves measuring the absorption of infrared light through the plasma

Номер: CH0000694112A5

Process for monitoring a plasma-promoted surface treatment comprises measuring the absorption of IR light through the plasma, producing a series of measuring signals based on the absorption measurement, comparing the signals with a limiting value, producing control signals based on comparison results and using the control signals to control process parameters and to activate an alarm. An Independent claim is also included for a device for carrying out the process.

Подробнее
15-02-2000 дата публикации

DRY ETCHING SYSTEM AND METHOD USING PLASMA

Номер: KR0100245105B1
Принадлежит:

Подробнее
26-05-2010 дата публикации

PLASMA PROCESSING APPARATUS

Номер: KR0100959659B1
Автор:
Принадлежит:

Подробнее
12-06-2017 дата публикации

플라즈마 처리 장치 및 플라즈마 처리 방법과 해석 장치 및 해석 방법

Номер: KR0101746044B1

... 분광 계측 데이터의 발광 파장과 시간 구간과 에칭 처리 조건의 변경 가능한 각 항목 중에서, 에칭 처리 조건의 변경 제어에 적합한 조합을 특정함으로써, 안정된 에칭 처리를 실현한다. 2개 이상의 분광 계측 데이터의 발광 파장과 시간 구간과 에칭 처리 조건의 항목의 조합 각각에 대하여, 분광 계측 데이터의 발광 파장과 시간 구간에 있어서의 발광 강도와 에칭 결과의 사이의 상관 관계를 나타내는 회귀식을 산출한다. 또한 상기의 조합 각각에 대하여, 에칭 처리 조건의 당해 항목의 설정값을 변경했을 때의 상기의 회귀식의 변화량을 산출한다. 상기의 조합 중에서 상기의 변화량이 가장 작은 조합을, 제어시에 이용하는 발광 파장 및 시간 구간 및 에칭 처리 조건의 변경 항목의 조합으로서 특정한다.

Подробнее
27-11-2015 дата публикации

보호막의 에칭 방법, 템플릿의 제조 방법 및 그들을 이용해서 제조된 템플릿

Номер: KR1020150133274A
Автор: 오츠 아키히코
Принадлежит:

... (과제) 이면에 오목부를 갖는 기판 상에 형성된 보호막에 양질의 요철 패턴을 형성하는 것을 가능하게 한다. (해결 수단) 표면 상에 보호막(11)이 형성되고, 이 표면의 반대측의 이면에 오목부(13)를 갖는 기판(10)을 준비하고, 보호막(11) 상에 레지스트 패턴(12)을 형성하고, 레지스트 패턴(12)을 마스크로 하여 바이어스 전압을 인가하면서 플라스마를 이용하여 보호막(11)을 에칭하는 보호막(11)의 에칭 방법에 있어서, 보호막(11)이 존재하는 상기 표면의 피복 영역(R1)에 대응하는 기판(10)의 대응 영역(R2)의 비유전율의 감소 형태에 따라서 바이어스 전압을 증가시킨다.

Подробнее
12-02-2016 дата публикации

플라즈마 도핑을 위한 도펀트의 고체 상태 도입

Номер: KR1020160015288A
Принадлежит:

... 비-평탄 표면 또는 불량 뷰 팩터에 영향을 받는 기판의 표면을 도핑하는 방법이 제공된다. 프로세싱 챔버는 산소-함유 재료를 가지는 프로세싱 챔버의 윈도우, 벽 및 바닥부를 포함하고, 프로세스 챔버는 첨가물로서 산소 라디칼을 하나 이상의 도핑 재료에 공급하도록 구성된다. 하나 이상의 석영 피스가 프로세싱 챔버 내에 배치되고, 여기서 프로세싱 챔버에 근접한 자석은 프로세싱 챔버 내에 로컬 마그네트론 플라즈마를 생성하도록 구성된다. 불활성 가스, 승화된 도핑 재료 및 선택적으로 산소 가스를 함유하는 프로세스 가스가 프로세싱 챔버 내로 흐른다.

Подробнее
30-11-2010 дата публикации

ADVANCED PROCESS SENSING AND CONTROL USING NEAR INFRARED SPECTRAL REFLECTOMETRY

Номер: KR1020100125370A
Автор:
Принадлежит:

Подробнее
01-04-2017 дата публикации

Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Номер: TW0201712776A
Принадлежит:

A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.

Подробнее
01-04-2004 дата публикации

VIEWING WINDOW CLEANING APPARATUS

Номер: WO2004026096A2
Автор: FINK, Steven, T.
Принадлежит:

A viewing port for a processing chamber is provided that includes a viewing window cleaning apparatus, a viewing window, and a mounting, where the viewing window cleaning apparatus is coupled to the mounting and disposed between the viewing window and the process chamber, and is configured to form a cleaning plasma in a cleaning plasma region of the mounting. In addition, the mounting can be configured to reduce a number of by-products from a process chamber on the viewing window by preventing them from propagating to the window.

Подробнее
15-04-2004 дата публикации

PLASMA PROCESSING SYSTEM AND METHOD

Номер: WO2004032178A2
Принадлежит:

A plasma processing system and method for operating a diagnostic system in conjunction with a plasma processing system are provided. The diagnostic system is in communication with a plasma processing chamber of the plasma processing system and includes a diagnostic sensor to detect a plasma process condition. The diagnostic system is configured to substantially reduce contamination of the diagnostic sensor. The method includes substantially reducing contamination of the diagnostic sensor and detecting a condition of the plasma process and/or a substrate in the processing chamber.

Подробнее
05-07-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180190502A1
Принадлежит:

A plasma processing apparatus includes a plasma processing chamber processing a sample using plasma, a radio frequency power supply supplying radio frequency power for generating the plasma, a sample stage including an electrode electrostatically chucking the sample, mounting the sample thereon, a DC power supply applying DC voltage to the electrode, and a control device shifting the DC voltage previously set, in a negative direction by a first shift amount during discharge of the plasma, shifting the DC voltage having been shifted in the negative direction by the first shift amount, in a positive direction by a second shift amount after the discharge of the plasma. The first shift amount has a value changing potential over a surface of the sample to 0 V, upon shifting the DC voltage in the positive direction. The second shift amount has a value obtained based on a floating potential of the plasma. 1. A plasma processing method using a plasma processing apparatus including a plasma processing chamber configured to process a sample using plasma , a radio frequency power supply configured to supply radio frequency power for generation of the plasma , a sample stage including an electrode configured to electrostatically chuck the sample , the sample stage configured to mount the sample thereon , and a DC power supply configured to apply DC voltage to the electrode , the plasma processing method comprising:shifting the DC voltage previously set, in a negative direction by a predetermined value during discharge of the plasma; andshifting the DC voltage having been shifted in the negative direction by the predetermined value, in a positive direction by the predetermined value after the discharge of the plasma.2. The plasma processing method according to claim 1 , whereina shift amount shifting the DC voltage previously set, in the negative direction, has a value changing potential over the sample to 0 V, upon shifting the DC voltage as shifted by the predetermined value ...

Подробнее
27-12-2012 дата публикации

CLOSED LOOP PROCESS CONTROL OF PLASMA PROCESSED MATERIALS

Номер: US20120328771A1

A plasma processing apparatus and method are disclosed which improve the repeatability of various plasma processes. The actual implanted dose is a function of implant conditions, as well as various other parameters. This method used knowledge of current implant conditions, as well as information about historical data to improve repeatability. In one embodiment, information about plasma composition and dose per pulse is used to control one or more operating parameters in the plasma chamber. In another embodiment, this information is combined with historical data to control one or more operating parameters in the plasma chamber.

Подробнее
02-08-2005 дата публикации

Plasma processing system and apparatus and a sample processing method

Номер: US0006923885B2
Принадлежит: Hitachi, Ltd., HITACHI LTD, HITACHI, LTD.

A plasma processing apparatus having a sample bench located in a vacuum chamber, a structure disposed at a position opposed to a sample placed on the sample bench and facing a plasma generated in the vacuum chamber, and at least one through-hole disposed in the structure through which a gas flows into the vacuum chamber. An optical transmitter is mounted on a back of the at least one through-hole through which light from the sample passes, which light is detected by way of the optical transmitter.

Подробнее
15-08-2000 дата публикации

Ion implantation control using charge collection, optical emission spectroscopy and mass analysis

Номер: US0006101971A
Автор:
Принадлежит:

Apparatus and method for implanting ions into a workpiece surface. A concentration of ions is produced. An optical analysis of the concentration of ions is performed and recorded. The constituency of the ion concentration is determined by comparing the optical analysis data with a database of records on a storage medium wherein the optical analysis data for given concentrations of ions have been stored for subsequent access. Ions from the ion concentration are caused to impact a workpiece surface. The dose of ions implanted into the workpiece is measured. Implantation of the workpiece is stopped once an appropriate dose has been reached.

Подробнее
18-10-2018 дата публикации

PLASMA PROCESSING APPARATUS AND CONTROL METHOD

Номер: US20180301388A1
Принадлежит:

Provided is a plasma processing apparatus including a microwave radiating mechanism configured to radiate microwaves output from a microwave output unit into a processing container. The microwave radiating mechanism includes: an antenna configured to radiate the microwaves; a dielectric member configured to transmit the microwaves radiated from the antenna, and form an electric field for generating surface wave plasma by the microwaves; a sensor provided in the microwave radiating mechanism or adjacent to the microwave radiating mechanism, and configured to monitor electron temperature of the generated plasma; and a controller configured to determine a plasma ignition state based on the electron temperature of the plasma monitored by the sensor.

Подробнее
04-06-2009 дата публикации

ETCH SYSTEM

Номер: US2009139657A1
Принадлежит:

A semiconductor processing system includes a factory interface. A central transfer chamber is coupled to the factory interface. A first number of etch chambers are coupled to the central transfer chamber. The first number of etch chambers are configured to etch a substrate at about a first processing time. A second number of post-etch treatment chambers are coupled to the central transfer chamber. The second number of post-etch treatment chambers are configured to process the substrate at about a second processing time, wherein a ratio of the first number to the second number is substantially proportional to a ratio of the first processing time to the second processing time.

Подробнее
04-11-2014 дата публикации

Method and apparatus for manufacturing a semiconductor device

Номер: US0008877079B2

The present invention relates to a method of manufacturing a semiconductor device wherein etching is performed on films on a wafer using a plasma treatment apparatus. In the manufacturing method according to the present invention, a change in the difference between the emission intensities of a first wavelength component and a second wavelength component in plasma is monitored during etching. If the amount of change in the difference per unit time exceeds a predetermined threshold a given number of times in a row, then the flow rate of oxygen introduced to the plasma treatment apparatus is increased or, if the amount of change exceeding the predetermined threshold has not been seen, then the oxygen flow rate is set back to the original value thereof. This series of actions is repeated all the time during a set period of time.

Подробнее
11-09-2018 дата публикации

Glow discharge spectroscopy method and system for measuring in situ the etch depth of a sample

Номер: US0010073038B2
Принадлежит: Horiba Jobin Yvon SAS

A glow discharge spectrometry system includes a glow discharge lamp suitable for receiving a solid sample (10) and forming a glow discharge etching plasma (19). The system (100) for measuring in situ the depth of the erosion crater generated by etching of the sample (10) includes an optical separator (3), optical elements (4) suitable for directing a first incident beam (21) toward a first zone (11) of the sample, the first zone being exposed to the etching plasma, and a second incident beam (22) toward a second zone (12) of the same side of the sample, the second zone being protected from the etching plasma, respectively, and an optical recombining device (3) suitable for forming an interferometric beam (30) so as to determine the depth (d) of the erosion crater.

Подробнее
06-04-2004 дата публикации

Emission spectroscopic processing apparatus

Номер: US0006716300B2

An emission spectroscopic processing apparatus includes a spectroscope for spectrally separating input light emitted from a process unit into component spectra, a light receiving unit including a series of light receiving elements for detecting light quantities of the component spectra on a wavelength basis, a first signal hold unit for holding sequentially each of detection signals outputted from a subset of adjacent light receiving elements contained in series of light receiving elements during a first period, an adder unit for adding together the detection signals of adjacent light receiving elements of the light receiving unit inclusive of the held detection signals of the subset of adjacent light receiving elements, a second signal hold unit for holding sequentially sum outputs of the adder unit, and a signal processing unit for determining a state of the process unit on the basis of the output of the second signal hold unit.

Подробнее
21-03-2019 дата публикации

PLASMA PROCESSING APPARATUS AND PREDICTION METHOD OF THE CONDITION OF PLASMA PROCESSING APPARATUS

Номер: US20190088455A1
Принадлежит:

A system that predicts an apparatus state of a plasma processing apparatus including a processing chamber in which a sample is processed is configured to have a data recording unit that records emission data of plasma during processing of the sample and electrical signal data obtained from the apparatus during the plasma processing, an arithmetic unit that includes a first calculation unit for calculating a first soundness index value of the plasma processing apparatus and a first threshold for an abnormality determination using a first algorithm with respect to the recorded emission data and a second calculation unit for calculating a second soundness index value of the plasma processing apparatus and a second threshold for the abnormality determination using a second algorithm with respect to the electrical signal data recorded in the data recording unit, and a determination unit that determines soundness of the plasma processing apparatus using the calculated first soundness index value ...

Подробнее
05-02-2019 дата публикации

Position detecting system and processing apparatus

Номер: US0010199251B2
Принадлежит: TOKYO ELECTRON LIMITED, TOKYO ELECTRON LTD

A position detecting system has a transport device, a light source, at least one optical element, a reflective member, a drive unit, and a controller. The transport device transports and places an object on a placement table. The light source generates measurement light. The optical element projects the measurement light, as projection light, generated by the light source and receives reflected light. The reflective member is disposed on the transport device. The reflective member reflects the projection light toward the placement table, and reflects the reflected light of the projection light, which is projected toward the placement table, toward the optical element. The drive unit operates the transport device so that the reflective member scans a plurality of linear scanning ranges. The controller calculates positional relationship between the focus ring and the object placed on the placement table based on the reflected light within the plurality of linear scanning ranges.

Подробнее
16-01-2024 дата публикации

Feedback system

Номер: US0011875979B2

A feedback system for controlling properties of a single layer or multiple layer stack is applied on a substrate by means of a vacuum coating process controlled by a plurality of process controlling means. The system includes at least one monitoring device for at least implementing at least two distinct measurement techniques for determining measurement signals at each of a plurality of locations spatially distributed over the coated substrate; at least one processing unit adapted for at least receiving the measurement signals; and a controller for at least providing actuation signals for actuating the plurality of process controlling means.

Подробнее
30-05-2024 дата публикации

DEVICE AND METHOD FOR PLASMA GENERATION IN A WIDE PRESSURE RANGE AND SYSTEM AND METHOD FOR OPTICAL GAS ANALYSIS/DETECTION BY MEANS OF SUCH A DEVICE

Номер: US20240177979A1
Принадлежит:

The present invention relates to a device for plasma generation in a wide pressure range. The device comprises a first plasma source (1) in a first discharge chamber (2) in order to generate a first plasma in a low-pressure range, a second plasma source (3) in a second discharge chamber (4) in order to generate a second plasma in a high-pressure range, a first coupling element (5) for coupling the device to a system, in order to guide gas out of the system, and a second coupling element (6) for coupling the device to an optical sensor (12). The first discharge chamber (2) has a first optical connection with at least one optical lens (7, 8) to the second coupling element (6) and the second discharge chamber (4) has a second optical connection with at least one optical lens (8) to the second coupling element (6). This invention further relates to a system for optical gas analysis or gas detection and corresponding methods for plasma generation and for operating the system.

Подробнее
18-09-2008 дата публикации

PLASMA PROCESSING DEVICE

Номер: JP2008218898A
Принадлежит:

PROBLEM TO BE SOLVED: To provide an etching end point judging method and a plasma processing device which performs the end point judging method, by using a film thickness measurement method of a processed material, capable of measuring the amount of actual remaining film and etching the depth of the processed layer on-line. SOLUTION: The plasma processing device includes a detector 11 for detecting interference light of two or more wave length from the surface of a test piece under treatment; a pattern comparing means 15 for calculating the deviation by comparing actual deflection pattern data about the interference light, which is obtained at arbitrary time under the treatment of the test piece, with two or more standard deviation patterns, which corresponds to each of the thicknesses of the films, as interference light data of two or more wave lengths about other work piece process obtained before the treatment of this test piece; a deflection comparison means 115 for comparing a deflection ...

Подробнее
26-10-2005 дата публикации

Номер: JP0003709552B2
Автор:
Принадлежит:

Подробнее
27-11-2000 дата публикации

Номер: JP0003112648B2
Автор:
Принадлежит:

Подробнее
20-11-2014 дата публикации

СПОСОБ ОПРЕДЕЛЕНИЯ СОДЕРЖАНИЯ БЛАГОРОДНЫХ МЕТАЛЛОВ

Номер: RU2013120716A
Принадлежит:

... 1. Способ определения содержания благородных металлов в минеральной и/или керамической матрице в диапазоне содержания от 0,03 до 500 мг/кг, который включает следующие стадии:а. Сухая термическая обработка гомогенизированного образца в восстановительной атмосфере;b. Экстракция в окислительной среде;с. Атомное спектрометрическое количественное определение металлов посредством масс-спектрометрии с индуктивно-связанной плазмой (ICP-QMS).2. Способ по п.1, в котором благородные металлы представляют собой металлы платиновой группы.3. Способ по п.2, в котором благородные металлы представляют собой платину, палладий, родий и/или иридий.4. Способ по п.1, в котором благородный металл представляет собой рений.5. Способ по п.1, в котором благородный металл представляет собой золото.

Подробнее
05-12-2019 дата публикации

Gasversorgung, Beschichtungsvorrichtung und Verfahren

Номер: DE102018112938A1
Принадлежит:

Gemäß verschiedenen Ausführungsformen weist eine Gasversorgung (100a, 200a) auf: ein Gehäuse (102) mit einem Versorgungsanschluss (102v) und eine oder mehr als eine Gasführungsöffnung (102g); einen Hohlraum (102h), welcher in dem Gehäuse (102) gebildet ist und die eine oder mehr als eine Gasführungsöffnung (102g) untereinander und mit dem Versorgungsanschluss (102v) koppelt; und eine Zugangsöffnung (102z), welche in dem Gehäuse (102) gebildet ist und mit einer Gasführungsöffnung der einen oder mehr als einen Gasführungsöffnung (102g) fluchtet sowie dieser gegenüberliegend in den Hohlraum (102h) mündet.

Подробнее
17-08-2016 дата публикации

Method of detecting a condition

Номер: GB0201611652D0
Автор:
Принадлежит:

Подробнее
15-07-2011 дата публикации

OPTICAL EMISSIONSINTERFEROMETRIE FOR PECVD USING A GAS INJECTION HOLE

Номер: AT0000515788T
Принадлежит:

Подробнее
07-10-2021 дата публикации

Optical system for monitoring plasma reactions and reactors

Номер: AU2020245298A1
Принадлежит:

The present invention provides a plasma generating system (10) that includes: a waveguide (20); a plasma cavity coupled to the waveguide (20) and configured to generate a plasma therewithin by use of microwave energy; a hollow cylinder (13) protruding from a wall of the waveguide (20) and having a bottom cap that has an aperture (66); a detection unit (61) for receiving the light emitted by the plasma (46) through the aperture (66) and configured to measure intensities of the light in an ultraviolet (UV) range and an infrared (IR) range; and a controller (69) for controlling the detection unit (61).

Подробнее
01-10-2020 дата публикации

OPTICAL SYSTEM FOR MONITORING PLASMA REACTIONS AND REACTORS

Номер: CA3134915A1
Принадлежит:

The present invention provides a plasma generating system (10) that includes: a waveguide (20); a plasma cavity coupled to the waveguide (20) and configured to generate a plasma therewithin by use of microwave energy; a hollow cylinder (13) protruding from a wall of the waveguide (20) and having a bottom cap that has an aperture (66); a detection unit (61) for receiving the light emitted by the plasma (46) through the aperture (66) and configured to measure intensities of the light in an ultraviolet (UV) range and an infrared (IR) range; and a controller (69) for controlling the detection unit (61).

Подробнее
05-11-2020 дата публикации

METHOD AND SYSTEM FOR ADJUSTABLE COATING USING MAGNETRON SPUTTERING SYSTEMS

Номер: CA3137364A1
Принадлежит:

A method and a system for adjustable coating on a substrate using a magnetron sputtering apparatus (100) are provided. The method comprises the steps of providing a magnetron assembly (202) which comprises a plurality of magnets attached to a plurality of yokes (206) and a plurality of actuating mechanisms (208), each operatively coupled to at least one of the plurality of yokes (206). The method further comprises automatically determining individual positions of each of the plurality of yokes (206) of the magnetron assembly (202) on the basis of at least one parameter, and adjusting individually positions of each of the plurality of yokes (206) of the magnetron assembly (202) in accordance with the automatically determined individual positions.

Подробнее
13-08-2021 дата публикации

Substrate processing apparatus including light receiving device and calibration method of light receiving device

Номер: CN113257655A
Принадлежит:

Examples of a substrate processing apparatus includes a chamber configured to contain a stage, a light receiving device configured to receive light inside the chamber, and a substrate transfer apparatus that includes a shaft and a rotation arm configured to rotate with rotation of the shaft and is configured to supply a plurality of light beams having different amounts of light to the light receiving device.

Подробнее
19-02-2003 дата публикации

Elliptically polarizing techniques device using the manufacturing method

Номер: KR0100358265B1
Автор:
Принадлежит:

Подробнее
11-02-2020 дата публикации

METHODS AND APPARATUSES FOR EFFECTIVELY REDUCING GAS RESIDENCE TIME IN A PLASMA PROCESSING CHAMBER

Номер: KR0102065803B1
Автор:
Принадлежит:

Подробнее
27-09-2005 дата публикации

ION IMPLANTATION CONTROL USING CHARGE COLLECTION, OPTICAL EMISSION SPECTROSCOPY AND MASS ANALYSIS

Номер: KR0100517300B1
Автор:
Принадлежит:

Подробнее
31-01-2018 дата публикации

PLASMA TREATING APPARATUS AND METHOD FOR ANALYZING PLASMA TREATMENT DATA

Номер: KR1020180010946A
Принадлежит:

The purpose of the present invention is to provide a plasma treating apparatus and an analysis method, wherein there is a high correlation between collected monitoring data and treatment result indicator data, and a treatment result indicator can be expected with high precision for newly measured monitoring data. For the purpose, the present invention provides a plasma treating apparatus comprising an analysis unit obtaining a wavelength of the emitted light correlated with a plasma treatment result, wherein a first indicator representing a deviation of intensity distribution of the emitted light selects a wavelength larger than a first given value among the obtained wavelengths, uses the selected wavelength to expect the plasma treatment result or uses each intensity of the emitted light having a plurality of wavelengths to make operation, and a second indicator which obtains a value associated with the plasma treatment result and represents a deviation of the distribution of the obtained ...

Подробнее
04-07-2014 дата публикации

PLASMA DOPING APPARATUS AND PLASMA DOPING METHOD

Номер: KR1020140083882A
Автор:
Принадлежит:

Подробнее
18-01-2019 дата публикации

마이크로파 플라즈마 소스

Номер: KR1020190006454A
Принадлежит:

... 본 개시는 플라즈마 소스, 플라즈마의 여기를 위한 여기 시스템, 및 여기 측정 시스템을 동작시키는 방법을 제공한다. 한 실시예에 있어서, 상기 플라즈마 소스는: (1) 제 1 단부, 제 2 단부, 내부 전극 및 외부 전극을 포함하는 동축 무선 주파수(RF) 공진기, (2) 상기 내부 및 외부 전극에 전기적으로 결합되고 RF 신호를 상기 동축 RF 공진기에 제공하도록 구성된 무선 주파수 인터페이스, (3) 상기 공진기의 제 1 단부에 배치되고 플라즈마 공동을 한정하는 플랜지, 및 (4) 상기 동축 RF 공진기가 상기 플라즈마로부터 분리되도록 상기 공진기의 제 1 단부와 상기 플랜지 사이에 배치되어 상기 플라즈마 공동의 한 측면을 형성하는 윈도우를 포함한다.

Подробнее
11-02-2016 дата публикации

플라즈마 처리 감지용 잉크조성물과 플라즈마 처리 감지 표시기

Номер: KR1020160014611A
Принадлежит:

... [과제] 대규모 장치를 필요로 하지 않고, 피 처리물 각각에 대해 플라즈마 처리의 완료를 개별 감지할 수 있는 잉크 조성물 및 이를 이용한 표시기를 제공한다. [해결수단] 색소 및 비 이온성 계면활성제를 함유한 플라즈마 처리 감지용 잉크조성물에 있어, (1) 상기 색소는 안트라퀴논 계 색소, 메틴 계 색소, 아조 계 색소 및 프탈로시아닌 계 염료로 이루어진 군에서 선택된 적어도 1종이며, (2) 상기 비 이온성 계면활성제는 일반식 (I) ~ (V)로 표시되는 비 이온성 계면활성제의 적어도 1종이며, 〔 단, 상기 일반 식에서, R1, R2, R3 및 R4는 각각 독립적으로 수소, 탄소수 1 ~ 30의 직쇄 또는 측쇄 지방족 탄화수소기를 나타낸다. X는 산소 또는 에스테르 결합을 나타낸다. AO는 알킬렌 옥사이드 유래의 반복 단위를 나타낸다. n은 1 ~ 200의 정수를 보여준다. a + b + c는 3 ~ 200의 정수를 보여준다. p + q는 0 ~ 20의 정수를 보여준다. 〕 것을 특징으로 하는 잉크 조성물.

Подробнее
08-02-2018 дата публикации

PLASMA PROCESS MEASURING APPARATUS AND METHOD THEREOF

Номер: KR1020180014349A
Автор: HAHN, JAE WON
Принадлежит:

A plasma process measuring apparatus and a plasma process measuring method are disclosed. The plasma process measuring apparatus according to an embodiment of the present invention includes: a spectrometer for generating optical spectroscopic information composed of functions of a time axis and a spatial axis with respect to a predetermined reaction radical in a plasma process chamber by collecting and analyzing light in the plasma process chamber; and an analyzing unit for integrating the optical spectroscopic information on the time axis to generate a cumulative spatial distribution composed of the function of the spatial axis and determining the progress of a plasma process based on the cumulative spatial distribution. According to the embodiment of the present invention, the progress of the plasma process can be determined by the spatiotemporal integral of a spectroscopic signal collected by the spectrometer. Accordingly, the present invention can accurately determine the progress of ...

Подробнее
14-03-2012 дата публикации

ETCHING SYSTEM WHICH UNIFORMLY CONTROLS THE DEPTH OF A RECESS FORMED BY ETCHING

Номер: KR1020120024264A
Принадлежит:

PURPOSE: An etching system is provided to identically form the depth of recesses of a processed substrate after finishing etching by changing gas flow rate, power source, and pressure and relatively reducing etching rate. CONSTITUTION: A light source(26) irradiates a processed substrate(W) with incident light. Optical fiber(24) is connected to a etching process control device(25) controlling an etching process of the processed substrate. A light amount measurement part(27) measures each wavelength intensity of coherent light which is entered through the optical fiber. A signal processing part(28) detects a maximum value of the intensity of the coherent light and a generating time point of a minimum value. A controller(29) is connected to a power source(16), a processing gas supplying part(19), and an exhausting part(14). COPYRIGHT KIPO 2012 ...

Подробнее
01-04-2018 дата публикации

Method of detecting a condition

Номер: TW0201812831A
Принадлежит:

According to the invention there is provided a method of detecting a condition associated with a final phase of a plasma dicing process comprising the steps of: providing a non-metallic substrate having a plurality of dicing lanes defined thereon; plasma etching through the substrate along the dicing lanes, wherein during the plasma etching infrared emission emanating from at least a portion of the dicing lanes is monitored so that an increase in infrared emission from the dicing lanes is observed as the final phase of the plasma dicing operation is entered; and detecting the condition associated with the final phase of the plasma dicing from the monitored infrared emission.

Подробнее
16-06-2016 дата публикации

Systems and methods for internal surface conditioning assessment in plasma processing equipment

Номер: TW0201622491A
Принадлежит:

In an embodiment, a plasma source includes a first electrode, configured for transfer of one or more plasma source gases through first perforations therein; an insulator, disposed in contact with the first electrode about a periphery of the first electrode; and a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity. The second electrode is configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber. A power supply provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products. One of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma.

Подробнее
01-07-2016 дата публикации

Plasma processing device and operation method of the same

Номер: TW0201624528A
Принадлежит:

The present invention provides a plasma processing device and an operation method of the same, which is a plasma processing device for improving productivity. The solution of the plasma device employs the plasma in the chamber disposed inside the vacuum container to process the wafer disposed inside the chamber. The present invention comprises: a side wall of vacuum container disposed surrounding the periphery of the chamber; a window on one side for transmitting light from the plasma and the other side of the chamber disposed holding the window on this side; a window on the other side for transmitting light out of the chamber; a light receiving portion disposed outside the window on one side for receiving and detecting the light from the window on one side; a light splitting portion for splitting the light from the light source disposed outside the external portion of the window on the other side and the light from the light source disposed between the light source and the window on the ...

Подробнее
16-12-2018 дата публикации

Surface modification control for etch metric enhancement

Номер: TW0201844063A
Принадлежит:

A method is disclosed for monitoring and controlling a process of plasma-assisted surface modification of a layer formed on a substrate. The method includes flowing a surface modification gas into a plasma processing chamber of a plasma processing system, igniting a plasma in the plasma processing chamber to initiate a surface modification process for a layer formed on a substrate, and acquiring optical emission spectra from an optical emission spectroscopy system attached to the plasma processing chamber, during the surface modification process for the layer. For one embodiment, the method includes altering at least one parameter of the surface modification process based on the acquired optical emission spectra. For one embodiment, the acquired optical emission spectra can include an intensity of a spectral line, a slope of a spectral line, or both to enable endpoint control of the surface modification process. Additional methods and related systems are also disclosed.

Подробнее
01-08-2004 дата публикации

Plasma processing system and method

Номер: TW0200414832A
Принадлежит:

A plasma processing system and method for operating a diagnostic system in conjunction with a plasma processing system are provided. The diagnostic system is in communication with a plasma processing chamber of the plasma processing system and includes a diagnostic sensor to detect a plasma process condition. The diagnostic system is configured to substantially reduce contamination of the diagnostic sensor. The method includes substantially reducing contamination of the diagnostic sensor and detecting a condition of the plasma process and/or a substrate in the processing chamber.

Подробнее
01-08-2003 дата публикации

Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy

Номер: TW0000544791B
Автор:
Принадлежит:

A multi-point detection method and system for analyzing a composition within an examination area. The system simultaneously acquires multi-dimensional distributions (e.g., two- or three-dimensional distributions) of plasma optical emissions at at least two wavelengths. Such diagnostics are useful for real-time spatially-resolved measurements of plasma electron temperature distributions and/or chemical species concentrations within a plasma processing chamber. Generally, the system analyzes/diagnoses the measurement of line-of-sight light emission or absorption in the plasma.

Подробнее
03-11-2005 дата публикации

METHOD AND PROCESSING SYSTEM FOR PLASMA-ENHANCED CLEANING OF SYSTEM COMPONENTS

Номер: WO2005104186A3
Принадлежит:

A method for plasma-enhanced cleaning of a system component (21, 25, 26, 35, 94, 104, 112, 116, 126) in a batch-type processing system and a method for monitoring and controlling the cleaning. The cleaning is performed by introducing a cleaning gas in a process chamber (10, 102) of the batch-type processing system (1, 100), forming a plasma by applying power to a system component (21, 25, 26, 35, 94, 104, 112, 116, 126) within the process chamber (10, 102), exposing a material deposit in the process chamber (10, 102) to the plasma to form a volatile reaction product, and exhausting the reaction product from the processing system (1, 100). Monitoring of the processing system (1, 100) can be carried out to determine cleaning status of the processing system (1, 100) and based upon the status from the monitoring, the processing system (1, 100) is controlled for either continuing the exposing and monitoring or stopping the cleaning process. A batch-type processing system (1, 100) is provided ...

Подробнее
11-09-2009 дата публикации

ADVANCED PROCESS SENSING AND CONTROL USING NEAR INFRARED SPECTRAL REFLECTOMETRY

Номер: WO000002009111156A3
Принадлежит:

Embodiments described herein provide a method and apparatus for obtaining process information in a substrate manufacturing process using plasma. In one embodiment, a chamber is provided having one or more optical metrology modules that are positioned such that optical energy from the plasma process is detected at substantially orthogonal angles. Metrics derived from detected optical energy may be used for endpoint determination, substrate temperature, and monitoring of critical dimensions on the substrate.

Подробнее
26-01-2012 дата публикации

ELECTRODE FOR PRODUCING A PLASMA, PLASMA CHAMBER HAVING SAID ELECTRODE, AND METHOD FOR ANALYZING OR PROCESSING A LAYER OR THE PLASMA IN SITU

Номер: WO2012010146A3
Принадлежит:

The invention relates to an RF electrode for producing a plasma in a plasma chamber, characterized by an optical lead-through. The invention further relates to a plasma chamber, comprising an RF electrode and a counter-electrode having a substrate retainer for accommodating a substrate. For said plasma chamber, a high-frequency alternating field can be developed between the RF electrode and the counter-electrode in order to produce the plasma. The chamber is characterized by an RF electrode having an optical lead-through. The invention further relates to a method for analyzing or processing a layer or a plasma in a plasma chamber in situ. In said method, the layer is arranged on a counter-electrode and an RF electrode is arranged on the side facing the layer. The method is characterized by the selection of an RF electrode having an optical lead-through, and by at least one step, in which electromagnetic radiation is conducted through the optical lead-through for the purpose of analyzing ...

Подробнее
11-09-2003 дата публикации

Plasma processing apparatus and method

Номер: US20030170984A1
Принадлежит:

A plasma processing system for processing a workpiece by using plasma generated in a chamber, includes a light transmissive member disposed in the chamber, the workpiece being disposed inside the light transmissive member; and a light receiving unit mounted on the chamber for receiving light inside the light transmissive member, wherein a state of processing the workpiece is detected by using data detected from light inside the light transmissive member before processing the workpiece and data detected from light inside the light transmissive member generated during processing the workpiece. A plasma processing method and system is provided which facilitates an operation of the system and executes a reliable processing.

Подробнее
11-09-2003 дата публикации

Plasma processing apparatus and method

Номер: US2003170984A1
Автор:
Принадлежит:

A plasma processing system for processing a workpiece by using plasma generated in a chamber, includes a light transmissive member disposed in the chamber, the workpiece being disposed inside the light transmissive member; and a light receiving unit mounted on the chamber for receiving light inside the light transmissive member, wherein a state of processing the workpiece is detected by using data detected from light inside the light transmissive member before processing the workpiece and data detected from light inside the light transmissive member generated during processing the workpiece. A plasma processing method and system is provided which facilitates an operation of the system and executes a reliable processing.

Подробнее
24-07-2018 дата публикации

Low temperature atmospheric pressure plasma applications

Номер: US0010032609B1
Принадлежит: Surfx Technologies LLC, SURFX TECH LLC

Plasma applications are disclosed that operate with helium or argon at atmospheric pressure, and at low temperatures, and with high concentrations of reactive species in the effluent stream. Laminar gas flow is developed prior to forming the plasma and at least one of the electrodes is heated which enables operation at conditions where the helium plasma would otherwise be unstable and either extinguish, or transition into an arc. The techniques can be employed to remove organic materials from a substrate, thereby cleaning the substrate; activate the surfaces of materials thereby enhancing adhesion between the material and an adhesive; kill microorganisms on a surface, thereby sterilizing the substrate; etches thin films of materials from a substrate, and deposit thin films and coatings onto a substrate.

Подробнее
24-04-2018 дата публикации

Film deposition apparatus and film deposition method

Номер: US0009951415B2

A film deposition apparatus comprises: a vacuum chamber; a cylindrical target, a circumferential surface of the target being opposite to a substrate, and the target being disposed in the vacuum chamber so as to intersect a conveyance direction of the substrate; a driving unit configured to rotatively drive the target; a magnetic field creator disposed inside the target; a reactive gas flow unit configured to flow a reactive gas, the reactive gas flow unit being disposed in the vicinity of the target; an optical emission monitor configured to monitor an optical emission intensity of plasma at a location between the substrate and the target and in the vicinity of the target; and a controlling unit configured to control a rotation speed of the target driven by the driving unit, such that the optical emission intensity monitored by the optical emission monitor approaches a preset target optical emission intensity.

Подробнее
06-10-2005 дата публикации

Semiconductor manufacturing apparatus and method for assisting monitoring and analysis of the same

Номер: US20050217794A1
Принадлежит: Hitachi High-Technologies Corporation

To provide a semiconductor manufacturing apparatus that can easily and quickly monitor and analyze the state of a semiconductor processing apparatus and a method for assisting the monitoring and analysis thereof. A semiconductor manufacturing apparatus includes: detecting means 7, 8 that detects, as a plurality of state signals, at least either of a plurality of spectra obtained by separating plasma light emission generated in a processing chamber 2 of a semiconductor processing apparatus 1 or a plurality of apparatus state signals that indicate states of the apparatus; apparatus event information output means 9 that outputs the state of the semiconductor processing apparatus in a current process step; conversion means 14, 17, 18 that converts a combination of the plurality of state signals detected by the detecting means 7, 8 into respective particular figures; and display position controlling means 20 that displays the figures generated by the conversion means 14, 17, 18 at predetermined ...

Подробнее
09-02-2006 дата публикации

Method for automatic determination of substrates states in plasma processing chambers

Номер: US20060028646A1
Принадлежит:

A method for automatic determination of a state of a substrate in a plasma processing chamber is provided. Substrate reflectance data is collected in a processing chamber prior to processing to be analyzed with reference reflectance data to determine if the substrate state meets a control criterion. The substrate state may define the thickness and the qualities of the films on the substrate, the critical dimensions of the different layers on the substrate. The reflectance data is analyzed using a multi-variant analysis technique, such as principle component analysis. In addition to analyzing substrate state prior to processing, substrate reflectance could also be collected in a processing chamber during processing to be analyzed with reference reflectance data to further determine if the substrate state and/or the substrate processing are meeting a control criterion.

Подробнее
27-01-2009 дата публикации

Etch amount detection method, etching method, and etching system

Номер: US0007481944B2

This invention accurately detects an etch amount of an etching target layer irrespective of a type of a mask layer. A light La is reflected by an upper surface of a photoresist mask layer 316 and a bottom of a hole H. Thereby a reflected light La1 and a reflected light La2 are obtained. The reflected lights La1 and La2 interfere with each other, thereby generating an interference light Lai. A light Lb is reflected by an interface between the photoresist mask layer 316 and a polysilicon film 304, and the upper surface of the photoresist mask layer 316. Thereby a reflected light Lb1 and a reflected light Lb2 are obtained. The reflected lights Lb1 and Lb2 interfere with each other, thereby generating an interference light Lb1. Using the interference lights Lai and Lbi, an etch amount of the polysilicon film 304 is calculated.

Подробнее
10-05-2005 дата публикации

Plasma processing method using spectroscopic processing unit

Номер: US0006890771B2

A plasma processing method using a spectroscopic processing unit. The method includes separating spectrally plasma radiation emitted from a vacuum process chamber into component spectra, converting the component spectra into a time series of analogue electric signals composed of different wavelength components at a predetermined period, adding together analogue signals of the different wavelength components, converting a plurality of added signals into digital quantities on a predetermined-period basis, digitally adding together the plurality of added and converted signals a plural number of times on a plural-signal basis, determining discriminatively an end point of a predetermined plasma process on the basis of a signal resulting from the digital addition, and terminating the predetermined plasma process.

Подробнее
10-10-2017 дата публикации

Systems and methods for plasma processing of microfeature workpieces

Номер: US0009786475B2

Systems and methods for plasma processing of microfeature workpieces are disclosed herein. In one embodiment, a method includes generating a plasma in a chamber while a microfeature workpiece is positioned in the chamber, measuring optical emissions from the plasma, and determining a parameter of the plasma based on the measured optical emissions. The parameter can be an ion density or another parameter of the plasma.

Подробнее
10-03-2015 дата публикации

Plasma treatment device and optical monitor device

Номер: US0008974628B2

An optical monitor device of the present microwave plasma etching device has: a monitor head located in a position more radially inward than the edge of a semiconductor wafer W mounted on a susceptor, more radially outward than a coaxial pipe, and above a cover plate; an optical waveguide for monitoring provided vertically below the monitor head, and longitudinally traversing the cooling plate, a dielectric plate, and a dielectric window; and a monitor main body optically connected to the monitor head via an optical fiber.

Подробнее
06-10-2022 дата публикации

METHOD AND APPARATUS FOR REVITALIZING PLASMA PROCESSING TOOLS

Номер: US20220319814A1

Methods for revitalizing components of a plasma processing apparatus that includes a sensor for detecting a thickness or roughness of a peeling weakness layer on a protective surface coating of a plasma processing tool and/or for detecting airborne contaminants generated by such peeling weakness layer. The method includes detecting detrimental amounts of peeling weakness layer buildup or airborne concentration of atoms or molecules from the peeling weakness layer, and initiating a revitalization process that bead beats the peeling weakness layer to remove it from the component while maintaining the integrity of the protective surface coating.

Подробнее
21-11-1991 дата публикации

Swivelable mass spectrometer to measure properties of particle stream - provides in-situ measurement of mass-, energy-and angular-distribution e.g. for integrated circuit

Номер: DE0004016108A1
Принадлежит:

Measurement of physical properties of a particle stream of large cross-section emanating from esp. a dry etching unit used for prodn. of integrated circuits, comprises deflecting a thin beam from the stream into the measuring unit contg. a swivelable mass spectrometer for determining the mass-, energy- and angular-distribution of the particles in the stream. The particle stream is measured at selected intervals from the particle source. The unit comprises an evacuatable process chamber (5) with a source adaptor as a vacuum-tight coupling between chamber and particle source. A diaphragm unit with a small shutter separates the measuring unit from the particle source. ADVANTAGE - A single measuring unit determines the various properties.

Подробнее
01-06-2017 дата публикации

Verfahren zum Herstellen einer Halbleitervorrichtung

Номер: DE102016114935A1
Принадлежит:

Es ist ein Verfahren zum Herstellen einer Halbleitervorrichtung vorgesehen. Das Verfahren umfasst die folgenden Arbeitsschritte. (a) Ein Substrat wird strukturiert. (b) Eine Polymerschicht wird auf dem strukturierten Substrat gebildet. (c) Die Polymerschicht wird strukturiert. Schritte (a), (b) und (c) werden abwechselnd wiederholt. Eine Intensität eines Emissionslichts, das durch eine Reaktion eines Plasmas und eines Produkts, das in Schritten (a), (b) und (c) hergestellt wird, generiert wird, wird erfasst. Ein Endpunkt beim Strukturieren des Substrats wird anhand der Intensität des Emissionslichts festgestellt, das durch die Reaktion des Plasmas und des Produkts, das in nur einem Schritt von Schritten (a), (b) und (c) hergestellt wird, generiert wird. Eine Abtastrate der Intensität liegt in einem Bereich von 1 Punkt/20 ms bis 1 Punkt/100 ms. Eine Glättungsfunktion wird zum Verarbeiten der Intensität des Emissionslichts, das durch die Reaktion des Plasmas und des Produkts generiert wird ...

Подробнее
14-10-2020 дата публикации

Plasma source chamber for a spectrometer

Номер: GB0002582948A
Принадлежит:

A plasma source chamber 10 for use in a spectrometer comprises an inner chamber 11 for a plasma source 30 and an outer chamber accommodating the inner chamber. The outer chamber has at least one outer air inlet 21 opening in a first wall and at least one outer air outlet 22 opening in a second wall. Walls of the inner and outer chambers define a spacing 25 so as to allow a first air flow 1 through the spacing between the inner chamber and the outer chamber. The inner chamber comprises at least one inner air inlet 23 opening in a first wall and at least one inner air outlet 24 opening in a second wall to allow a second air flow 2 through the inner chamber. Cooling of the outer surfaces of the plasma source chamber 10 is achieved. A viewer 32 enables observation of the plasma source.

Подробнее
07-02-2020 дата публикации

Plasma processing apparatus and plasma processing method

Номер: CN0110767581A
Принадлежит:

Подробнее
20-04-2011 дата публикации

Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Номер: CN0102027576A
Автор: Hudson Eric
Принадлежит:

A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.

Подробнее
26-01-2011 дата публикации

Advanced process sensing and control using near infrared spectral reflectometry

Номер: CN0101960580A
Принадлежит:

Embodiments described herein provide a method and apparatus for obtaining process information in a substrate manufacturing process using plasma. In one embodiment, a chamber is provided having one or more optical metrology modules that are positioned such that optical energy from the plasma process is detected at substantially orthogonal angles. Metrics derived from detected optical energy may be used for endpoint determination, substrate temperature, and monitoring of critical dimensions on the substrate.

Подробнее
22-11-2013 дата публикации

MODULATE OF ORDER FOR IONIC IMPLANTEUR

Номер: FR0002980911B1
Принадлежит: ION BEAM SERVICES

Подробнее
11-11-2019 дата публикации

Advanced optical sensors and methods for etch processing, monitoring

Номер: KR1020190126443A
Автор:
Принадлежит:

Подробнее
27-02-2019 дата публикации

플라즈마 모니터링 장치

Номер: KR1020190019266A
Автор: 배정운, 안성범, 안경준
Принадлежит:

... 본 발명은 플라즈마 모니터링 장치에 관한 것으로, 플라즈마 챔버, 상기 플라즈마 챔버 내부의 플레이트에 적재되도록 구성되고 적어도 하나의 RGB 컬러 센서를 포함한 테스트 기판, 및 상기 테스트 기판의 상기 RGB 컬러 센서로부터 측정값을 수신하여 분석하고, 분석된 결과에 기반하여 상기 플라즈마 챔버의 방사 상태를 제어하는 제어 모듈을 포함하고, 상기 테스트 기판은, 기저 기판; 상기 기저 기판 상에 적층된 버퍼층, 상기 버퍼층 상에 형성된 상기 적어도 하나의 RGB 컬러 센서; 및 상기 적어도 하나의 RGB 컬러 센서를 덮어, 플라즈마 챔버 내부의 플라즈마에 의해 방사되는 광을 파장별로 통과시키고, 상기 광의 강도를 낮추도록 구성된 필터 부재를 포함한다.

Подробнее
25-04-2014 дата публикации

DIFFERENTIAL MEASUREMENTS FOR ENDPOINT SIGNAL ENHANCEMENT

Номер: KR1020140049491A
Автор:
Принадлежит:

Подробнее
27-12-1999 дата публикации

Номер: KR19990088180A
Автор:
Принадлежит:

Подробнее
29-03-2019 дата публикации

Номер: KR0101963745B1
Автор:
Принадлежит:

Подробнее
09-11-2018 дата публикации

웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어

Номер: KR1020180122036A
Принадлежит:

... 본 명세서에는 건식 세정 가스를 플라스마 프로세싱 시스템의 플라스마 프로세싱 챔버 내로 유동시키고, 웨이퍼리스 건식 세정 프로세스를 개시하기 위하여 플라스마 프로세싱 챔버 내의 플라스마를 점화시킴으로써 광 방출 분광 시스템으로부터 광 방출 스펙트럼을 획득하기 위한 아키텍처, 플랫폼 및 방법이 설명된다.

Подробнее
30-05-2019 дата публикации

Номер: KR1020190059287A
Автор:
Принадлежит:

Подробнее
29-06-2016 дата публикации

PLASMA PROCESSING APPARATUS AND OPERATING METHOD OF PLASMA PROCESSING APPARATUS

Номер: KR1020160075350A
Принадлежит:

Provided is a plasma processing apparatus with improving yield. The plasma processing apparatus processes a wafer placed in a process chamber by using plasma formed in the process chamber disposed within a vacuum vessel. The plasma processing apparatus comprises: one window which is disposed on a sidewall of the vacuum vessel surrounding the process chamber and transmits light emitted from the plasma; the other window which is disposed on the other side, with the process chamber of the one window being disposed therebetween, and transmits light from the outside of the process chamber; a light-receiving unit which is disposed outside the one window and receives and detects light from the one window; a light source which is disposed outside of the other window and generates the external light; a light splitting unit which is disposed between the light source and the other window, splits the light from the light source into an optical path directed toward the process chamber and an optical ...

Подробнее
07-11-2017 дата публикации

플라즈마 공정 최적화 방법

Номер: KR0101794066B1
Принадлежит: 삼성전자주식회사

... 본 발명은 시즈닝 공정의 재현성과 생산성을 향상시킬 수 있는 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법을 개시한다. 그의 최적화 방법은, 챔버내에서의 플라즈마 반응으로부터 계측 스펙트럼을 획득하는 단계와, 계측 스펙트럼의 일반화된 계측 스펙트럼과, 일반화된 계측 기준치를 각각 산출하는 단계와, 상기 일반화된 계측 스펙트럼과 일반화된 레퍼런스 스펙트럼을 비교하는 단계와, 상기 일반화된 계측 스펙트럼과 상기 일반화된 레퍼런스 스펙트럼이 불 일치 시에 상기 일반화된 계측 기준치와 일반화된 레퍼런스 기준치를 비교하여 상기 플라즈마 반응의 공정 파라미터 변경 또는 챔버의 세정을 판단하는 단계를 포함할 수 있다.

Подробнее
08-06-2006 дата публикации

METHOD AND APPARATUS FOR MEASURING ELECTRON DENSITY OF PLASMA AND PLASMA PROCESSING APPARATUS

Номер: KR0100586386B1
Автор:
Принадлежит:

Подробнее
21-09-2018 дата публикации

Номер: TWI636101B
Автор: MORI MARIMO, MORI, MARIMO

Подробнее
02-08-2012 дата публикации

Method for determining process-specific data of a vacuum deposition process

Номер: US20120193219A1
Автор: Volker Linss
Принадлежит: VON ARDENNE ANLAGENTECHNIK GMBH

A method for determining process-specific data of a vacuum deposition process, in which a substrate is coated in a vacuum chamber by a material detached from a target connected to a magnetron, an optical emission spectrum being recorded and process-significant data of the vacuum deposition process being determined therefrom for further processing in measurement or regulating processes, is optimized to minimize errors in the determination of process-significant data. At least three intensities of spectral lines of at least two process materials are determined from the optical emission spectrum. From these, single and multiple intensities are mathematically correlated with and to one another and a process-significant datum, which is used in subsequent measurement or regulating processes, is determined from the relation results by a further mathematical relation.

Подробнее
05-01-2017 дата публикации

Treatment-target modification device, treatment-target modification system, image forming system, and image forming method

Номер: US20170001453A1
Принадлежит: Ricoh Co Ltd

A treatment-target modification device is configured to modify a treatment target being conveyed, with discharge. The treatment-target modification device includes: a hydrophilization unit configured to perform hydrophilization treatment on the treatment target ( 20 ; and a measurement unit configured to measure two-dimensional distribution of a reflectance spectrum of light reflected from the hydrophilization-treated treatment target.

Подробнее
06-01-2022 дата публикации

PLASMA PROCESSING APPARATUS AND CONTROL METHOD

Номер: US20220005739A1
Автор: IKEDA Taro, Osada Yuki
Принадлежит:

A method of controlling plasma includes providing a plasma processing apparatus that includes N microwave introducing radiators disposed in a circumferential direction of a ceiling plate of a processing container so as to introduce microwaves for generating plasma into the processing container, wherein N≥2; and M sensors and configured to monitor at least one of electron density Ne and electron temperature Te of the plasma generated in the processing container, wherein M equals to N or a multiple of N. The method further includes controlling at least one of a power and a phase of the microwaves introduced from the microwave introducing radiators based on at least one of electron density Ne and electron temperature Te of the plasma monitored by the M sensors. 1. A method of controlling plasma , the method comprising: N microwave introducing radiators disposed in a circumferential direction of a ceiling plate of a processing container so as to introduce microwaves for generating plasma into the processing container, wherein N≥2; and', 'M sensors configured to monitor at least one of electron density Ne and electron temperature Te of the plasma generated in the processing container, wherein M equals to N or a multiple of N, and, 'providing a plasma processing apparatus includingcontrolling at least one of a power and a phase of the microwaves introduced from the microwave introducing radiators based on at least one of electron density Ne and electron temperature Te of the plasma monitored by the sensors.2. The method according to claim 1 , wherein the M sensors are provided in correspondence with the N microwave introducing radiators claim 1 , respectively claim 1 ,the method further comprising:controlling at least one of the power and phase of the microwaves introduced from each of the N microwave introducing radiators based on at least one of the electron density Ne and the electron temperature Te of the plasma monitored by each of the M sensors provided in ...

Подробнее
01-01-2015 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20150004721A1
Принадлежит: TOKYO ELECTRON LIMITED

An OES measuring unit outputs a spectroscopically measured value for each step at the end of or immediately after each step. A CD estimating unit obtains an estimated CD value for each step using a CD estimation model and a spectroscopically measured value received from an estimation model storage unit. In the next step, a process control unit uses an estimated CD value for the previous step received from the CD estimating unit, in addition to a process condition setting value for the next step received from a recipe storage unit and a process control model for the next step received from a control model storage unit, for automatic control of the control subject 1. A plasma processing apparatus in which a plasma processing for a substrate to be processed is divided into a plurality of steps and process conditions are independently set for each step , the apparatus comprising:an evacuable processing container configured to removably accommodate the substrate;a plasma generating unit configured to generate plasma of a processing gas in the processing container in accordance with the process conditions for each step;a target value setting unit configured to set a target value for each step on a determined process result;a plasma measuring unit configured to spectroscopically measure atomic emission of the plasma generated in the processing container;a process result estimating unit configured to estimate a value of the process result in a corresponding step from a spectroscopically measured value obtained from the plasma measuring unit after the completion of each step; anda process control unit configured to adjust at least one of the process conditions as a process parameter in a next step of each step, based on the target value of the process result for the next step given from the target value setting unit and an estimated value of the process result for each step given from the process estimating unit.2. The plasma processing apparatus of claim 1 , wherein the ...

Подробнее
04-01-2018 дата публикации

Method of detecting a condition

Номер: US20180005837A1
Принадлежит: SPTS Technologies Ltd

A method is for detecting a condition associated with a final phase of a plasma dicing process. The method includes providing a non-metallic substrate having a plurality of dicing lanes defined thereon, plasma etching through the substrate along the dicing lanes, wherein during the plasma etching infrared emission emanating from at least a portion of the dicing lanes is monitored so that an increase in infrared emission from the dicing lanes is observed as the final phase of the plasma dicing operation is entered, and detecting the condition associated with the final phase of the plasma dicing from the monitored infrared emission.

Подробнее
07-01-2021 дата публикации

METHODS, APPARATUS, AND SYSTEMS FOR PROCESSING A SUBSTRATE

Номер: US20210005435A1
Принадлежит:

Methods, apparatus, and systems for substrate processing are provided. Apparatus can include a controller; a processing chamber; a substrate supporting a substrate; and an infrared sensor assembly disposed adjacent the substrate support and comprising: a sample chamber one of made from or coated with nickel or nickel alloy and configured to collect chemicals which are present while the substrate is being processed in the processing chamber; an IR light source disposed at one end of the sample chamber and an IR detector disposed at an opposite end of the sample chamber; and a pair of windows positioned in an optical path between the IR light source and the IR detector, wherein the IR light source transmits IR light along the optical path and the IR detector detects the transmitted IR light and transmits a signal to the controller for determining a concentration of the chemicals present in the processing chamber. 1. A system for processing a substrate , comprising:a controller;a processing chamber defining an inner volume;a substrate support disposed in the processing chamber to support a substrate; and a sample chamber one of made from or coated with nickel or nickel alloy and configured to collect chemicals which are present while the substrate is being processed in the processing chamber;', 'an IR light source disposed at one end of the sample chamber and an IR detector disposed at an opposite end of the sample chamber; and', 'a pair of windows positioned in an optical path between the IR light source and the IR detector,', 'wherein the IR light source transmits IR light along the optical path and the IR detector detects the transmitted IR light and transmits a signal to the controller for determining a concentration of the chemicals present in the processing chamber., 'an infrared (IR) sensor assembly disposed in the inner volume of the processing chamber adjacent the substrate support and comprising2. The system according to claim 1 , wherein the pair of windows ...

Подробнее
07-01-2021 дата публикации

Real-time detection of particulate matter during deposition chamber manufacturing

Номер: US20210005436A1
Принадлежит: Applied Materials Inc

Implementations disclosed describe a system that includes a deposition chamber, a light source to produce an incident beam of light, wherein the incident beam of light is to illuminate a region of the deposition chamber, and a camera to collect a scattered light originating from the illuminated region of the deposition chamber, wherein the scattered light is to be produced upon interaction of the first incident beam of light with particles inside the illuminated region of the deposition chamber. The described system may optionally have a processing device, coupled to the camera, to generate scattering data for a plurality of locations of the illuminated region, wherein the scattering data for each location comprises intensity of the scattered light originating from this location.

Подробнее
20-01-2022 дата публикации

LOW OPEN AREA AND COUPON ENDPOINT DETECTION

Номер: US20220020617A1
Принадлежит:

The disclosure describes apparatus and method for detecting an endpoint in plasma-assisted wafer processing in a chamber. A fiber array comprising a plurality of fibers collects optical emission light from the chamber during the plasma-assisted wafer processing. The fiber array is split into two or more groups of fibers, each group carrying a portion of the light to a segment of a photodetector. Each segment of photodetector has a corresponding narrowband optical filter designed for a specific range of wavelengths. A computer processor analyzes detected signals from the plurality of segments of the photodetector, and determines, based on the analysis of the detected signals, an endpoint of the plasma-assisted wafer processing as indicated by the presence or the absence of the one or more chemical species in the chamber. The photodetector can be based on photomultiplier tube (PMT) array or based on photodiodes (e.g., avalanche photodiodes (APDs)). 1. An apparatus for detecting an endpoint in plasma-assisted wafer processing in a chamber , the apparatus comprising:a fiber array comprising a plurality of fibers collecting optical emission light from the chamber during the plasma-assisted wafer processing, wherein the plurality of fibers is split into two or more groups of fibers, each group of fibers carrying a portion of the optical emission light as a respective optical signal;a photodetector having a plurality of segments, each segment having a corresponding narrowband optical filter designed for a specific range of wavelengths, wherein one or more ranges of wavelengths are indicative of a presence or an absence of one or more chemical species associated with the plasma-assisted wafer-processing in the chamber, and wherein each group of fibers couples the respective optical signal with a corresponding segment of the photodetector; anda computer processor, that analyzes detected signals from the plurality of segments of the photodetector, and determines, based on the ...

Подробнее
27-01-2022 дата публикации

Method and system for adjustable coating using magnetron sputtering systems

Номер: US20220028673A1
Автор: Dominik Wagner

A method and a system for adjustable coating on a substrate using a magnetron sputtering apparatus are provided. The method comprises the steps of providing a magnetron assembly which comprises a plurality of magnets attached to a plurality of yokes and a plurality of actuating mechanisms ( 208 ), each operatively coupled to at least one of the plurality of yokes. The method further comprises automatically determining individual positions of each of the plurality of yokes of the magnetron assembly on the basis of at least one parameter, and adjusting individually positions of each of the plurality of yokes of the magnetron assembly in accordance with the automatically determined individual positions.

Подробнее
10-01-2019 дата публикации

ICP SOURCE FOR M AND W-SHAPE DISCHARGE PROFILE CONTROL

Номер: US20190013186A1
Принадлежит:

Apparatuses and methods are provided that, in some embodiments use an adjustable middle coil to tune plasma density in a plasma processing system. For example, in one embodiment, a plasma processing apparatus includes an impedance match circuit coupled to an Rf power source. The impedance match circuit measures voltage and current at an inner and an outer coil. The match circuit calculates plasma density from the measured voltage and/or current. An adjustable middle coil located between the inner and outer coils is adjusted and/or replaced to tune the plasma density radial profile. 1. A plasma processing apparatus , comprising:a chamber lid and a chamber body enclosing a processing region;an inner coil disposed above the processing region;an outer coil disposed about the processing region and the inner coil;an adjustable middle coil between the inner coil and the outer coil wherein the adjustable middle coil has a resonance frequency at one set of input parameters and is replaceable with a different middle coil that has a different resonant frequency at the one set of input parameters;an impedance match circuit coupled to the inner coil and the outer coil; andan Rf generator coupled to the impedance match circuit.2. The plasma processing apparatus of wherein the adjustable middle coil is coupled to a capacitor to adjust resonant frequency of the adjustable middle coil.3. The plasma processing apparatus of wherein the adjustable middle coil is at least one wind of conductive material.4. The plasma processing apparatus of wherein the adjustable middle coil is coupled to an adjustable capacitor to adjust resonant frequency of the adjustable middle coil.5. The plasma processing apparatus of wherein a diameter of the adjustable middle coil is adjustable with respect to a diameter of the inner coil and a diameter of the outer coil.6. The plasma processing apparatus of wherein distance of the adjustable middle coil from the chamber lid is adjustable.7. (canceled)8. A ...

Подробнее
10-01-2019 дата публикации

MICROWAVE PLASMA SOURCE

Номер: US20190013187A1
Автор: Meloni Mark A.
Принадлежит:

The disclosure provides a plasma source, an excitation system for excitation of a plasma, and a method of operating an excitation measurement system. In one embodiment, the plasma source includes: (1) a coaxial radio frequency (RF) resonator including a first end, a second end, an inner electrode and an outer electrode, (2) a radio frequency interface electrically coupled to the inner and outer electrode and configured to provide an RF signal to the coaxial RF resonator, (3) a flange positioned at the first end of the resonator and defining a plasma cavity, and (4) a window positioned between the first end of the resonator and the flange, and forming one side of the plasma cavity, whereby the coaxial RF resonator is isolated from the plasma. 1. A plasma source for excitation of a plasma from one or more gasses and optical monitoring thereof , the plasma source comprising:a coaxial radio frequency (RF) resonator including a first end, a second end, an inner electrode and an outer electrode;a radio frequency interface electrically coupled to the inner and outer electrode and configured to provide an RF signal to the coaxial RF resonator;a flange positioned at the first end of the resonator and defining a plasma cavity; anda window positioned between the first end of the resonator and the flange, and forming one side of the plasma cavity, whereby the coaxial RF resonator is isolated from the plasma.2. The plasma source as recited in further comprising an isolation screen positioned at an opposite end of the plasma cavity from the window and forming a second side of the plasma cavity.3. The plasma source as recited in further comprising an o-ring positioned between the window and the flange.4. The plasma source as recited in wherein the flange is removably coupled to the coaxial RF resonator.5. The plasma source as recited in further comprising a viewing port extending along a length of the coaxial RF resonator between the first and second end.6. The plasma source as ...

Подробнее
09-01-2020 дата публикации

PLASMA PROCESS MONITORING APPARATUS AND PLASMA PROCESSING APPARATUS COMPRISING THE SAME

Номер: US20200013596A1
Автор: YUN IL GU

A plasma process monitoring device includes a first selection area light transmitting part disposed to face a first viewport disposed on one side of a chamber and provided with a plurality of first selective light blocking parts for selectively blocking plasma light emitted through the first viewport, a second selection area light transmitting part disposed to face a second viewport disposed on the other side of the chamber and provided with a plurality of second selective light blocking parts for selectively blocking plasma light emitted through the second viewport, and a monitor for obtaining plasma light information on areas where plasma light transmitted through at least one of the first selective light blocking parts and plasma light transmitted through at least one of the second selective light blocking parts intersect, and monitoring uniformity of plasma formed in the chamber for each area based on the plasma light information. 1. A plasma process monitoring device , comprising:a first selection area light transmitting part disposed to face a first viewport disposed on one side of a chamber, and provided with a plurality of first selective light blocking parts for selectively blocking plasma light emitted through the first viewport;a second selection area light transmitting part disposed to face a second viewport disposed on the other side of the chamber, and provided with a plurality of second selective light blocking parts for selectively blocking plasma light emitted through the second viewport; anda monitor for obtaining plasma light information on areas where plasma light transmitted through at least one of the first selective light blocking parts and plasma light transmitted through at least one of the second selective light blocking parts intersect, and monitoring uniformity of plasma formed in the chamber for each area based on the plasma light information.2. The plasma process monitoring device according to claim 1 , wherein the first selection area ...

Подробнее
21-01-2016 дата публикации

METHOD FOR ETCHING PROTECTIVE FILM, METHOD FOR PRODUCING TEMPLATE, AND TEMPLATE PRODUCED THEREBY

Номер: US20160018738A1
Автор: OHTSU Akihiko
Принадлежит: FUJIFILM Corporation

A substrate having a protective film formed on a front surface and a recess in a back surface opposite the front surface is prepared. A resist pattern is formed on the protective film. The protective film is etched using plasma while applying a bias voltage, using the resist pattern as a mask. The bias voltage is increased according to the manner of decrease in the dielectric constant of a region of the substrate corresponding to a covered region of the front surface at which the protective film is present.

Подробнее
03-02-2022 дата публикации

ANOMALOUS PLASMA EVENT DETECTION AND MITIGATION IN SEMICONDUCTOR PROCESSING

Номер: US20220037135A1
Принадлежит:

In particular embodiments, anomalous plasma events, which may include formation of an electric arc in a semiconductor processing chamber, may be detected and mitigated. In certain embodiments, a method may include detecting an optical signal emitted by a plasma, converting the optical signal to a voltage signal, and forming an adjusted voltage signal. Responsive to determining that the changes associated with the adjusted voltage signal exceed a threshold, an output power of an RF signal coupled to the chamber may be adjusted. Such adjustment may mitigate formation of the anomalous plasma event occurring within the chamber. 1. A method of detecting an anomalous plasma event in a semiconductor processing chamber , the method comprising:detecting an optical signal emitted by a plasma in the semiconductor processing chamber, wherein the plasma is formed in response to an RF signal from an RF generator;converting the optical signal to a voltage signal;adjusting the voltage signal to form an adjusted voltage signal;determining whether changes associated with the adjusted voltage signal exceed a threshold; andadjusting, based at least in part on the determination, an output parameter of the RF signal from the RF generator.2. The method of claim 1 , wherein:the adjusting the voltage signal to form the adjusted voltage signal comprises filtering the voltage signal with a low-pass filter, andthe determining comprises comparing the changes of the voltage signal to the adjusted voltage signal.3. The method of claim 2 , wherein the adjusting the voltage signal to form the adjusted voltage signal further comprises applying an offset to the adjusted voltage signal.4. The method of claim 1 , wherein the adjusting the voltage signal to form the adjusted voltage signal comprises taking a first derivative of the voltage signal.5. The method of claim 1 , wherein the adjusting of the output parameter of the RF generator comprises lowering an output power of the RF generator from a ...

Подробнее
22-01-2015 дата публикации

PLASMA PROCESSING APPARATUS AND OPERATIONAL METHOD THEREOF

Номер: US20150021294A1
Принадлежит:

A plasma processing apparatus includes: a detector configured to detect a change in an intensity of light emission from plasma formed inside a processing chamber; and a unit configured to adjust conditions for forming the plasma or processing a wafer arranged inside the processing chamber using an output from the detector, wherein the detector detects a signal of the intensity of light emission at plural time instants before an arbitrary time instant during processing, and wherein the adjusting unit removes the component of a temporal change of a long cycle of the intensity of light emission from this detected signal and detects the component of a short temporal change of the intensity of light emission, and adjusts the conditions for forming the plasma or processing a wafer arranged inside the processing chamber based on the short temporal change of the detected intensity of light emission. 1. A plasma processing apparatus , comprising:a processing chamber which is disposed inside a vacuum vessel;a detector which is configured to detect a change in an intensity of light emission from plasma formed inside the processing chamber; andan adjusting unit which is configured to adjust conditions for forming the plasma or processing a wafer arranged inside the processing chamber using an output from the detector, whereinthe detector detects a signal of the intensity of light emission at a plurality of time instants before an arbitrary time instant during processing, and whereinthe adjusting unit removes a component of a temporal change of a long cycle of the intensity of light emission from this detected signal and detects a component of a short temporal change of the intensity of light emission, and further adjusts the conditions for forming the plasma or processing a wafer arranged inside the processing chamber based on the short temporal change of the detected intensity of light emission.2. The plasma processing apparatus according to claim 1 , wherein the adjusting ...

Подробнее
21-01-2021 дата публикации

Plasma processing apparatus

Номер: US20210020416A1
Принадлежит: Tokyo Electron Ltd

There is provided a method for driving a member provided in a processing chamber. The method includes irradiating to the member measurement light having a wavelength that penetrates the member, detecting intensity distribution of reflected light based on reflected light from an upper surface of the member and reflected light from a bottom surface of the member, calculating an optical path difference by applying Fourier transform to a spectrum indicating the intensity distribution, and determining a driving amount of the member based on the optical path difference. The method further includes driving the member based on the determined driving amount.

Подробнее
25-01-2018 дата публикации

PLASMA PROCESSING APPARATUS AND ANALYSIS METHOD FOR ANALYZING PLASMA PROCESSING DATA

Номер: US20180025894A1
Принадлежит:

According to the present invention, a plasma processing apparatus includes an analysis unit that obtains wavelengths of the light correlated with a plasma processing result, selects, from the obtained wavelengths, a wavelength having a first factor that represents a deviation in an intensity distribution of the light and is larger than a first predetermined value, and predicts the plasma processing result using the selected wavelength, or an analysis unit that obtains values computed using each of light intensities of a plurality of wavelengths and correlated with the plasma processing result, selects, from the obtained values, a value having a second factor that represents a deviation in a distribution of the obtained values and is larger than a second predetermined value, and predicts the plasma processing result using the selected value. 1. A plasma processing apparatus comprising:a processing chamber configured to perform processing using plasma; andan analysis unit configured to analyze data of light emitted from the plasma,wherein the analysis unit obtains wavelengths of the light correlated with a plasma processing result, selects, from the obtained wavelengths, a wavelength having a first factor that represents a deviation in an intensity distribution of the light and is larger than a first predetermined value, and predicts the plasma processing result using the selected wavelength, orthe analysis unit obtains values computed using each of light intensities of a plurality of wavelengths and correlated with the plasma processing result, selects, from the obtained values, a value having a second factor that represents a deviation in a distribution of the obtained values and is larger than a second predetermined value, and predicts the plasma processing result using the selected value.2. The plasma processing apparatus according to claim 1 , wherein the first predetermined value is a value defined to allow a prediction error of a prediction formula obtained ...

Подробнее
10-02-2022 дата публикации

METHOD OF FORMING PLASMA PROCESSING APPARATUS, RELATED APPARATUS, AND METHOD OF FORMING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20220044912A1
Принадлежит:

A method of forming a plasma processing apparatus comprises providing a chamber, the chamber including a wall defining an interior, and a viewport extending through the wall. An analysis apparatus connected to the viewport may be formed. The analysis apparatus includes an analyzer adjacent to the chamber, a probe connected to the analyzer and aligned with the viewport, and a first window aligned with the probe, the first window having a first surface, and a second surface at an opposite side relative to the first surface, the second surface being exposed to the interior of the chamber, and the second surface of the first window has a scattering surface. 1. A method of forming a plasma processing apparatus , the method comprising:providing a chamber, the chamber including a wall defining an interior, and a viewport extending through the wall; andforming an analysis apparatus connected to the viewport,wherein: an analyzer adjacent to the chamber,', 'a probe connected to the analyzer and aligned with the viewport, and', 'a first window aligned with the probe, the first window having a first surface, and a second surface at an opposite side relative to the first surface, the second surface being exposed to the interior of the chamber, and', 'the second surface of the first window has a scattering surface., 'the analysis apparatus includes2. The method as claimed in claim 1 , wherein a roughness of the second surface of the first window is rougher than a roughness of the first surface of the first window.3. The method as claimed in claim 1 , wherein the second surface of the first window has a root mean square roughness of 0.2 μm to 10 μm.4. The method as claimed in claim 1 , wherein the first window includes quartz or glass.5. The method as claimed in claim 1 , wherein at least one of the first surface and the second surface includes a spherical surface.6. The method as claimed in claim 1 , wherein the first window includes a convex lens.7. The method as claimed in ...

Подробнее
06-02-2020 дата публикации

Normal-incident in-situ process monitor sensor

Номер: US20200043710A1
Принадлежит: Tokyo Electron Ltd

An apparatus, a system, and a method for in-situ etching monitoring in a plasma processing chamber are provided. The apparatus includes a continuous wave broadband light source to generate incident light beam, an illumination system configured to illuminate an area on a substrate with an incident light beam being directed at normal incidence to the substrate, a collection system configured to collect a reflected light beam being reflected from the illuminated area on the substrate, and direct the reflected light beam to a detector, and processing circuitry. The processing circuitry is configured to process the reflected light beam to suppress background light, determine a property of the substrate or structures formed thereupon based on reference light beam and the reflected light beam that are processed to suppress the background light, and control an etch process based on the determined property.

Подробнее
18-02-2021 дата публикации

METHODS AND SYSTEMS FOR PLASMA PROCESSING TOOL MATCHING AFTER PREVENTATIVE MAINTENANCE

Номер: US20210050191A1
Принадлежит:

Embodiments are described herein for systems and methods for plasma processing tool matching after preventative maintenance (PM). Before the PM, the plasma processing tool is operated to run a process on a test wafer, and measurements are taken for pre-PM operational data associated with the process during the operating. After the PM, the plasma processing tool is again operated to run the process on a test wafer, and measurements are taken for post-PM operational data associated with the process during the operating. A prediction model is then applied to the pre-PM operational data and the post-PM operational data to generate an estimated difference in a product parameter, and the prediction model is configured to provide an estimate for the product parameter based upon operational data. One or more control settings for the plasma processing tool are then adjusted to compensate for the estimated difference in the product parameter. 1. A method to adjust operation of a plasma processing tool , comprising: operating the plasma processing tool to run a process on a first test wafer; and', 'measuring pre-PM operational data associated with the process during the operating; and, 'before preventative maintenance (PM) for the plasma processing tool,'} operating the plasma processing tool to run the process on a second test wafer;', 'measuring post-PM operational data associated with the process during the operating;', 'applying a prediction model to the pre-PM operational data and the post-PM operational data to generate an estimated difference in a product parameter, the prediction model being configured to provide an estimate for the product parameter based upon measured operational data; and', 'adjusting one or more control settings for the plasma processing tool to compensate for the estimated difference in the product parameter., 'after PM for the plasma processing tool,'}2. The method of claim 1 , wherein the measuring is performed using one or more sensors ...

Подробнее
03-03-2022 дата публикации

METHOD AND HARDWARE FOR POST MAINTENANCE VACUUM RECOVERY SYSTEM

Номер: US20220065735A1
Автор: HILKENE MARTIN A.
Принадлежит:

A method and system for vacuum chamber integrity verification after chamber maintenance or venting. The method includes a computing system causing a measurement cycle that includes causing a pump to pump down pressure of the vacuum chamber for a set duration of time or to a target pressure; causing an isolation valve to isolate the vacuum chamber; receiving sensor data from an optical emission sensor; and analyzing the sensor data to determine whether the sensor data satisfies one or more sensor data criteria. The method further includes, the computing system, causing one or more repetitions of the measurement cycle until the sensor data meets the one or more sensor data criteria. The method further includes analyzing the sensor data that meets the sensor data criteria to determine at least one of vacuum chamber leak rate or vacuum chamber moisture content. The system includes the vacuum chamber, pump, isolation valve, optical emission sensor, and computing system. 1. A method for verifying vacuum chamber leak integrity , the method comprising: causing a pump to pump down pressure of a semiconductor processing chamber for a set duration of time or to a target pressure;', 'causing an isolation valve to isolate the semiconductor processing chamber;', 'receiving sensor data from an optical emission sensor; and', 'analyzing the sensor data to determine whether the sensor data satisfies one or more sensor data criteria;, 'causing, by a computing system, a measurement cycle comprisingcausing, by the computing system, one or more repetitions of the measurement cycle until the sensor data meets the one or more sensor data criteria; andanalyzing the sensor data that meets the sensor data criteria to determine a vacuum chamber leak rate.2. The method of claim 1 , wherein the analyzing of the sensor data comprises determining a stability of the sensor data across a plurality of measurement cycles by:comparing first sensor data from a most recent measurement cycle to previous ...

Подробнее
03-03-2022 дата публикации

METHOD OF MONITORING A SEMICONDUCTOR DEVICE FABRICATION PROCESS AND METHOD OF FABRICATING A SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20220068728A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Disclosed are a method of monitoring a semiconductor device fabrication process and a method of fabricating a semiconductor device using the same. The monitoring method may include determining a normalization range of a target byproduct, which is a measurement target of byproducts produced in a chamber by an etching process, the byproducts including the target byproduct and a non-target byproduct, the target byproduct including first and second target byproducts, which are respectively produced by and before the etching process on a to-be-processed layer, obtaining a first index from a ratio of the target byproduct to the non-target byproduct, obtaining a second index by subtracting an emission intensity of the second target byproduct from the first index, obtaining a third index by integrating the second index on a time interval, and estimating a result of the etching process and presence or absence of a failure, based on the third index. 1. A method of monitoring a semiconductor device fabrication process , comprising:determining a normalization range of a target byproduct, which is a measurement target of byproducts produced in a chamber by an etching process, the byproducts comprising the target byproduct and a non-target byproduct, the target byproduct comprising a first target byproduct, which is produced by the etching process on a to-be-processed layer, and a second target byproduct, which is produced before the etching process on the to-be-processed layer;obtaining a first index from a ratio of the target byproduct to the non-target byproduct;obtaining a second index by subtracting an emission intensity of the second target byproduct from the first index;obtaining a third index by integrating the second index on a time interval; andestimating a result of the etching process and presence or absence of a failure, based on the third index.2. The method of claim 1 ,wherein the normalization range comprises a first wavelength range, in which an emission peak of ...

Подробнее
13-02-2020 дата публикации

OPTICAL EMISSION SPECTROSCOPY SYSTEM, METHOD OF CALIBRATING THE SAME, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер: US20200049560A1
Принадлежит:

An optical emission spectroscopy system may include a reference light source, an analyzer to receive and analyze light transmitted from the reference light source, and a calibrator to calibrate light emitted from the reference light source. The calibrator may change a calibration ratio in accordance with an incidence angle of the light. 1. An optical emission spectroscopy system , comprising:a reference light source;an analyzer to receive and analyze light transmitted from the reference light source; anda calibrator to calibrate light emitted from the reference light source,wherein the calibrator changes a light receiving ratio in accordance with an incidence angle of the light.2. The system as claimed in claim 1 , wherein the calibrator calibrates an intensity of light incident on the analyzer.3. The system as claimed in claim 2 , wherein the calibrator includes:a first filter to transmit light at a first incidence angle; anda second filter to transmit light at a second incidence angle,wherein transmittance of the first filter is different from that of the second filter.4. The system as claimed in claim 2 , wherein the calibrator includes:a first opening that allows light incident at a first incidence angle to pass therethrough; anda second opening that allows light incident at a second incidence angle to pass therethrough,wherein a size of the first opening is different from that of the second opening.5. The system as claimed in claim 2 , wherein the calibrator:a first liquid crystal that transmits light incident at a first incidence angle; anda second liquid crystal that transmits light incident at a second incidence angle,wherein transmittance of the first liquid crystal is different from that of the second liquid crystal.6. The system as claimed in claim 2 , wherein the calibrator is between the reference light source and the analyzer.7. The system as claimed in claim 2 , further comprising a sub-calibrating part between the reference light source and the ...

Подробнее
25-02-2016 дата публикации

Plasma apparatus and method of operating the same

Номер: US20160056017A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A plasma apparatus includes a chuck disposed in a process chamber, a gas supply unit supplying a process gas into the process chamber, a plasma generating unit configured to generate plasma over the chuck, a direct current (DC) power generator applying a DC pulse signal to the chuck, and a sensor monitoring a state of the plasma and providing a sensing signal to the DC power generator. Each period of the DC pulse signal includes a negative pulse duration, a positive pulse duration, and a pulse-off duration. If a signal disturbance of the sensing signal occurs in an n th period of the DC pulse signal, the DC power generator changes a magnitude of a positive pulse and/or a length of the positive pulse duration of an n+1 th period of the DC pulse signal, where “n” denotes a natural number.

Подробнее
13-02-2020 дата публикации

SENSOR-TO-SENSOR MATCHING METHODS FOR CHAMBER MATCHING

Номер: US20200051787A1
Автор: Shinagawa Jun
Принадлежит:

Embodiments are described herein for sensor-to-sensor matching methods for chamber matching across multiple plasma processing chambers. For disclosed embodiments, a baseline signature in a first processing chamber is compared to a signature generated in a second processing chamber in order to adjust and match sensor display values for the second processing chamber. The baseline signature is determined using a golden reference sensor disposed within the first processing chamber and plasma sensors monitoring a baseline plasma. The signature of the plasma is determined using the golden reference sensor disposed within the second processing chamber and plasma sensors monitoring the plasma. Differences are determined between the baseline signature and the signature, and a display value for the plasma sensors for the second processing chamber is adjusted based on the differences to provide chamber matching with the first processing chamber. The golden reference sensor can be a wafer with embedded sensors. 1. A method , comprising:forming baseline plasma in a first processing chamber based, at least in part, on one or more baseline process conditions being implemented by the first processing chamber of a plasma processing system;determining a baseline signature of the baseline plasma using a golden reference sensor disposed within the first processing chamber and one or more baseline plasma sensors monitoring the baseline plasma, the baseline signature being based, at least in part, on data from the golden reference sensor and the baseline plasma sensors;forming plasma in a second processing chamber based, at least in part, on the baseline process conditions used to form the baseline plasma;determining a signature of the plasma using the golden reference sensor disposed within the second processing chamber and one or more plasma sensors monitoring the plasma, the signature being based, at least in part, on data from the golden reference sensor and the plasma sensors; ...

Подробнее
05-03-2015 дата публикации

Low Contamination Chamber for Surface Activation

Номер: US20150064810A1

An embodiment low contamination chamber includes a gas inlet, an adjustable top electrode, an adjustable bottom electrode, and an outlet. The chamber is configured to adjust a distance between the adjustable top and bottom electrodes in accordance with a desired density of plasma disposed between the top electrode and the bottom electrode.

Подробнее
20-02-2020 дата публикации

PLASMA TREATMENT METHOD

Номер: US20200058476A1
Автор: Hsieh Hung-Yuan

A plasma treatment method is provided. The method includes generating a planar plasma in a plasma treatment chamber, observing an effective influence region of the planar plasma by using an optical observation system in which an observation lens has a transparent substrate and a fluorescent coating thereon, adjusting a location of the observation lens to observe a brightness change of the fluorescent coating and the transparent substrate to obtain a location and a thickness range of the effective influence region of the planar plasma, and then adjusting a location of the observation lens to observe a brightness change of the fluorescent coating and the transparent substrate to obtain a location and a thickness range of the effective influence region of the planar plasma. A location of a sample is adjusted to within the effective influence region, and a plasma treatment is then performed on the sample. 1. A plasma treatment method , comprising:generating a planar plasma in a plasma treatment chamber;observing an effective influence region of the planar plasma by using an optical observation system, wherein the optical observation system comprises an observation lens, and the observation lens comprises a transparent substrate, a fluorescent coating located on a surface of the transparent substrate, and a shelter coating between the transparent substrate and the fluorescent coating;adjusting a location of the observation lens to observe a brightness change of the fluorescent coating and the transparent substrate for obtaining a location and a thickness range of the effective influence region of the planar plasma;adjusting a location of a sample to within the effective influence region; andperforming a plasma treatment on the sample.2. The plasma treatment method of claim 1 , further comprising claim 1 , before adjusting the location of the sample claim 1 , adjusting a thickness and the location of the effective influence region of the planar plasma.3. The plasma ...

Подробнее
20-02-2020 дата публикации

SYSTEMS AND METHODS FOR INTERNAL SURFACE CONDITIONING ASSESSMENT IN PLASMA PROCESSING EQUIPMENT

Номер: US20200058516A1
Принадлежит: Applied Materials, Inc.

In an embodiment, a plasma source includes a first electrode, configured for transfer of one or more plasma source gases through first perforations therein; an insulator, disposed in contact with the first electrode about a periphery of the first electrode; and a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity. The second electrode is configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber. A power supply provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products. One of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma. 1. A plasma processing system , comprising two processing units , each of the two processing units comprising: a first planar electrode,', 'a second planar electrode defining apertures providing fluid access to a processing region of the processing unit, and', 'a ring shaped insulator disposed in contact with a periphery of the first electrode, and in contact with a periphery of the second electrode; and, 'a plasma generation region bounded bya power supply configured to supply electrical power across the first and second planar electrodes to ignite a plasma of source gases in the plasma generation region, to produce the plasma products;wherein:one of the first electrode, the second electrode or the insulator defines a port providing access for an optical signal from the plasma, the port being configured to limit interactions on the optical signal by the plasma products after passing through the second electrode.2. The plasma processing system of claim 1 , each of the two processing units further comprising:a planar diffuser between the second planar ...

Подробнее
02-03-2017 дата публикации

Feedback Control By RF Waveform Tailoring for Ion Energy Distribution

Номер: US20170062186A1
Принадлежит: MKS Instruments Inc

A system for controlling RF power supplies applying power to a load, such as a plasma chamber, includes a master power supply and a slave power supply. The master power supply provides a control signal, such as a frequency and phase signal, to the slave power supply. The slave power supply receives the frequency and phase signal and also receives signals characteristic of the spectral emissions detected from the load. The slave RF power supply varies the phase and power of its RF output signal applied to the load. Varying the power controls the width of an ion distribution function, and varying the phase controls a peak of the ion distribution. Depending upon the coupling between the RF generators and the load, different spectral emissions are detected, including first harmonics, second harmonics, and, in the case of a dual frequency drive system, intermodulation distortion.

Подробнее
04-03-2021 дата публикации

ANNULAR MEMBER, SUBSTRATE PROCESSING APPARATUS AND METHOD OF CONTROLLING SUBSTRATE PROCESSING APPARATUS

Номер: US20210066053A1
Принадлежит:

An annular member includes an annular member body to be disposed around a substrate in a substrate processing apparatus. A heterogeneous material portion is disposed in the annular member body and formed of a heterogeneous material different from a material of the annular member body. 1. An annular member , comprising:an annular member body to be disposed around a substrate in a substrate processing apparatus; anda heterogeneous material portion disposed in the annular member body and formed of a heterogeneous material different from a material of the annular member body.2. The annular member as claimed in claim 1 , wherein the heterogeneous material portion is disposed on an outer circumferential side of the annular member body.3. The annular member body as claimed in claim 1 , wherein the heterogeneous material portion is formed so as to increase a horizontal width from a top surface toward a bottom surface of the annular member body.4. The annular member body as claimed in claim 1 , wherein the annular member body includes a plurality of layers of the heterogeneous material portion in a vertical direction.5. The annular member as claimed in claim 1 , wherein the heterogeneous material portion is entirely provided in a circumferential direction of the annular member body.6. The annular member as claimed in claim 1 , wherein the heterogeneous material portion is partially provided in a circumferential direction of the annular member.7. The annular member as claimed in claim 1 , wherein a first product produced in etching the heterogeneous material differs from a second product produced in etching the annular member body.8. A substrate processing apparatus claim 1 , comprising:an annular member to be disposed around a substrate in a substrate processing apparatus;a heterogeneous material portion disposed in the annular member and formed of a heterogeneous material different from a material of the annular member; anda detector configured to detect a product produced ...

Подробнее
08-03-2018 дата публикации

SMART IN SITU CHAMBER CLEAN

Номер: US20180068908A1
Принадлежит:

A microelectronic device is formed using a fabrication tool such as a plasma thin film deposition tool or a plasma etch tool. A smart in-situ chamber clean begins with an initial plasma. A first physical signal is measured while the initial plasma is in progress, and the measured value is stored in a memory unit. A process controller retrieves the measured value, uses it to compute a deposition estimate parameter, and determines when the deposition estimate parameter meets a minimum deposition criterion. When the result of the determination is TRUE, the smart in-situ chamber clean terminates without an in-situ cleaning of the process chamber. When the result of the determination is FALSE, the smart in-situ chamber clean proceeds with an in-situ cleaning. The in-situ cleaning may be a continuation of the initial plasma. Subsequently, the microelectronic device is processed in the fabrication tool. 1. A method of forming a microelectronic device , comprising:flowing a first reactant gas into a process chamber of a fabrication tool;forming an initial plasma from the first reactant gas in the process chamber;obtaining a measured value of a first physical signal associated with the initial plasma;computing a deposition estimate parameter using the measured value;determining when the deposition estimate parameter meets a deposition criterion;flowing a second reactant gas different from the first reactant gas into the process chamber to form a cleaning plasma for an in-situ clean of the process chamber when the deposition estimate parameter does not meet the deposition criterion; andprocessing the microelectronic device in the process chamber without performing the in-situ clean of the process chamber when the deposition estimate parameter meets the deposition criterion.2. The method of claim 1 , wherein the fabrication tool is a thin film plasma deposition tool.3. The method of claim 1 , wherein the fabrication tool is a plasma etch tool.4. The method of claim 1 , wherein ...

Подробнее
27-02-2020 дата публикации

PLASMA MONITORING APPARATUS AND PLASMA PROCESSING SYSTEM

Номер: US20200066499A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Provided is a plasma monitoring apparatus including an objective lens configured to collect light that is emitted from plasma and passes through an optical window of a chamber, a beam splitter configured to divide the light collected by the objective lens into first light and second light, a first optical system and a second optical system that are provided on a first optical path of the first light and a second optical path of the second light, respectively, the first optical system and the second optical system having different focal lengths such that focal points of the first optical system and the second optical system are set at different regions in the plasma, and a light detector configured to detect the first light that has passed through the first optical system and the second light that has passed through the second optical system. 1. A plasma monitoring apparatus comprising:an objective lens configured to collect light that is emitted from plasma and passes through an optical window of a chamber;a beam splitter configured to divide the light collected by the objective lens into first light and second light;a first optical system and a second optical system that are provided on a first optical path of the first light and a second optical path of the second light, respectively, the first optical system having a first focal length and the second optical system having a second focal length that is different from the first focal length such that focal points of the first optical system and the second optical system are set at different regions in the plasma; anda light detector configured to detect the first light that has passed through the first optical system and the second light that has passed through the second optical system.2. The plasma monitoring apparatus of claim 1 , wherein the objective lens comprises a convex lens.3. The plasma monitoring apparatus of claim 1 , wherein the first optical system comprises a first optical lens configured to have a ...

Подробнее
27-02-2020 дата публикации

PLASMA PROCESSING APPARATUS AND DATA ANALYSIS APPARATUS

Номер: US20200066500A1
Принадлежит:

In time-series data indicating light emission of plasma when plasma processing is carried out on a sample by generating the plasma, an analysis apparatus creates combinations of a plurality of light emission wavelengths of elements and a plurality of time intervals within a plasma processing interval and calculates, for each of the combinations of the wavelengths and the time intervals, a correlation between an average value of light emission intensity and the number of times the plasma processing is carried out on the samples for each of the combinations of the wavelengths and the time intervals that have been created. Thereafter, the data analysis apparatus selects, as a combination of the wavelength and the time interval used to observe or control the plasma processing, a combination of a wavelength of light emitting from a specific element and a specific time interval having a maximum correlation. 1. A data analysis device comprising:a computing unit configured to analyze the light emission data of plasma acquired from a plasma processing unit in which plasma processing is carried out on samples; andan input/output unit is configured to input and output data,whereinthe computing unit is further configured to:acquire a correlation data for each of plurality of the respective time intervals divided time of a plurality of the plasma processing at a plurality of wavelengths of light corresponding to elements of the plasma as analysis targets, between a respective light emission intensity for the wavelength of light corresponding to elements and a number of plasma processing of the samples;identify one of the combinations of the respective wavelengths of light corresponding to elements and the respective time intervals by using the acquired correlation data corresponding to the determined elements as an indicator,wherein the input/output unit is further configured to output the identified combination of the respective wavelengths of light corresponding to elements ...

Подробнее
24-03-2022 дата публикации

Systems and methods for determining residual compounds in plasma process

Номер: US20220093429A1

The present disclosure provides a system and method for predicting wafer fabrication defects resulting from plasma processing of wafers in a plasma processing chamber. The system and method include processing electromagnetic signals emitted from residual compounds peeled from the chamber walls during the plasma processing of the wafers to indirectly determine the likelihood that the wafers are incurring fabrication processing defects during the plasma processing.

Подробнее
18-03-2021 дата публикации

PLASMA PROCESSING APPARATUS AND PREDICTION METHOD OF THE CONDITION OF PLASMA PROCESSING APPARATUS

Номер: US20210082673A1
Принадлежит:

A system that predicts an apparatus state of a plasma processing apparatus including a processing chamber in which a sample is processed is configured to have a data recording unit that records emission data of plasma during processing of the sample and electrical signal data obtained from the apparatus during the plasma processing, an arithmetic unit that includes a first calculation unit for calculating a first soundness index value of the plasma processing apparatus and a first threshold for an abnormality determination using a first algorithm with respect to the recorded emission data and a second calculation unit for calculating a second soundness index value of the plasma processing apparatus and a second threshold for the abnormality determination using a second algorithm with respect to the electrical signal data recorded in the data recording unit, and a determination unit that determines soundness of the plasma processing apparatus using the calculated first soundness index value and the first threshold and the calculated second soundness index value and the second threshold. 14-. (canceled)5. A plasma processing apparatus state prediction method of predicting a state of a plasma processing apparatus including a processing chamber in which a sample is subjected to plasma processing , the method comprising:predicting the state of the plasma processing apparatus based on a first soundness index value obtained by analyzing a first data obtained from the plasma processing apparatus using a first algorithm and a second soundness index value obtained by analyzing a second data obtained from the plasma processing apparatus using a second algorithm,wherein the second algorithm is an algorithm in which a second time of the plasma processing in which the state is determined as abnormal by the second soundness index value is a time within a range of a time obtained by subtracting a predetermined time from a first time of the plasma processing in which the state is ...

Подробнее
23-03-2017 дата публикации

Plasma etching systems and methods using empirical mode decomposition

Номер: US20170084433A1
Принадлежит: Lam Research Corp

A substrate etching system includes an etching control module, a filtering module, and an endpoint module. The etching control module selectively begins plasma etching of a substrate within an etching chamber. The filtering module, during the plasma etching of the substrate: receives a signal including endpoint information; decomposes the signal using empirical mode decomposition (EMD); and generates a filtered signal based on results of the EMD. The endpoint module indicates when an endpoint of the plasma etching of the substrate has been reached based on the filtered signal. The etching control module ends the plasma etching of the substrate in response to the indication that the endpoint of the plasma etching of the substrate has been reached.

Подробнее
12-03-2020 дата публикации

PLASMA SENSING DEVICE, PLASMA MONITORING SYSTEM AND METHOD OF CONTROLLING PLASMA PROCESSES

Номер: US20200083030A1
Принадлежит:

A plasma monitoring system includes a plasma chamber performing plasma processes, first and second plasma sensing devices, and a controller. The first and second plasma sensing devices are respectively in a first horizontal direction and a second horizontal direction perpendicular to each other from a center point of a monitoring plasma plane in the plasma chamber. The first and second plasma sensing device generate first and second detection signals with respect to the monitoring plasma plane based on a first incident beam radiated from the monitoring plasma plane in the first horizontal direction and a second incident beam radiated from the monitoring plasma plane in the second horizontal direction. The controller detects two-dimensional plasma distribution information with respect to the monitoring plasma plane by performing a convolution operation based on the first and second detection signals, and controls the plasma processes based on the two-dimensional plasma distribution information. 1. A plasma monitoring system , comprising:a plasma chamber to perform plasma processes;a first plasma sensing device in a first horizontal direction from a center point of a monitoring plasma plane in the plasma chamber, the first plasma sensing device to generate a first detection signal with respect to the monitoring plasma plane based on a first incident beam radiated from the monitoring plasma plane in the first horizontal direction;a second plasma sensing device in a second horizontal direction from the center point of the monitoring plasma plane, the second horizontal direction being perpendicular to the first horizontal direction, the second plasma sensing device to generate a second detection signal with respect to the monitoring plasma plane based on a second incident beam radiated from the monitoring plasma plane in the second horizontal direction; anda controller to detect two-dimensional plasma distribution information with respect to the monitoring plasma plane ...

Подробнее
19-03-2020 дата публикации

FEEDBACK SYSTEM

Номер: US20200090906A1
Принадлежит:

A feedback system for controlling properties of a single layer or multiple layer stack is applied on a substrate by means of a vacuum coating process controlled by a plurality of process controlling means. The system includes at least one monitoring device for at least implementing at least two distinct measurement techniques for determining measurement signals at each of a plurality of locations spatially distributed over the coated substrate; at least one processing unit adapted for at least receiving the measurement signals; and a controller for at least providing actuation signals for actuating the plurality of process controlling means. 124.-. (canceled)25. A feedback system for controlling properties of a single layer or multiple layer stack being applied on a blank or coated substrate by means of a vacuum coating process controlled by a plurality of process controllers , the feedback system comprising:at least one monitoring device for implementing at least two distinct measurement techniques for determining measurement signals at each of a plurality of locations spatially distributed over the coated substrate, wherein a first measurement technique is adapted for being applied simultaneously to a plurality of locations, and a second measurement technique is adapted for being applied to at least one location, one of the first and second measurement techniques being a spectral transmission measurement,at least one processing unit adapted for receiving the measurement signals, configured for determining, from the received measurement signals, actual values of a first layer property at the plurality of locations, and of a second layer property different from the first layer property at the at least one location, and/or for determining deviations between the actual first and second layer property values and desired layer property values,a controller for providing actuation signals for actuating the plurality of process controllers, and for generating further ...

Подробнее
19-03-2020 дата публикации

SYSTEMS AND METHODS FOR IMPROVED PERFORMANCE IN SEMICONDUCTOR PROCESSING

Номер: US20200091018A1
Принадлежит: Applied Materials, Inc.

Exemplary etching methods may include flowing a hydrogen-containing precursor into a semiconductor processing chamber. The methods may include flowing a fluorine-containing precursor into a remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma of the fluorine-containing precursor in the remote plasma region. The methods may include etching a pre-determined amount of a silicon-containing material from a substrate in a processing region of the semiconductor processing chamber. The methods may include measuring a radical density within the remote plasma region during the etching. The methods may also include halting the flow of the hydrogen-containing precursor into the semiconductor processing chamber when the radical density measured over time correlates to a produced amount of etchant to remove the pre-determined amount of the silicon-containing material. 1. An etching method comprising:flowing a hydrogen-containing precursor into a semiconductor processing chamber;flowing a fluorine-containing precursor into a remote plasma region of the semiconductor processing chamber;forming a plasma of the fluorine-containing precursor in the remote plasma region;etching a pre-determined amount of a silicon-containing material from a substrate in a processing region of the semiconductor processing chamber;measuring a radical density within the remote plasma region during the etching; andhalting the flow of the hydrogen-containing precursor into the semiconductor processing chamber when the radical density measured over time correlates to a produced amount of etchant to remove the pre-determined amount of the silicon-containing material.2. The etching method of claim 1 , wherein the measuring is of an atomic trace of hydrogen within the remote plasma region of the semiconductor processing chamber.3. The etching method of claim 2 , wherein the hydrogen-containing precursor comprises hydrogen claim 2 , ammonia claim 2 , or water.4. ...

Подробнее
26-06-2014 дата публикации

Plasma doping apparatus and plasma doping method

Номер: US20140179028A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a plasma doping apparatus provided with a plasma generating mechanism. The plasma generating mechanism includes a microwave generator that generates microwave for plasma excitation, a dielectric window that transmits the microwave generated by the microwave generator into a processing container, and a radial line slot antenna formed with a plurality of slots. The radial line slot antenna radiates the microwave to the dielectric window. A control unit controls the plasma doping apparatus such that a doping gas and a gas for plasma excitation are supplied into the processing container by a gas supply unit in a state where the substrate is placed on a holding unit, and then plasma is generated by the plasma generating mechanism to perform doping on the substrate such that the concentration of the dopant implanted into the substrate is less than 1×10 13 atoms/cm 2 .

Подробнее
14-04-2016 дата публикации

SYSTEMS AND METHODS FOR INTERNAL SURFACE CONDITIONING ASSESSMENT IN PLASMA PROCESSING EQUIPMENT

Номер: US20160104606A1
Принадлежит: Applied Materials, Inc.

In an embodiment, a plasma source includes a first electrode, configured for transfer of one or more plasma source gases through first perforations therein; an insulator, disposed in contact with the first electrode about a periphery of the first electrode; and a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity. The second electrode is configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber. A power supply provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products. One of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma. 1. A plasma source , comprising:a first electrode, configured for transfer of one or more plasma source gases through first perforations therein;an insulator, disposed in contact with the first electrode about a periphery of the first electrode;a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity, the second electrode configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber; anda power supply that provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products;wherein one of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma.2. The plasma source of claim 1 , wherein the optical signal is not influenced by interactions of the plasma products after they move through the second ...

Подробнее
08-04-2021 дата публикации

System and method for remote sensing a plasma

Номер: US20210104387A1
Принадлежит: DUBLIN CITY UNIVERSITY

The invention provides a method and system to remotely monitor a plasma ( 3 ) comprising a magnetic field antenna ( 2 ) positioned in the near electromagnetic field of a coupled plasma source wherein the magnetic field antenna is a magnetic loop antenna placed in the near electromagnetic field and measure near field signals emitted from the plasma source. A radio system ( 1 ) is utilised to analyse the low power signal levels across a wide frequency band. Plasma paramaters such as series, or geometric, resonance plasma and electron-neutral collision frequencies are evaluated via a fitting of resonant features present on higher harmonics of the driving frequency to identify arcing, pump or matching failure events, common in fabrication plasma systems.

Подробнее
21-04-2016 дата публикации

Method for detecting and analzying surface films

Номер: US20160109358A1
Принадлежит: ORTHOBOND Inc

Disclosed herein are embodiments of a novel method and system to analyze films using plasma to produce spectral data and analyzing the spectral data.

Подробнее
02-06-2022 дата публикации

METHOD OF DIAGNOSING CHAMBER CONDITION AND SUBSTRATE PROCESSING APPARATUS

Номер: US20220172934A1
Принадлежит:

A method of diagnosing a condition of a chamber in a substrate processing apparatus, includes cleaning an interior of the chamber; generating a plasma from a gas containing a helium gas in the chamber; measuring an emission intensity of fluorine in the interior of the chamber; and diagnosing the condition of the chamber based on the emission intensity. 1. A method of diagnosing a condition of a chamber in a substrate processing apparatus , comprising:cleaning an interior of the chamber;generating a plasma from a gas containing a helium gas in the chamber;measuring an emission intensity of fluorine in the interior of the chamber; anddiagnosing the condition of the chamber based on the emission intensity.2. The method of claim 1 , the gas does not include an argon gas.3. The method of claim 2 , the gas further comprises at least one selected from the group consisting of a xenon gas claim 2 , a neon gas and a krypton gas.4. The method of claim 3 , wherein the generating the plasma is performed by placing a dummy substrate on a stage.5. The method of claim 4 , wherein the method is performed after a start-up of the substrate processing apparatus claim 4 , after a maintenance of the substrate processing apparatus claim 4 , or before and after a processing of a product substrate in the substrate processing apparatus.6. The method of claim 5 , wherein the diagnosing the condition of the chamber further comprises: performing plasma processing with a fluorine-containing gas when the emission intensity is diagnosed to be lower than a first threshold value.7. The method of claim 6 , wherein a surface of the chamber includes a ceramics thermal-sprayed film.8. The method of claim 7 , wherein the ceramics thermal-sprayed film comprises at least one selected from the group consisting of aluminum oxide claim 7 , yttrium oxide claim 7 , yttrium fluoride claim 7 , and yttrium oxyfluoride.9. The method of claim 1 , wherein the generating the plasma is performed by placing a dummy ...

Подробнее
29-04-2021 дата публикации

CONTROL METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20210125814A1
Принадлежит:

A method of controlling a scanning-type plasma processing apparatus using a phased array antenna, includes observing light emission of plasma generated inside a processing container through observation windows provided at multiple positions in the processing container, calculating an in-plane distribution of values representing characteristics of the plasma on a substrate, based on data on the observed light emission of the plasma, and correcting a scanning pattern and/or a plasma density distribution of the plasma based on the calculated in-plane distribution of the values representing the characteristics of the plasma on the substrate. 1. A method of controlling a scanning-type plasma processing apparatus using a phased array antenna , the method comprising:observing light emission of plasma generated inside a processing container through observation windows provided at multiple positions in the processing container;calculating an in-plane distribution of values representing characteristics of the plasma on a substrate, based on data on the observed light emission of the plasma; andcorrecting at least one or more of a scanning pattern and a plasma density distribution of the plasma based on the calculated in-plane distribution of the values representing the characteristics of the plasma on the substrate.2. The method of claim 1 , wherein the correcting at least one or more of a scanning pattern and a plasma density distribution of the plasma includes controlling at least one or more of power and phase output from at least one antenna part of the phased array antenna.3. The method of claim 2 , wherein the correcting at least one or more of a scanning pattern and a plasma density distribution of the plasma includes controlling a time for supplying power output from at least one antenna part of the phased array antenna.4. The method of claim 3 , wherein the correcting at least one or more of a scanning pattern and a plasma density distribution of the plasma is ...

Подробнее
02-04-2020 дата публикации

Methods for stability monitoring and improvements to plasma sources for plasma processing

Номер: US20200105510A1
Принадлежит: Tokyo Electron Ltd

Methods are disclosed to detect plasma light emissions during plasma processing, to analyze light intensity data associated with the plasma source, and to adjust operating parameters for the plasma source and/or the process chamber based upon light intensity distributions associated with the plasma processing. The light intensity distributions for the plasma sources and related analysis can be conducted across multiple processing tools. For some embodiments, plasma discharge stability and/or chamber-to-chamber matching information is determined based upon light intensity data, and the operation of the processing tools are adjusted or controlled based upon stability and/or matching determinations. The disclosed embodiments thereby provide simple, low-cost solutions to assess and improve plasma sources and discharge stability for plasma processing tools such as plasma etch and deposition tools.

Подробнее
13-05-2021 дата публикации

Optical wall and process sensor with plasma facing sensor

Номер: US20210140824A1
Принадлежит: Applied Materials Inc

Embodiments disclosed herein include an optical sensor system for use in plasma processing tools. In an embodiment, the optical sensor system, comprises an optically clear body with a first surface and a second surface facing away from the first surface. In an embodiment, the optically clear body further comprises a third surface that is recessed from the second surface. In an embodiment, the optical sensor system further comprises a target over the third surface and a first reflector to optically couple the first surface to the target.

Подробнее
14-05-2015 дата публикации

DEPOSITION SYSTEM

Номер: US20150128859A1
Принадлежит: MINGDAO UNIVERSITY

A deposition system includes a chamber, an electrical power module, a first detection module and a second detection module. The chamber includes a target, a substrate, and a plasma. The substrate is spaced apart with the target and corresponded to the target. The plasma is generated between the target and the substrate. The target, the substrate and the plasma are in an interior of the chamber. The electrical power module is electrically connected with the target so as to generate a potential difference between the target and the substrate. The first detection module is connected with the interior of the chamber for detecting a composition of the plasma so as to generate a first detection result. The second detection module is connected with the first detection module, and includes an avalanche photodiode detector for analyzing the first detection result so as to generate a second detection result. 1. A deposition system , comprising: a target;', 'a substrate spaced apart with the target and corresponded to the target; and', 'a plasma generated between the target and the substrate;', 'wherein the target, the substrate and the plasma are in an interior of the chamber;, 'a chamber, comprisingan electrical power module electrically connected with the target so as to generate a potential difference between the target and the substrate;a first detection module connected with the interior of the chamber for detecting a composition of the plasma so as to generate a first detection result; anda second detection module connected with the first detection module, wherein the second detection module comprises an avalanche photodiode detector for analyzing the first detection result so as to generate a second detection result.2. The deposition system of claim 1 , wherein the electrical power module is connected with the target for providing the target an electrical pulse.3. The deposition system of claim 2 , wherein a power density of the electrical pulse is 2 kWcmto 300 kWcm ...

Подробнее
05-05-2016 дата публикации

Diagnosis system for pulsed plasma

Номер: US20160126068A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A diagnosis system for pulsed plasma includes an optical emission sensor (OES) to receive light generated the pulsed plasma, the pulsed plasma having been generated in accordance with a pulse signal, a digitizer to synchronize the electrical signal with the pulse signal, and an analyzer to analyze the synchronized electrical signal.

Подробнее
03-05-2018 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20180122665A1
Принадлежит:

A plasma processing apparatus includes a stage disposed in a processing chamber for mounting a wafer, a plasma generation chamber disposed above the processing chamber for plasma generation using process gas, a plate member having multiple introduction holes, made of a dielectric material, disposed above the stage and between the processing chamber and the plasma generation chamber, and a lamp disposed around the plate member for heating the wafer. The plasma processing apparatus further includes an external IR light source, an emission fiber arranged in the stage, that outputs IR light from the external IR light source toward a wafer bottom, and a light collection fiber for collecting IR light from the wafer. Data obtained using only IR light from the lamp is subtracted from data obtained also using IR light from the external IR light source during heating of the wafer. Thus, a wafer temperature is determined. 1. A plasma processing apparatus comprising:a vacuum vessel including therein a processing chamber, having a reduced pressure, to which process gas is supplied;a stage disposed at an inner lower position of the processing chamber, the stage having an upper surface, and configured to mount a wafer to be processed, on the upper surface;a plasma generation chamber disposed above the processing chamber, wherein plasma is generated in the plasma generation chamber using the process gas;a plate member made of a dielectric material disposed above the upper surface of the stage and between the processing chamber and the plasma generation chamber, the plate member having a plurality of introduction holes for introducing the process gas;a lamp arranged to circumferentially surround the plate member, and configured to heat the wafer;an external infrared (IR) light source for determination of a wafer temperature;a spectroscope; anda detector configured to detect dispersed IR light, whereinthe stage has a plurality of fiber installation openings, an IR light emission ...

Подробнее
31-07-2014 дата публикации

Method for predicting plasma micro-arcing, and method for controlling plasma process of production equipment using the same

Номер: US20140209453A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method for predicting plasma micro-arcing includes obtaining a spectrum signal in a given plasma process, classifying an optical intensity of the spectrum signal into soft and hard arcing events according to an amplitude of the optical intensity of the spectrum signal, separately counting a number of occurrences of the soft arcing event in a given unit time, comparing the number of occurrences of the soft arcing event during the given unit time with the number of occurrences of the soft arcing event during a previous unit time, and determining that a number of occurrences of the hard arcing event will increase during a next unit time subsequent to the given unit time, when the number of occurrences of the soft arcing event during the given unit time increases in comparison with the number of occurrences of the soft arcing event during the previous unit time.

Подробнее
10-05-2018 дата публикации

METHOD AND APPARATUS FOR CALIBRATING OPTICAL PATH DEGRADATION USEFUL FOR DECOUPLED PLASMA NITRIDATION CHAMBERS

Номер: US20180130647A1
Принадлежит:

Methods for matching semiconductor processing chambers using a calibrated spectrometer are disclosed. In one embodiment, plasma attributes are measured for a process in a reference chamber and a process in an aged chamber. Using a calibrated light source, an optical path equivalent to an optical path in a reference chamber and an optical path in an aged chamber can be compared by determining a correction factor. The correction factor is applied to adjust a measured intensity of plasma radiation through the optical path in the aged chamber. Comparing a measured intensity of plasma radiation in the reference chamber and the adjusted measured intensity in the aged chamber provide an indication of changed chamber conditions. A magnitude of change between the two intensities can be used to adjust the process parameters to yield a processed substrate from the aged chamber which matches that of the reference chamber. 1. A method for determining optical path change in a substrate processing chamber including an optical emission spectroscopy measurement system , the method comprising:positioning a light source and a reference spectrometer that measures optical intensity through a reference window positioned in a reference optical path between the light source and the reference spectrometer;measuring with the reference spectrometer a reference optical intensity of radiation from the light source transmitted through a first reference optical path in a reference chamber other than the substrate processing chamber;measuring a second optical intensity of radiation from the light source through at least a second optical path of the substrate processing chamber, the second optical path causing attenuation of the radiation different from attenuation of the first reference optical path;comparing the reference optical intensity with the second optical intensity to obtain a correction factor for the second optical path;measuring through a reference optical path in the chamber a ...

Подробнее
11-05-2017 дата публикации

Smart in-situ chamber clean

Номер: US20170133284A1
Принадлежит: Texas Instruments Inc

A microelectronic device is formed using a fabrication tool such as a plasma thin film deposition tool or a plasma etch tool. A smart in-situ chamber clean begins with an initial plasma. A first physical signal is measured while the initial plasma is in progress, and the measured value is stored in a memory unit. A process controller retrieves the measured value, uses it to compute a deposition estimate parameter, and determines when the deposition estimate parameter meets a minimum deposition criterion. When the result of the determination is TRUE, the smart in-situ chamber clean terminates without an in-situ cleaning of the process chamber. When the result of the determination is FALSE, the smart in-situ chamber clean proceeds with an in-situ cleaning. The in-situ cleaning may be a continuation of the initial plasma. Subsequently, the microelectronic device is processed in the fabrication tool.

Подробнее
23-04-2020 дата публикации

ENDPOINT DETECTING METHOD AND ENDPOINT DETECTING APPARATUS

Номер: US20200126775A1
Автор: TANAKA Itaru, TSUJI Hiroki
Принадлежит:

A method of detecting an endpoint of a plasma process applied to a substrate is provided. The method includes: monitoring a time series of intensity of a predetermined wavelength component in an emission spectrum obtained by optical emission spectroscopy; monitoring a time series of intensity of a predetermined component in a mass spectrum obtained by mass spectrometry; calculating a signal used for detecting the endpoint of the plasma process by performing an arithmetic operation using the monitored time series of intensity of the predetermined wavelength component in the emission spectrum and the monitored time series of intensity of the predetermined component in the mass spectrum; and detecting the endpoint of the plasma process based on the signal calculated by the arithmetic operation. 1. A method of detecting an endpoint of a plasma process applied to a substrate , the method comprising:monitoring a time series of intensity of a predetermined wavelength component in an emission spectrum obtained by optical emission spectroscopy;monitoring a time series of intensity of a predetermined component in a mass spectrum obtained by mass spectrometry;calculating a signal by performing an arithmetic operation using the monitored time series of intensity of the predetermined wavelength component in the emission spectrum and the monitored time series of intensity of the predetermined component in the mass spectrum; anddetecting the endpoint of the plasma process based on the signal calculated by the arithmetic operation.2. The method according to claim 1 , further comprising providing the substrate on which a film is formed claim 1 , the film being formed by stacking at least two types of films.3. The method according to claim 1 , wherein the arithmetic operation is at least one of multiplication claim 1 , division claim 1 , addition claim 1 , and subtraction claim 1 , with respect to the monitored time series of intensity of the predetermined wavelength component in the ...

Подробнее
17-05-2018 дата публикации

SYSTEM AND METHOD FOR CALIBRATION OF OPTICAL SIGNALS IN SEMICONDUCTOR PROCESS SYSTEMS

Номер: US20180136118A1
Принадлежит:

The disclosure provides an optical calibration device for in-chamber calibration of optical signals associated with a processing chamber, a characterization system for plasma processing chambers, methods of characterizing plasma processing chambers, and a chamber characterizer. In one example, the optical calibration device includes: (1) an enclosure, (2) an optical source located within the enclosure and configured to provide a source light having a continuous spectrum, and (3) optical shaping elements located within the enclosure and configured to form the source light into a calibrating light that approximates a plasma emission during an operation within the processing chamber. 1. An optical calibration device for in-chamber calibration of optical signals associated with a processing chamber , comprising:an enclosure;an optical source located within the enclosure and configured to provide a source light having a continuous spectrum; andoptical shaping elements located within the enclosure and configured to form the source light into a calibrating light that approximates a plasma emission during an operation within the processing chamber.2. The optical calibration device as recited in wherein the optical shaping elements are selected from the group consisting of diffusers claim 1 , baffles claim 1 , lenses claim 1 , mirrors claim 1 , apertures claim 1 , filters claim 1 , and windows.3. The optical calibration device as recited in wherein the optical source is a xenon flashlamp.4. The optical calibration device as recited in wherein the calibrating light has a wavelength range claim 1 , spatial extent and angular extent at least equal to that provided the plasma emission and observed by an optical detector.5. The optical calibration device as recited in further comprising logic circuitry located within the enclosure that is configured to control operation of the optical source and to communicate with an external system.6. The optical calibration device as recited ...

Подробнее
14-08-2014 дата публикации

Plasma evaluation method, plasma processing method and plasma processing apparatus

Номер: US20140227458A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a plasma evaluation method that evaluates plasma P that forms a nitride film by an atomic layer deposition method. First, light emission from the plasma P generated from a gas G that contains nitrogen atoms and hydrogen atoms is detected. Then, evaluation of the plasma P is performed by using a result of comparing an intensity ratio between a first peak caused by hydrogen atoms and a second peak different from the first peak and caused by hydrogen atoms in an intensity spectrum of the detected light emission with a reference value calculated in advance from a relationship between the intensity ratio and an indicator that indicates a film quality of the nitride film.

Подробнее
10-06-2021 дата публикации

MEASURING DEVICE, MEASURING METHOD, AND VACUUM PROCESSING APPARATUS

Номер: US20210175055A1
Принадлежит: TOKYO ELECTRON LIMITED

A measuring device for a vacuum processing apparatus including a processing chamber having a first gate for loading and unloading a substrate and a second gate different from the first gate is provided. The measuring device includes a case having art opening that is sized to correspond to the second gate of the processing chamber and is airtightly attachable to the second gate, a decompressing mechanism configured to reduce a pressure in the case, and a measuring mechanism accommodated in the case and configured to measure a state in the processing chamber through the opening in a state where the pressure in the case is reduced by the decompressing mechanism. 1. A measuring device for a vacuum processing apparatus including a processing chamber having a first gate for loading and unloading a substrate and a second gate different from the first gate , the measuring device comprising:a case having an opening that is sized to correspond to the second gate of the processing chamber and is airtightly attachable to the second gate;a decompressing mechanism configured to reduce a pressure in the case; anda measuring mechanism accommodated in the case and configured to measure a state in the processing chamber through the opening in a state where the pressure in the case is reduced by the decompressing mechanism.2. The measuring device of claim 1 , wherein the measuring mechanism includes:as arm having a tip end that is movable into the processing chamber through the opening; anda sensor disposed at the tip end of the arm and configured to measure the state in the processing chamber.3. The measuring device of claim 2 , wherein the arm is detachably attached to the case.4. The measuring device of claim 2 , wherein the case includes:a first case where the measuring mechanism is accommodated; anda second case having the opening and communicating with the first case through an openable shutter member,wherein when the opening of the second case is not attached to the second gate ...

Подробнее
25-05-2017 дата публикации

METHOD OF MEASURING GAS INTRODUCING HOLE PROVIDED IN ELECTRODE FOR PLASMA ETCHING DEVICE, ELECTRODE, ELECTRODE REGENERATION METHOD, REGENERATED ELECTRODE, PLASMA ETCHING DEVICE, AND GAS INTRODUCING HOLE STATE DISTRIBUTION DIAGRAM AND DISPLAY METHOD FOR SAME

Номер: US20170148612A1
Автор: Suzuki Takayuki
Принадлежит: A-SAT CORPORATION

A method of measuring with which it is possible to measure with a high accuracy a gas introducing hole provided in an electrode for a plasma etching device, and to provide an electrode provided with a highly-accurate gas introducing hole is described. This method is provided to penetrate through in the thickness direction of a base material of the electrode for the plasma etching device, provided with: a step of radiating light toward the gas introducing hole from one surface side of the substrate; a step of acquiring a two-dimensional image of light which has passed through the gas introducing hole to the other surface side of the substrate; and a step of measuring at least one of the diameter, the inner wall surface roughness, and the degree of verticality of the gas introducing hole, on the basis of the two dimensional image. 1. A measuring method of a gas introduction hole provided in an electrode for a plasma etching device , used for measuring the gas introduction hole provided to penetrate a substrate of the electrode for the plasma etching device in a thickness direction , and comprising the steps of:a) irradiating light from one surface side of the substrate toward the gas introduction hole;b) obtaining a two-dimensional image of the light transmitted to other surface side of the substrate via the gas introduction hole; andc) measuring at least one of a diameter, an inner wall surface roughness and a degree of perpendicularity of the gas introduction hole based on the two-dimensional image.2. The measuring method according to claim 1 , wherein claim 1 , the light is coherent light.3. The measuring method according to claim 1 , wherein claim 1 , the inner wall surface roughness of the gas introduction hole is measured based on a slope of a signal along a scanning line of the two-dimensional image.4. The measuring method according to claim 1 , further comprising a step of obtaining an opening portion image of the gas introduction hole from one surface side of ...

Подробнее
17-06-2021 дата публикации

APPARATUS FOR DETECTING OR MONITORING FOR A CHEMICAL PRECURSOR IN A HIGH TEMPERATURE ENVIRONMENT

Номер: US20210180189A1
Принадлежит:

An apparatus and method are disclosed for monitoring and/or detecting concentrations of a chemical precursor in a reaction chamber. The apparatus and method have an advantage of operating in a high temperature environment. An optical emissions spectrometer (OES) is coupled to a gas source, such as a solid source vessel, in order to monitor or detect an output of the chemical precursor to the reaction chamber. Alternatively, a small sample of precursor can be periodically monitored flowing into the OES and into a vacuum pump, thus bypassing the reaction chamber. 1. An apparatus for depositing a film on a semiconductor wafer comprising:a first reaction chamber configured to hold a first semiconductor wafer;a first gas source configured to provide a first gas precursor to the first reaction chamber;a second gas source configured to provide a second gas precursor to the first reaction chamber, the second gas source comprising a first solid source vessel, the first solid source vessel containing a solid precursor and sublimating the solid precursor into the second gas precursor;a first gas line coupled to the first solid source vessel, the first gas line configured to move a first portion of the second gas precursor into the first reaction chamber and move a second portion of the second gas precursor into a first sampling port;a RF source coupled to the first sampling port, the RF source ionizing the second gas precursor;an optical emissions spectrometer coupled to the RF source, the optical emissions spectrometer configured to obtain a light spectrum of the ionized second gas precursor; andan exhaust pump coupled to the RF source and configured to exhaust the ionized second gas precursor;wherein a concentration of the ionized second gas precursor is determined based on the light spectrum.2. The apparatus of claim 1 , wherein the RF source comprises at least one of: an inductively coupled plasma source; a capacitively coupled plasma source; a microwave source; or a hot ...

Подробнее
01-06-2017 дата публикации

Method of manufacturing semiconductor device

Номер: US20170154830A1

A method of manufacturing a semiconductor device is provided. The method includes the following operations. (a) A substrate is patterned. (b) A polymer layer is formed on the patterned substrate. (c) The polymer layer is patterned. Steps (a), (b) and (c) are repeated alternatingly. An intensity of an emission light generated by a reaction of a plasma and a product produced in steps (a), (b) and (c) is detected. An endpoint in patterning the substrate is determined according to the intensity of the emission light generated by the reaction of the plasma and the product produced in only one step of steps (a), (b) and (c). A sampling rate of the intensity is ranged from 1 pt/20 ms to 1 pt/100 ms. A smooth function is used to process the intensity of the emission light generated by the reaction of the plasma and the product.

Подробнее
07-06-2018 дата публикации

METHODS AND SYSTEMS FOR CHAMBER MATCHING AND MONITORING

Номер: US20180158652A1
Автор: Shinagawa Jun
Принадлежит: TOKYO ELECTRON LIMITED

A method and a system for monitoring a plasma chamber are provided. The method includes receiving process chamber characteristics from the plasma chamber; determining whether one or more variables associated with the process chamber characteristics are within predetermined specification. The method further includes updating a status of the plasma chamber to failure when the chamber characteristics are not within the predetermined specification. The method generates a warning notification when the chamber characteristics are within predetermined specification and when an operation status of the plasma chamber received from a fault detection system indicates a failure. 1. A method for matching processing chambers , comprising: one or more variables related to a preferred ion flux of a plasma generated in a golden process chamber, and', 'one or more variables related to preferred neutral flux of the plasma;, 'storing, in a memory, preferred process chamber characteristics including'} one or more variables related to actual ion flux of a matching plasma generated in matching process chamber, and', 'one or more variables related to actual neutral flux of the matching plasma;, 'receiving process chamber characteristics from a processing chamber, the chamber characteristics includingdetermining a difference between the preferred chamber characteristics and the process chamber characteristics; anddetermining adjustments to the process chamber characteristics to reduce the difference between the preferred chamber characteristics and the process chamber characteristics.2. The method of claim 1 , further comprising sending a signal to the processing chamber to adjust one or more parameters based of determined adjustments.3. The method of claim 1 , wherein the one or more variables related to the preferred ion flux includes ion energy flux (Γ).4. The method of claim 3 , wherein the one or more variables related to the preferred neutral radical flux includes a neutral flux (Γ).5 ...

Подробнее
07-06-2018 дата публикации

Methods and systems for chamber matching and monitoring

Номер: US20180158657A1
Автор: Jun Shinagawa
Принадлежит: Tokyo Electron Ltd

A method and a system for plasma etching are provided. The method includes measuring a first set of plasma etch processing parameters; determining an etch rate; altering the plasma etch processing chamber hardware configuration if the determined etch rate differs from a standard etch rate by more than a predetermined etch rate difference threshold, thereafter repeating the determining and altering until the determined etch rate differs from the standard etch rate by less than the predetermined etch rate difference threshold. The method further includes measuring a critical dimension of an etched feature and altering the etch processing parameters if the measured critical dimension differs from a standard critical dimension by more than a predetermined critical dimension difference threshold, thereafter repeating the determining and altering until the measured critical dimension differs from the standard critical dimension by less than the predetermined critical dimension difference threshold.

Подробнее
22-09-2022 дата публикации

Plasma detecting device

Номер: US20220301836A1
Принадлежит: Psk Holdings Inc

Disclosed is a detection device which includes a measurement unit including an illumination sensor that measures an amount of light in an interior of a chamber, and a detection unit that detects whether plasma is generated in the interior of the chamber, through analysis of the amount of the light.

Подробнее
14-05-2020 дата публикации

METHODS AND APPARATUS FOR MICROWAVE PLASMA ASSISTED CHEMICAL VAPOR DEPOSITION REACTORS

Номер: US20200152433A1
Принадлежит:

The disclosure relates to microwave cavity plasma reactor (MCPR) apparatus and associated optical measurement system that enable microwave plasma assisted chemical vapor deposition (MPACVD) of a component such as diamond while measuring the local surface properties of the component while being grown. Related methods include deposition of the component, measurement of the local surface properties, and/or alteration of operating conditions during deposition in response to the local surface properties. As described in more detail below, the MPCR apparatus includes one or more electrically conductive, optically transparent regions forming part of the external boundary of its microwave chamber, thus permitting external optical interrogation of internal reactor conditions during deposition while providing a desired electrical microwave chamber to maintain selected microwave excitation modes therein. 1. A microwave plasma assisted reactor comprising:(a) a first microwave chamber having an internal volume and comprising an electromagnetic wave source;(b) a plasma chamber having an outer wall and comprising an optical window forming at least a portion of the outer wall, the plasma chamber extending into the first microwave chamber;(c) a stage for supporting a substrate, the stage having a reference surface extending into the plasma chamber;(d) a first electrically conductive, optically transparent region forming at least a portion of the first microwave chamber external boundary; and(e) a second electrically conductive, optically transparent region forming at least a portion of the first microwave chamber external boundary;wherein the first region and the second region are spatially positioned on the first microwave chamber external boundary such that, when a substrate is present on the stage reference surface, light from a coherent light source passing through the first region is in optical communication with the second region by (i) passing through the first region, (ii) ...

Подробнее
24-06-2021 дата публикации

NORMAL-INCIDENCE IN-SITU PROCESS MONITOR SENSOR

Номер: US20210193444A1
Принадлежит: TOKYO ELECTRON LIMITED

An apparatus for in-situ etching monitoring in a plasma processing chamber includes a continuous wave broadband light source, an illumination system configured to illuminate an area on a substrate with an incident light beam being directed from the continuous wave broadband light source at normal incidence to the substrate, a collection system configured to collect a reflected light beam being reflected from the illuminated area on the substrate, and to direct the reflected light beam to a first light detector, and a controller. The controller is configured to determine a property of the substrate or structures formed thereupon based on a reference light beam and the reflected light beam, and control an etch process based on the determined property. The reference light beam is generated by the illumination system by splitting a portion of the incident light beam and directed to a second light detector. 1. An apparatus for in-situ etch process monitoring in a plasma processing chamber , the apparatus comprising:a continuous wave broadband light source;an illumination system configured to illuminate an area on a substrate with an incident light beam being directed from the continuous wave broadband light source at normal incidence to the substrate;a collection system configured to collect a reflected light beam being reflected from the illuminated area on the substrate, and to direct the reflected light beam to a first light detector; anda controller configured to determine a property of the substrate or structures formed thereupon based on a reference light beam and the reflected light beam, and control an etch process based on the determined property,wherein the reference light beam is generated by the illumination system by splitting a portion of the incident light beam through a beam splitter or using a mirror, thereafter directing the reference light beam to a second light detector.2. The apparatus of claim 1 , wherein the second light detector comprises a ...

Подробнее
14-06-2018 дата публикации

VIRTUAL SENSOR FOR CHAMBER CLEANING ENDPOINT

Номер: US20180166260A1
Принадлежит:

Implementations of the present disclosure generally relate to methods for cleaning processing chambers. More specifically, implementations described herein relate to methods for determining processing chamber cleaning endpoints. In some implementations, a “virtual sensor” for detecting a cleaning endpoint is provided. The “virtual sensor” is based on monitoring trends of chamber foreline pressure during cleaning of the chamber, which involves converting solid deposited films on the chamber parts into gaseous byproducts by reaction with etchants like fluorine plasma for example. Validity of the “virtual sensor” has been confirmed by comparing the “virtual sensor” response with infrared-based optical measurements. In another implementation, methods of accounting for foreline pressure differences due to facility design and foreline clogging over time. 1. A method of endpoint detection , comprising:performing a first plasma cleaning process in a clean chamber environment;determining a first chamber foreline pressure at two or more time intervals during the first plasma cleaning process;plotting a first trace defined by two or more time intervals, wherein the first trace defines the first chamber foreline pressure as a function of time;performing a second plasma cleaning process in an unclean chamber environment;determining a second chamber foreline pressure at two or more time intervals during the second plasma cleaning process;determining a second trace defined by two or more time intervals, wherein the second trace defines the second chamber foreline pressure as a function of time; andcomparing the first trace and the second trace to determine a clean endpoint time.2. The method of claim 1 , further comprising cleaning a processing chamber using the clean endpoint time.3. The method of claim 1 , wherein the first plasma cleaning process defines a baseline reference.4. The method of claim 1 , wherein the clean chamber environment is substantially devoid of material ...

Подробнее
15-06-2017 дата публикации

ADJUSTMENT OF VUV EMISSION OF A PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS

Номер: US20170170036A1
Принадлежит:

Disclosed are methods of adjusting the emission of vacuum ultraviolet (VUV) radiation from a plasma in a semiconductor processing chamber. The methods may include generating a plasma in the processing chamber which includes a VUV-emitter gas and a collisional energy absorber gas, and adjusting the emission of VUV radiation from the plasma by altering the concentration ratio of the VUV-emitter gas to collisional energy absorber gas in the plasma. In some embodiments, the VUV-emitter gas may be helium and the collisional energy absorber gas may be neon, and in certain such embodiments, adjusting VUV emission may include flowing helium and/or neon into the processing chamber in a proportion so as to alter the concentration ratio of helium to neon in the plasma. Also disclosed are apparatuses which implement the foregoing methods. 1. A semiconductor processing apparatus , comprising:a processing chamber;a plasma generator;one or more gas flow inlets configured for flowing a VUV-emitter gas comprising He and a collisional energy absorber gas comprising Ne into the processing chamber; anda controller comprising machine readable instructions for:operating the plasma generator to generate a plasma in the processing chamber, the plasma comprising the VUV-emitter gas and the collisional energy absorber gas, the plasma emitting VUV radiation; andoperating the one or more gas flow inlets to adjust the emission of VUV radiation from the plasma by flowing the VUV-emitter gas and/or the collisional energy absorber gas into the processing chamber in a proportion so as to alter the concentration ratio of the VUV-emitter gas to collisional energy absorber gas in the plasma.2. The semiconductor processing apparatus of :wherein the apparatus further comprises an optical detector; andwherein the machine readable instructions of the controller further comprise instructions for:operating the optical detector to measure an emission intensity of an emission band of the plasma; andoperating ...

Подробнее
30-05-2019 дата публикации

APPARATUS FOR MONITORING PROCESS CHAMBER

Номер: US20190164731A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

An apparatus for monitoring an interior of a process chamber including a process chamber including a chamber body and a view port defined in the chamber body, a cover section including a pinhole in one end, the cover section disposed to correspond to an end portion of the view port, the cover section having a first length in a direction toward a center point of the process chamber, and a sensing unit inserted into the view port to monitor the interior of the process chamber through the pinhole, a region in the process chamber to be sensed by the sensing unit determined based on the first length may be provided. 1. (canceled)2. A sensor assembly comprising: a cover section including a pinhole, the cover section having a first length in a direction toward a center of the process chamber,', 'a sensor configured to monitor a first region at an interior of the process chamber determined based on the first length through the pinhole, and', 'a main body including a fixing section and an insertion section, the fixing section configured to removably support the sensor, and the insertion section configured to be coupled to the cover section,, 'a sensor module configured to monitor a process status of a process chamber, the sensor module including,'}wherein the sensor module is configured to be inserted into an inner wall of the process chamber.3. The sensor assembly of claim 2 , wherein a sensing angle of the sensor is arc tan (a/b)/π×180×2° claim 2 , where “a” denotes a radius of a wafer disposed in the process chamber and “b” denotes a distance from the center of the process chamber to the pinhole.4. The sensor assembly of claim 3 , wherein a distance D between the pinhole and an end portion of the sensor facing the pinhole to is (b×L)/(a×2) claim 3 , where “L” denotes a width of the sensor.5. The sensor assembly of claim 2 , further comprising:a processor configured to perform a transformation operation on a result of monitoring the interior of the process chamber to ...

Подробнее
30-05-2019 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS

Номер: US20190164732A1
Автор: SUEMITSU Ryo
Принадлежит: Toshiba Memory Corporation

A semiconductor manufacturing apparatus according to an embodiment comprises a chamber capable of containing a substrate therein. A mount part can have the substrate mounted thereon. A first member is provided between an inner wall of the chamber and a plasma generation region above the mount part. An optical transmitter is provided in an opening that is provided in the first member to extend from a side of the inner wall of the chamber to the plasma generation region or provided in gaps between a plurality of the first members. 119-. (canceled)20. A dry etching method using an etching apparatus , the etching apparatus comprising: a chamber configured to contain a substrate therein; a mount part configured to have the substrate mounted thereon; a plurality of first members provided between an inner wall of the chamber and a plasma generation region above the mount part in planes substantially parallel to a surface of the mount part and arranged in a first direction perpendicular to the substrate along an inner wall of the chamber , the first members being separated from each other with a first gap in the first direction; and a plurality of optical transmitters respectively having first ends facing to the plasma generation region , the optical transmitters being arranged in the first direction and substantially overlapping each other when seen from the first direction , the method comprising:dry-etching a substrate in the chamber; andreceiving plasma emission at a plurality of detection points arranged along the first direction in the plasma generation region using the optical transmitters during the dry etching.21. The method of claim 20 , whereinthe first members include openings facing toward a side of the plasma generation region,the first ends are provided on sides of the openings,the etching apparatus further comprises an optical analyzer connected to second ends of the optical transmitters outside of the chamber, the second ends being different sides of the ...

Подробнее
18-09-2014 дата публикации

PLASMA EMISSION MONITOR AND PROCESS GAS DELIVERY SYSTEM

Номер: US20140262751A1
Принадлежит:

A gas manifold for delivery gas to a sputtering chamber is provided with ports to accommodate plasma emission monitors to monitor plasma information in the sputtering chamber to provide feedback control. The collimators of the plasma emission monitors is exposed to gas flow and thus coating of the monitor is greatly reduced. 1. A gas delivery system for use in a sputtering chamber , the system comprising:a gas manifold having an inlet port and a plurality of outlet ports, the inlet port operatively coupled to the gas supply so as to receive gas at a selected gas pressure and each of the plurality of outlet ports located within the sputtering chamber, the inlet port in fluid communication with each of the plurality of outlet ports via paths of at least substantially equal conductance; anda collimator port in fluid communication with one of the outlet ports so that a lens end of an optical probe when received in the collimator port is exposed to gas flowing to the outlet port to which it is in fluid communication, the optical probe operatively coupled to a plasma emission monitor.2. A sputtering apparatus , comprising:a vacuum chamber for containing a substrate to be coated by a sputtering process;a first target mounted in the vacuum chamber, the first target adapted to be energized as a cathode and having a surface to be sputtered for coating the substrate;a gas supply;a first gas manifold having an inlet port and a plurality of outlet ports, the inlet port operatively coupled to the gas supply so as to receive gas at a selected gas pressure and each of the plurality of outlet ports located within the sputtering chamber, the inlet port in fluid communication with each of the plurality of outlet ports via paths of at least substantially equal conductance; anda collimator port in fluid communication with one of the plurality of outlet ports so that a lens end of a first optical probe when received in the collimator port is exposed to gas flowing to the outlet port to ...

Подробнее
23-06-2016 дата публикации

Low Contamination Chamber for Surface Activation

Номер: US20160181073A1
Принадлежит:

An embodiment low contamination chamber includes a gas inlet, an adjustable top electrode, and an adjustable bottom electrode. The low contamination chamber is configured to adjust a distance between the adjustable top electrode and the adjustable bottom electrode in response to a desired density of plasma and a measured density of plasma measured between the adjustable top electrode and the adjustable bottom electrode during a surface activation process. The low contamination chamber further includes an outlet. 1. A low contamination chamber comprising:a gas inlet;an adjustable top electrode;an adjustable bottom electrode, wherein the low contamination chamber is configured to adjust a distance between the adjustable top electrode and the adjustable bottom electrode in response to a desired density of plasma and a measured density of plasma measured between the adjustable top electrode and the adjustable bottom electrode during a surface activation process; andan outlet.2. The low contamination chamber of claim 1 , wherein the outlet is configured as an exhaust during the surface activation process.3. The low contamination chamber of claim 1 , wherein the gas inlet is a showerhead.4. The low contamination chamber of claim 3 , wherein the showerhead is configured to control a speed of gas flow into the low contamination chamber in accordance with the desired density of plasma.5. The low contamination chamber of claim 1 , further comprising an optical emission spectroscopy device for measuring a density of plasma disposed between the adjustable top electrode and the adjustable bottom electrode.6. The low contamination chamber of claim 1 , further comprising a plasma density self-monitoring device configured to monitor the measured density of plasma claim 1 , and to adjust the distance between the adjustable top electrode and the adjustable bottom electrode in accordance with the measured density of plasma.7. The low contamination chamber of claim 1 , wherein the ...

Подробнее
06-06-2019 дата публикации

PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND PLASMA PROCESSING ANALYSIS METHOD

Номер: US20190170653A1
Принадлежит:

A plasma processing apparatus, plasma processing method, and plasma processing analysis method in which a suitable combination of wavelength, time interval, and etching condition parameter for control to change etching conditions is determined among wavelengths, time intervals, and changeable parameters for spectroscopic measurement data in order to ensure stable etching conditions. Specifically, a regression equation which represents the correlation between emission intensity and etching result at a wavelength and a time interval is obtained for each of two or more combinations of wavelength, time interval, and etching condition parameter. Furthermore, for each of the combinations, the amount of change is calculated from the regression equation when the value set for the etching condition parameter is changed. Among the combinations, the combination for which the amount of change is the smallest is determined as the combination of wavelength, time interval, and changed etching condition parameter to be used for control. 111-. (canceled)12. A plasma processing apparatus which performs plasma processing on a specimen with Advanced Process Control (APC) in use as control to suppress fluctuations in plasma processing by feedback control or feedforward control , the apparatus comprising:an analysis unit configured to select a combination for the APC of plasma emission wavelength, time interval for the plasma emission wavelength, and a parameter for the plasma processing, obtain a first regression equation representing correlation between a plasma emission intensity and a plasma processing result from temporal change data of the plasma processing according to a fixed recipe;', 'obtain a second regression equation representing correlation between the plasma emission intensity and the plasma processing result according to a changed recipe based on experimental data; and', make a coefficient of correlation of the first regression equation higher than a first prescribed ...

Подробнее
21-06-2018 дата публикации

Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead

Номер: US20180174870A1
Принадлежит: Lam Research Corp

A substrate processing system for selectively etching a substrate includes a first chamber and a second chamber. A first gas delivery system supplies an inert gas species to the first chamber. A plasma generating system generates plasma including ions and metastable species in the first chamber. A gas distribution device removes the ions from the plasma, blocks ultraviolet (UV) light generated by the plasma and delivers the metastable species to the second chamber. A substrate support is arranged below the gas distribution device to support the substrate. A second gas delivery system delivers a reactive gas species to one of the gas distribution device or a volume located below the gas distribution device. The metastable species transfer energy to the reactive gas species to selectively etch one exposed material of the substrate more than at least one other exposed material of the substrate.

Подробнее
22-06-2017 дата публикации

PLASMA PROCESSING APPARATUS AND OPERATING METHOD OF PLASMA PROCESSING APPARATUS

Номер: US20170178874A1
Принадлежит:

A plasma processing device performing etching processing to a sample disposed in a processing chamber disposed in a vacuum vessel by using plasma formed in the processing chamber includes a light detector, a component detector, and a determination unit. The light detector detects light intensity of a plurality of wavelengths from the inside of the processing chamber at a plurality of times during the sample processing. The component detector detects, by using a result of a principal component analysis of time-series data, a highly correlated component between the time-series data of a plurality of the wavelengths at a certain time in a plurality of the times obtained from output of the light detector. The determination unit determines an amount or an end point of the etching processing based on a change in light intensity of at least one of a plurality of the wavelengths detected by using the time-series data from which the highly correlated component is removed. 1. A plasma processing device performing etching processing to a sample disposed in a processing chamber disposed in a vacuum vessel by using plasma formed in the processing chamber , the plasma processing device , comprising:a light detector configured to detect light intensity of a plurality of wavelengths from the inside of the processing chamber at a plurality of times during the sample processing;a component detector configured to detect, by using a result of a principal component analysis of time-series data, a highly correlated component between the time-series data of a plurality of the wavelengths at a certain time in a plurality of the times obtained from output of the light detector; anda determination unit configured to determine an amount or an end point of the etching processing based on a change in light intensity of at least one of the wavelengths detected by using the time-series data from which the highly correlated component is removed.2. The plasma processing device according to claim 1 ...

Подробнее
28-06-2018 дата публикации

PLASMA SYSTEM AND METHOD OF FABRICATING A SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20180182600A1
Принадлежит:

A plasma system includes an electrode and an RF power supply unit supplying an RF power to the electrode to generate a plasma on the electrode. The RF power is provided in a pulse having a valley-shaped portion during an on-pulsing interval of the pulse. The valley-shaped portion is defined by a valley angle and a valley width. By controlling the valley angle and the valley width, the plasma may control the etching of a substrate. 1. A plasma system , comprising:an electrode; andan RF power supply unit supplying an RF power to the electrode to generate a plasma on the electrode,wherein the RF power is provided in a pulse having a valley-shaped portion during an on-pulsing interval of the pulse, andwherein the valley-shaped portion is defined by a valley angle and a valley width.2. The plasma system of claim 1 ,wherein the RF power supply unit is configured to change an absolute value of the valley angle, andwherein an energy of ions of the plasma incident on the electrode is proportional to the absolute value of the valley angle.3. The plasma system of claim 1 ,wherein the RF power supply unit is configured to change the valley width, andwherein the energy of ions of the plasma incident on the electrode is inversely proportional to the valley width.4. The plasma system of claim 1 ,wherein the RF power supply unit is configured to control at least one of the valley angle and the valley width of the RF power to adjust an incoming flux of ions of the plasma that is incident on the electrode.5. The plasma system of claim 4 ,wherein the RF power supply unit is configured to control an intermediate RF energy level of the valley-shaped portion to change the energy of the ions of the plasma.6. The plasma system of claim 1 ,wherein the RF power supply unit is configured to produce the pulse of which an envelope is of a letter ‘M’-like shape.7. The plasma system of claim 1 ,wherein the RF power supply unit is configured to produce the pulse of which an envelope is a letter ‘M ...

Подробнее
28-06-2018 дата публикации

Method of Feature Exaction from Time-series of Spectra to Control Endpoint of Process

Номер: US20180182632A1
Принадлежит: Lam Research Corp

Methods and systems for using a time-series of spectra to identify endpoint of an etch process. One method includes accessing a virtual carpet that is formed from a time-series of spectra for the etch process collected during a training operation. And, running a fabrication etch process on a fabrication wafer, such that while the fabrication etch process is performed portions of a carpet defined from a time-series of spectral is generated for the fabrication etch process. Then, comparing the portions of the carpet of the fabrication etch process to the virtual carpet. End pointing is processed for the fabrication etch process when said comparing indicates that a desired metric has been reached for the fabrication wafer. In one example, said portions of the carpet include a current frame of captured spectra and at least one previous frame of captured spectra. The portions of the carpet of the fabrication etch process are fitted to the virtual carpet to identify a virtual frame number and associated floating parameters that are used in a correlation to predicted a value for the metric. Further, each of the carpets produced during the training operation and the virtual carpet are defined by a polynomial. The coefficients of the carpets produced during the training operation are a subset of the coefficients of the polynomial of the virtual carpet.

Подробнее
04-06-2020 дата публикации

IN-SITU REAL-TIME PLASMA CHAMBER CONDITION MONITORING

Номер: US20200176233A1
Принадлежит:

Methods for in-situ and real-time chamber condition monitoring is provided. For example, in one embodiment, for each wafer in a chamber, a frequency and wavelength of the free radicals in the chamber is monitored in-situ. The frequency and wavelength of the free radicals are associated with at least one selected chemical. The associated free radicals are compared to an index. The index includes a target range for each chemical in the at least one selected chemical. 1. A method comprising:monitoring, in-situ, for each wafer in a chamber, a frequency and wavelength of the free radicals in the chamber;associating the frequency and wavelength of the free radicals with at least one selected chemical; andcomparing the associated free radicals to an index wherein the index includes a target range for each chemical in the at least one selected chemical.2. The method of further comprising changing an in-situ chamber condition recipe in response to the comparison when the associated free radicals are not within the target range.3. The method of further comprising placing the chamber in one of:a preventative maintenance cycle when the associated free radicals fall below the target range; andplacing the chamber in a production cycle when the associated free radicals are within the target range.4. The method of further comprising plotting each comparison.5. The method of further comprising providing an alert when at least one of:the associated free radicals are within the target range;the associated free radicals are below the target range; andthe associated free radicals are above the target range.6. The method of wherein monitoring occurs during one of:a wafer production cycle; anda chamber cleaning cycle.7. The method of wherein the chamber cleaning cycle comprises:a chamber seasoning cycle; anda chamber qualification cycle.8. The method of further comprises:seasoning wafers until Hydroxide levels within the chamber are at a steady state; andperforming a qualification cycle.9 ...

Подробнее
05-07-2018 дата публикации

Plasma treatment apparatus and plasma treatment method

Номер: US20180187310A1
Автор: Hung-Yuan Hsieh

A plasma treatment apparatus and a plasma treatment method are provided. The apparatus includes a chamber, a planar plasma-generating electrode, a sample suspension and holding system, and an optical observation system. The chamber defines a processing inner chamber, and the top portion of the chamber has a window. The planar plasma-generating electrode is located in the processing inner chamber for generating a planar plasma. The sample suspension and holding system is disposed opposite to the planar plasma-generating electrode in the processing inner chamber to suspend and hold a sample. The optical observation system is located in the processing inner chamber adjacent to the sample suspension and holding system to measure the thickness range of a planar plasma effective influence region through the window of the chamber.

Подробнее
07-07-2016 дата публикации

AN APPARATUS AND METHOD FOR DIAGNOSTICS OF NETURAL RADICALS IN PLASMA

Номер: US20160198558A1
Принадлежит:

An apparatus for diagnostics of neutral radicals in plasma, the apparatus comprising: a portable probe configured to be attached to and extend into a plasma chamber to obtain information from plasma contained in the plasma chamber, the probe comprising a metallic rod configured to be biased with an alternating current voltage applied to the probe to obtain current measurements; a transparent dielectric sleeve having a large bandgap configured to allow light transmission to obtain optical emission spectra from the plasma; and an insulated thermocouple junction provided in the metallic rod, the thermocouple junction configured to measure equilibrium temperature of the probe. 1. An apparatus for diagnostics of neutral radicals in plasma , the apparatus comprising: 'a metallic rod configured to be biased with an alternating current voltage applied to the probe to obtain current measurements;', 'a portable probe configured to be attached to and extend into a plasma chamber to obtain information from plasma contained in the plasma chamber, the probe comprising'} 'an insulated thermocouple junction provided in the metallic rod, the thermocouple junction configured to measure equilibrium temperature of the probe.', 'a transparent dielectric sleeve having a large bandgap configured to allow light transmission to obtain optical emission spectra from the plasma; and'}2. The apparatus of claim 1 , wherein the alternating current voltage is configured to be changeable when obtaining current measurements.3. The apparatus of claim 1 , further comprising a vacuum manipulator configured for attaching the probe to the plasma chamber and for manipulating the position of the probe in the plasma chamber claim 1 , the vacuum manipulator comprisinga drive shaft configured to be connected to the probe anda number of flexible bellows connected between the drive shaft and the wall of the vacuum chamber via vacuum gaskets.4. The apparatus of claim 3 , wherein the vacuum manipulator is ...

Подробнее
20-06-2019 дата публикации

ANALYSIS METHOD AND SEMICONDUCTOR ETCHING APPARATUS

Номер: US20190189397A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

There is provided a method of analyzing data obtained from an etching apparatus for micromachining a wafer using plasma. This method includes the following steps: acquiring the plasma light-emission data indicating light-emission intensities at a plurality of different wavelengths and times, the plasma light-emission data being measured under a plurality of different etching processing conditions, and being obtained at the time of the etching processing, evaluating the relationship between changes in the etching processing conditions and changes in the light-emission intensities at the plurality of different wavelengths and times with respect to the wavelengths and times of the plasma light-emission data, and identifying the wavelength and the time of the plasma light-emission data based on the evaluation result, the wavelength and the time being to be used for the adjustment of the etching processing condition. 114.-. (canceled)15. An apparatus for analyzing data comprising a calculation unit , a first value indicating a variation in a prediction result when an etching processing result is predicted from a light-emission intensity by using a relationship between the light-emission intensity at a wavelength of a plasma light emission and a time or a section of times that the plasma light emission is obtained and the etching processing result; and', 'a second value indicating a variation in the light-emission intensity when an etching processing condition is changed by using the relationship between a change of the etching processing condition and a change of the light-emission intensity at the wavelength of the plasma light emission and the time or the section of times that the plasma light emission is obtained; and, 'wherein the calculation unit is configured to calculate'}wherein the calculation unit is configured to specify a wavelength of a plasma light emission and a time or a section of times that the plasma light emission is obtained suitable for an ...

Подробнее
14-07-2016 дата публикации

DATA ANALYSIS METHOD FOR PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20160203957A1
Принадлежит:

A stable etching process is realized at an earlier stage by specifying the combination of wavelength and time interval, which exhibits a minimum prediction error of etching processing result within a short period. For this, the combination of wavelength and time interval is generated from wavelength band of plasma emission generated upon etching of the specimen, the prediction error upon prediction of etching process result is calculated with respect to each combination of wavelength and time interval, the wavelength combination is specified based on the calculated prediction error, the prediction error is further calculated by changing the time interval with respect to the specified wavelength combination, and the combination of wavelength and time interval, which exhibits the minimum value of calculated prediction error is selected as the wavelength and the time interval used for predicting the etching processing process. 16-. (canceled)7. An etching apparatus comprising:a processing chamber;a plasma generating unit for generating plasma by introducing etching gas into the processing chamber exhausted in vacuum, in which a specimen is disposed;a plasma emission monitor unit for monitoring emission of the plasma generated by the plasma generating unit;an arithmetic unit for generating data concerning a condition of controlling the plasma generating unit;a storage unit for storing the data concerning a condition of controlling the plasma generating unit, which has been generated by the arithmetic unit; anda control unit for controlling the plasma generating unit based on a state of the plasma emission monitored by the plasma emission monitor unit and the control data stored in the storage unit,wherein the arithmetic unit generates a wavelength combination from wavelength band of the plasma emission to be generated upon etching of the specimen as a condition for etching the specimen by the plasma generating unit, sets a time interval for calculating an average value ...

Подробнее
22-07-2021 дата публикации

PLASMA OBSERVATION SYSTEM AND PLASMA OBSERVATION METHOD

Номер: US20210225623A1
Принадлежит:

A plasma observation system includes a plasma processing apparatus which includes a processing container in which a substrate is processed with plasma, and a plurality of observation windows each capable of observing an emission state of the plasma in the processing container; and a measuring device including a light receiver configured to receive a plurality of light beams intersecting in the processing container through a plurality of observation windows, and a controller configured to specify an observation point of the plasma and determine a state of the plasma at the observation point based on the plurality of light beams received by the light receiver. 1. A plasma observation system comprising:a plasma processing apparatus including a processing container in which a substrate is processed with plasma, and a plurality of observation windows each capable of observing an emission state of the plasma in the processing container; anda measuring device including a light receiver configured to receive a plurality of light beams intersecting in the processing container through a plurality of observation windows, and a controller configured to specify an observation point of the plasma and determine a state of the plasma at the observation point based on the plurality of light beams received by the light receiver.2. The plasma observation system according to claim 1 , wherein the plasma processing apparatus further includes a plurality of plasma sources each configured to input a desired power into the processing container.3. The plasma observation system according to claim 1 , wherein the light receiver includes a directional pinhole configured to allow the light beams received by the light receiver to pass therethrough.4. The plasma observation system according to claim 1 , wherein the light receiver is shared by the plurality of observation windows.5. The plasma observation system according to claim 4 , wherein the light receiver is shared by the plurality of ...

Подробнее
13-07-2017 дата публикации

SYSTEM, METHOD AND APPARATUS FOR USING OPTICAL DATA TO MONITOR RF GENERATOR OPERATIONS

Номер: US20170200592A1
Принадлежит:

A system and method monitoring a plasma with an optical sensor to determine the operations of a pulsed RF signal for plasma processing including a plasma chamber with an optical sensor directed toward a plasma region. An RF generator coupled to the plasma chamber through a match circuit. An RF timing system coupled to the RF generator. A system controller is coupled to the plasma chamber, the RF generator, the optical sensor, the RF timing system and the match circuit. The system controller includes a central processing unit, a memory system, a set of RF generator settings and an optical pulsed plasma analyzer coupled to the optical sensor and being capable to determine a timing of a change in state of an optical emission received in the optical sensor and/or a set of amplitude statistics corresponding to an amplitude of the optical emission received in the optical sensor. 1. A method comprising:receiving a signal from an optical sensor facing a plasma chamber when an RF signal is supplied to the plasma chamber, wherein the RF signal transitions between a first state and a second state;dividing the RF signal into a plurality of subdivisions;dividing the optical sensor signal into a plurality of subdivisions;determining an amplitude statistic for each of the subdivisions of the optical sensor signal; andcontrolling a parameter of the RF signal for one of the subdivisions of the RF signal based on the amplitude statistic for a corresponding one of the subdivisions of the optical sensor signal.2. The method of claim 1 , wherein the RF signal is divided into the same number of subdivisions for each of the first state and the second state of the RF signal.3. The method of claim 2 , wherein the optical sensor signal is divided into a first number of subdivisions during a time period in which the RF signal is in the first state claim 2 , wherein the optical sensor signal is divided into a second number of subdivisions during a time period in which the RF signal is in the ...

Подробнее
27-07-2017 дата публикации

APPARATUS FOR MONITORING PROCESS CHAMBER

Номер: US20170213710A1
Принадлежит: Samsung Electronics Co. , Ltd.

An apparatus for monitoring an interior of a process chamber including a process chamber including a chamber body and a view port defined in the chamber body, a cover section including a pinhole in one end, the cover section disposed to correspond to an end portion of the view port, the cover section having a first length in a direction toward a center point of the process chamber, and a sensing unit inserted into the view port to monitor the interior of the process chamber through the pinhole, a region in the process chamber to be sensed by the sensing unit determined based on the first length may be provided. 1. An apparatus for monitoring an interior of a process chamber , the apparatus comprising:a process chamber including a chamber body and a view port defined in the chamber body;a cover section including a pinhole in one end, the cover section disposed to correspond to an end portion of the view port, the cover section having a first length in a direction toward a center of the process chamber; anda sensing unit inserted into the view port to monitor the interior of the process chamber through the pinhole, a region in the process chamber to be sensed by the sensing unit determined based on the first length.2. The apparatus of claim 1 , wherein a sensing angle of the sensing unit is arc tan (a/b)/π×180×2° claim 1 , where “a” denotes a radius of a wafer disposed in the process chamber and b denotes a distance from the center of the process chamber to the pinhole.3. The apparatus of claim 2 , wherein a distance D between the pinhole and an end portion of the sensing unit facing the pinhole to is (b×L)/(a×2) claim 2 , where L denotes a width of the sensing unit.4. The apparatus of claim 1 , further comprising:a substrate support in the process chamber and configured to support a substrate,wherein the sensing unit is configured to monitor a process status at a surface level of the substrate.5. The apparatus of claim 4 , further comprising:an arithmetic operation ...

Подробнее
25-06-2020 дата публикации

PLASMA PROCESSING APPARATUS, DATA PROCESSING APPARATUS AND DATA PROCESSING METHOD

Номер: US20200203133A1
Принадлежит:

According to an embodiment of the present invention, a plasma processing apparatus includes: a processing chamber in which plasma processing is performed to a sample; a radio frequency power source that supplies radio frequency power for generating plasma in the processing chamber; and a data processing apparatus that performs processing to light emission data of the plasma. The data processing apparatus performs the processing to the light emission by using an adaptive double exponential smoothing method for varying a smoothing parameter based on an error between input data and a predicted value of smoothed data. A response coefficient of the smoothing parameter is derived by a probability density function including the error as a parameter. 1. A plasma processing apparatus comprising:a chamber in which plasma processing is performed to a sample;a radio frequency power source configured to supply radio frequency power for generating plasma in the processing chamber; anda data processing apparatus configured to perform processing to light emission data of the plasma,wherein the data processing apparatus performs the processing to the light emission data by using an adaptive double exponential smoothing method for varying a smoothing parameter based on an error between input data and a predicted value of smoothed data,the input data smoothed by a polynomial fitting method at the latest time of the input data, is used as input data in an expression for calculating the predicted value of smoothed data, andthe predicted value of smoothed data to which by a first order differential is performed by a polynomial fitting method at the latest time of the predicted value of smoothed data, is used as a slope of the predicted value of smoothed data in an expression for calculating a predicted value of a slope of the smoothed data.2. The plasma processing apparatus according to claim 1 ,wherein a response coefficient of the smoothing parameter is derived by using a probability ...

Подробнее
16-10-2014 дата публикации

Surface processing progress monitoring system

Номер: US20140307262A1
Принадлежит: Shimadzu Corp

A system including an index assigning section estimating the thickness of a thin film based on the intensity of light reflected on a substrate and a theoretical formula expressing a relationship between the thickness of the thin film and the intensity of an interference light when the spectrum is obtained for the first time, and assigning indexes for each candidate value for the layer thickness; a layer thickness wide-range estimating section estimating the layer thickness within the range of the value of a layer thickness wide-range estimation width including the previously estimated value of the layer thickness based on the theoretical formula; a selecting section selecting an index from the indexes; a determining section determining a layer thickness wide-range estimation result; and a layer thickness determining section determining the thickness of the thin film based on the theoretical formula after calculating the layer thickness wide-range estimation result.

Подробнее
02-07-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING MODULE, AND SEMICONDUCTOR DEVICE FABRICATION METHOD

Номер: US20200209063A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A substrate processing module includes a process chamber configured to perform a treatment process on a substrate; a transfer chamber provided on a first side of the process chamber, the substrate being transferred between the process chamber and the transfer chamber; an optical emission spectroscopy (OES) system provided on a second side of the process chamber and configured to monitor the process chamber; and a reference light source disposed in the transfer chamber and configured to emit a reference light to calibrate the OES system. 1. A substrate processing module comprising:a process chamber configured to perform a treatment process on a substrate;a transfer chamber provided on a first side of the process chamber, the substrate being transferred between the process chamber and the transfer chamber;an optical emission spectroscopy (OES) system provided on a second side of the process chamber and configured to monitor the process chamber; anda reference light source disposed in the transfer chamber and configured to emit a reference light to calibrate the OES system.2. The substrate processing module of claim 1 , wherein the reference light source comprises:a light source configured to emit the reference light; anda body supporting the light source, andwherein the body is provided in the transfer chamber.3. The substrate processing module of claim 1 , wherein: the transfer chamber comprises a transfer robot configured to transport the substrate claim 1 , andthe reference light source is engaged with the transfer robot.4. The substrate processing module of claim 3 , wherein the transfer robot further comprises a transfer hand supporting the substrate and configured to transfer the substrate into the process chamber claim 3 , andwherein the reference light source is engaged with the transfer hand.5. The substrate processing module of claim 1 , further comprising a calibration robot provided in the transfer chamber claim 1 , wherein the reference light source is ...

Подробнее
11-07-2019 дата публикации

METAL RECESS FOR SEMICONDUCTOR STRUCTURES

Номер: US20190214229A1
Принадлежит: Applied Materials, Inc.

Exemplary methods for laterally etching tungsten may include flowing an oxygen-containing precursor into a semiconductor processing chamber. A substrate positioned within the semiconductor processing chamber may include a trench formed between two vertical columns and tungsten slabs arranged within a plurality of recesses defined by at least one of the two vertical columns. At least two of the tungsten slabs may be connected by tungsten lining a portion of sidewalls of the trench. The methods may further include oxidizing the tungsten connecting the at least two of the tungsten slabs with the oxygen-containing precursor. The methods may include flowing a halide precursor into the semiconductor processing chamber. The methods may also include laterally etching the oxidized tungsten from the sidewalls of the trench. 1. An etching method comprising:flowing an oxygen-containing precursor into a semiconductor processing chamber, wherein a substrate is positioned within the semiconductor processing chamber, wherein the substrate comprises a trench formed between two vertical columns and tungsten slabs arranged within a plurality of recesses defined by at least one of the two vertical columns, and wherein at least two of the tungsten slabs are connected by tungsten lining a portion of sidewalls of the trench;oxidizing the tungsten connecting the at least two of the tungsten slabs with the oxygen-containing precursor;flowing a halide precursor into the semiconductor processing chamber; andlaterally etching the oxidized tungsten from the sidewalls of the trench.2. The etching method of claim 1 , wherein the oxidation operation produces a layer of tungsten oxide having a thickness of at least about 20 Å.3. The etching method of claim 1 , wherein the oxidation operation produces a layer of tungsten oxide formed along the sidewalls of the trench claim 1 , and wherein a thickness of the layer of tungsten oxide proximate an upper region of the trench differs in thickness from the ...

Подробнее
11-07-2019 дата публикации

Metal recess for semiconductor structures

Номер: US20190214230A1
Принадлежит: Applied Materials Inc

Exemplary methods for etching a variety of metal-containing materials may include flowing an oxygen-containing precursor into a semiconductor processing chamber. A substrate positioned within the semiconductor processing chamber may include a trench formed between two vertical columns and a metal-containing material arranged within a plurality of recesses defined by the two vertical columns. The plurality of recesses may include a first recess and a second recess adjacent to the first recess. The metal-containing material arranged within the first recess and the metal-containing material arranged within the second recess may be connected by the metal-containing material lining a portion of sidewalls of the trench. The methods may further include oxidizing the metal-containing material with the oxygen-containing precursor. The methods may also include flowing a halide precursor into the semiconductor processing chamber. The methods may further include laterally etching the oxidized metal-containing material lining the portion of the sidewalls of the trench.

Подробнее
10-08-2017 дата публикации

Systems and methods for internal surface conditioning in plasma processing equipment

Номер: US20170229293A1
Принадлежит: Applied Materials Inc

A method of conditioning internal surfaces of a plasma source includes flowing first source gases into a plasma generation cavity of the plasma source that is enclosed at least in part by the internal surfaces. Upon transmitting power into the plasma generation cavity, the first source gases ignite to form a first plasma, producing first plasma products, portions of which adhere to the internal surfaces. The method further includes flowing the first plasma products out of the plasma generation cavity toward a process chamber where a workpiece is processed by the first plasma products, flowing second source gases into the plasma generation cavity. Upon transmitting power into the plasma generation cavity, the second source gases ignite to form a second plasma, producing second plasma products that at least partially remove the portions of the first plasma products from the internal surfaces.

Подробнее
10-08-2017 дата публикации

Plasma stability determining method and plasma processing apparatus

Номер: US20170229332A1
Принадлежит: Tokyo Electron Ltd

A method and apparatus for determining a stability of plasma in a plasma processing apparatus for performing a plasma processing by converting into plasma a processing gas supplied into a processing container. The method includes: detecting a light emission intensity of the plasma in the processing container while the plasma is generated in the processing container; generating a first function representing a relationship between time and the light emission intensity from a detection result of the light emission intensity; differentiating the first function with time to calculate a differential value, and generating a second function from a relationship between an absolute value of the differential value and time; and integrating the second function with time to calculate an integral value, and determining a stability of the plasma based on the calculated integral value. A related apparatus is also provided.

Подробнее
18-07-2019 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20190221407A1
Принадлежит:

Provided is a plasma processing apparatus including a processing unit in which a sample is plasma processed and which includes a monitor (optical emission spectroscopy) that monitors light emission of plasma, wherein the processing unit includes a prediction model storage unit that stores a prediction model predicting a plasma processing result, and a control device in which the plasma processing result is predicted by using a prediction model selected based on light emission data and device data as an indicator of state change of the processing unit. 1. A plasma processing apparatus comprising:a processing unit in which a sample is plasma processed and which includes a monitor that monitors light emission of plasma, wherein a prediction model storage unit that stores a prediction model predicting a plasma processing result, and', 'a control device configured to predict the plasma processing result by using a prediction model selected based on light emission data and device data as an indicator of state change of the processing unit., 'the processing unit includes'}2. A plasma processing apparatus comprising:a processing unit in which a sample is plasma processed and which includes a prediction model storage unit that stores a prediction model predicting a plasma processing result, whereinthe plasma processing apparatus further comprises an analysis unit that constructs the prediction model by using an explanatory variable selected based on a partial correlation between the plasma processing result and an explanatory variable of the prediction model.3. The plasma processing apparatus according to claim 1 , whereinthe control device predicts the plasma processing result using a prediction model selected based on a statistic amount obtained using the device data.4. The plasma processing apparatus according to claim 1 , whereinthe device data includes the number of samples which are plasma processed after wet cleaning.5. The plasma processing apparatus according to ...

Подробнее
16-08-2018 дата публикации

TEMPERATURE MEASURING METHOD, SUBSTRATE PROCESSING SYSTEM AND COMPONENT TO BE PROVIDED IN SUBSTRATE PROCESSING APPARATUS OF THE SUBSTRATE PROCESSING SYSTEM

Номер: US20180231369A1
Принадлежит: TOKYO ELECTRON LIMITED

A temperature measuring method of a component of a substrate processing chamber including a surface being worn or being deposited with a foreign material by using. The method includes: providing data representing a relationship between a temperature of the component and an optical path length of a predetermined path within the component; measuring an optical path length of the predetermined path within the component by using optical interference of reflection lights of a low-coherence light from the component when the low-coherence light is irradiated onto the component to travel through the predetermined path; and obtaining a temperature of the component by comparing the measured optical path length with the data. 1. A substrate processing system comprising:a substrate processing chamber configured to perform a predetermined process on a substrate accommodated therein;a component provided in the substrate processing chamber and including at least one surface being worn or being deposited with a foreign material with a lapse of time during the predetermined process; anda temperature measuring device configured to measure a temperature of the component,wherein the temperature measuring device includes:an optical system configured to irradiate a low-coherence light to the component and receive reflection lights of the low-coherence light; andan analyzer configured to measure an optical path length of a predetermined path within the component through which the low-coherence light travels by using optical interference of the reflection lights from the component and obtain a current temperature of the component by comparing the optical path length with previously generated data representing a relationship between a temperature of the component and an optical path length of the predetermined path,wherein the component further includes:a first surface to which the low-coherence light from the optical system is irradiated and which is not worn and is not deposited with a ...

Подробнее
18-08-2016 дата публикации

SYSTEMS AND METHODS FOR INTERNAL SURFACE CONDITIONING IN PLASMA PROCESSING EQUIPMENT

Номер: US20160240402A1
Принадлежит: Applied Materials, Inc.

A method of conditioning internal surfaces of a plasma source includes flowing first source gases into a plasma generation cavity of the plasma source that is enclosed at least in part by the internal surfaces. Upon transmitting power into the plasma generation cavity, the first source gases ignite to form a first plasma, producing first plasma products, portions of which adhere to the internal surfaces. The method further includes flowing the first plasma products out of the plasma generation cavity toward a process chamber where a workpiece is processed by the first plasma products, flowing second source gases into the plasma generation cavity. Upon transmitting power into the plasma generation cavity, the second source gases ignite to form a second plasma, producing second plasma products that at least partially remove the portions of the first plasma products from the internal surfaces. 1. A plasma source , comprising:a plasma generation cavity that is enclosed at least in part by one or more internal surfaces and is upstream from a process chamber;a power supply for transmitting power into the plasma generation cavity to generate a plasma; one or more of the first source gases are different from one or more of the second source gases,', 'when the power is transmitted into the plasma generation cavity at the first time, the one or more first source gases ignite to form a first plasma, producing first plasma products,', 'a portion of the first plasma products flow downstream to the process chamber to process a workpiece,', 'a portion of the first plasma products adhere to the one or more internal surfaces,', 'when the power is transmitted into the plasma generation cavity at the second time, the one or more second source gases ignite to form a second plasma, producing second plasma products, and', 'the second plasma products at least partially remove the portion of the first plasma products from the one or more internal surfaces., 'a gas delivery system for ...

Подробнее
18-08-2016 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20160240446A1
Автор: Matsuda Yuya, SUEMITSU Ryo
Принадлежит: KABUSHIKI KAISHA TOSHIBA

According to one embodiment, a semiconductor manufacturing apparatus includes a manufacturing processor, a signal acquisition unit, a frequency characteristic acquisition unit, and an end-point acquisition unit. The signal acquisition unit acquires a first processing signal which shows a different behavior during processing of a stacked body and after the processing of the stacked body. The frequency characteristic acquisition unit acquires a frequency characteristic of a noise caused by a periodic structure of the stacked body from the first processing signal during the processing of the stacked body. The end-point acquisition unit detects an end point of the processing using the acquired frequency characteristic. The manufacturing processor ends the processing when the end point is detected. 1. A semiconductor manufacturing apparatus comprising:a manufacturing processor configured to control each process unit which performs processing of a stacked body, the stacked body being formed above a substrate and including a plurality of different types of films periodically stacked thereon;a signal acquisition unit configured to acquire a first processing signal, the first processing signal showing a different behavior during the processing of the stacked body and after the processing of the stacked body;a frequency characteristic acquisition unit configured to acquire a frequency characteristic of a noise from the first processing signal during the processing of the stacked body, the noise being caused by a periodic structure of the stacked body; andan end-point acquisition unit configured to detect an end point of the processing using the acquired frequency characteristic,wherein the manufacturing processor ends the processing when the end point is detected.2. The semiconductor manufacturing apparatus according to claim 1 , further comprising:a filtering frequency setting unit configured to set a filtering frequency from the frequency characteristic; anda filter unit ...

Подробнее
26-08-2021 дата публикации

VISIBLE IMAGE UNIT IDENTIFICATION CODE STRUCTURE

Номер: US20210265133A1
Принадлежит: BURTON INC.

This invention relates to a visible image unit identification code structure using a spatial visible image forming device. A spatial visible image forming device is used that includes: a laser source that emits a laser beam in an invisible region; a scanning means that scans the laser beam; a condensing optical system that condenses the laser beam to a drawing scheduled point in a space so as to cause plasma emission of a gas; and a control means that controls oscillation timing of the laser source and scanning timing of the scanning means so as to generate the plasma emission at the drawing scheduled point in a time series and draw a visible image as an afterimage phenomenon of the plasma emission. A visible image unit identification code formed by combining and arranging multiple kinds of multiple visible image units in different states including different lightnesses/darknesses, colors or shapes or the like is displayed in a space such as in air or in other gases or in water, and information can be transmitted by reading the visible image unit identification code using a reading means from any direction. 1. A visible image unit identification code structure using a spatial visible image forming device , comprising:a laser source that emits a laser beam in an invisible region;a scanning device that scans the laser beam;a condensing optical system that condenses the laser beam to a drawing scheduled point in a space so as to cause plasma emission of a gas; anda control device that controls oscillation timing of the laser source and scanning timing of the scanning device so as to generate the plasma emission at the drawing scheduled point in a time series and draw a visible image as an afterimage phenomenon of the plasma emission,wherein a visible image unit identification code formed by combining and arranging multiple kinds of multiple visible image units in different states including different lightnesses/darknesses or colors is displayed in a space, and ...

Подробнее
23-08-2018 дата публикации

SYSTEMS AND METHODS FOR INTERNAL SURFACE CONDITIONING ASSESSMENT IN PLASMA PROCESSING EQUIPMENT

Номер: US20180240654A1
Принадлежит: Applied Materials, Inc.

In an embodiment, a plasma source includes a first electrode, configured for transfer of one or more plasma source gases through first perforations therein; an insulator, disposed in contact with the first electrode about a periphery of the first electrode; and a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity. The second electrode is configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber. A power supply provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products. One of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma. 1. A method of assessing surface conditioning of one or more internal surfaces of a plasma processing system , the method comprising:introducing one or more plasma source gases within a plasma generation cavity of the plasma processing system, the plasma generation cavity being bounded at least in part by the one or more internal surfaces;applying power across electrodes of the plasma processing system to ignite a plasma with the plasma source gases within the plasma generation cavity;capturing optical emissions from the plasma with an optical probe that is disposed adjacent the plasma generation cavity and is oriented such that the captured optical emissions are not affected by interaction of the plasma with a workpiece; andmonitoring one or more emission peaks of the captured optical emissions to assess the surface conditioning of the one or more internal surfaces.2. The method of claim 1 , wherein the plasma processing system performs at least an etch recipe that includes the introducing one or more plasma source gases and the applying power claim 1 , and ...

Подробнее
01-08-2019 дата публикации

Damage prediction method, program, and semiconductor processing system

Номер: US20190237310A1
Автор: Nobuyuki Kuboi
Принадлежит: Sony Semiconductor Solutions Corp

[Object] To predict the damage distribution of a workpiece caused by ions and light from plasma more accurately within a practical computation time. [Solution] Provided is a damage prediction method including: using an operation apparatus to calculate, from fluxes of ions and light generated by plasma, fluxes of ions and light propagated through a pattern of a workpiece including a processing object, on the basis of the pattern; calculating, from the fluxes of ions and light propagated through the pattern, fluxes of ions and light arriving at a surface of the processing object, by ray tracing; and calculating, from the fluxes of ions and light arriving at the surface of the processing object, a damage distribution of the processing object.

Подробнее
08-08-2019 дата публикации

Method of Feature Exaction from Time-series of Spectra to Control Endpoint of Process

Номер: US20190244870A1
Принадлежит:

Methods and systems for using a time-series of spectra to identify endpoint of an etch process. One method includes accessing a virtual carpet that is generated from a time-series of spectra for an etch process. A polynomial with coefficients represents the virtual carpet. The method includes processing a fabrication etch process on a fabrication wafer and generating a carpet defined from a time-series of spectra while processing the fabrication etch process. While the processing the fabrication etch process and generating the carpet, comparing portions of the carpet and the virtual carpet to identify an endpoint metric of the fabrication etch process. 1. A method , comprising ,accessing a virtual carpet that is generated from a time-series of spectra for an etch process collected during a training, the training producing a polynomial with coefficients that represent the virtual carpet;running a fabrication etch process on a fabrication wafer, such that while the fabrication etch process is performed portions of a carpet defined from a time-series of spectra is generated for the fabrication etch process;comparing the portions of the carpet of the fabrication etch process to the virtual carpet; andtriggering an endpoint of the fabrication etch process when said comparing indicates that a desired etch metric has been reached.2. The method of claim 1 , wherein said portions of the carpet and the virtual carpet include frames associated with specific times.3. The method of claim 1 , wherein the etch metric is associated with at least one of a predefined etch depth claim 1 , a predefined critical dimension value claim 1 , a predefined wafer bow value claim 1 , a predetermined line width value claim 1 , a predefined feature pitch value claim 1 , a predefined features spacing value claim 1 , or predetermined measurable value or a combination of two or more thereof.4. The method of claim 1 , wherein each portion of said carpet includes at least two frames and each portion ...

Подробнее