Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 8031. Отображено 100.
09-02-2012 дата публикации

Apparatus for manufacturing a semiconductor device

Номер: US20120034779A1
Принадлежит: Individual

In a semiconductor device manufacturing method, an etching mask ( 75 b ) having a predetermined opening pattern is formed on an etching target film ( 74 ) disposed on a target object. Then, an etching process is performed on the etching target film ( 74 ) through the opening pattern of the etching mask ( 75 b ) within a first process chamber, thereby forming a groove or hole ( 78 a ) in the etching target film. Then, the target object treated by the etching process is transferred from the first process chamber to a second process chamber, within a vacuum atmosphere. Then, a silylation process is performed on a side surface of the groove or hole ( 78 a ), which is an exposed portion of the etching target film ( 74 ), within the second process chamber.

Подробнее
08-03-2012 дата публикации

Substrate processing method and system

Номер: US20120055401A1
Автор: Shigeki Tozawa
Принадлежит: Tokyo Electron Ltd

A substrate processing method includes a first step of subjecting a target substrate to a gas process within an atmosphere containing a fluorine-containing process gas, thereby forming a fluorine-containing reaction product on a surface of the target substrate. The method further includes a second step of subjecting the target substrate treated by the gas process to a heating process and a gas process within an atmosphere containing a reactive gas that reacts with fluorine.

Подробнее
29-03-2012 дата публикации

Method of manufacturing a semiconductor device

Номер: US20120077321A1
Принадлежит: Renesas Electronics Corp

Reliability of a semiconductor element and its product yield are improved by reducing variations in the electrical characteristic of a metal silicide layer. After forming a nickel-platinum alloy film over a semiconductor substrate, by carrying out a first thermal treatment at a thermal treatment temperature of 210 to 310° C. using a heater heating device, the technique causes the nickel-platinum alloy film and silicon to react with each other to form a platinum-added nickel silicide layer in a (PtNi) 2 Si phase. After removing unreacted nickel-platinum alloy film, the technique carries out a second thermal treatment having the thermal treatment temperature higher than that of the first thermal treatment to form the platinum-added nickel silicide layer in a PtNiSi phase. The temperature rise rate of each thermal treatment is set to 10° C./s or more.

Подробнее
12-04-2012 дата публикации

Integrated platform for in-situ doping and activation of substrates

Номер: US20120088356A1
Принадлежит: Applied Materials Inc

An integrated platform for processing substrates, comprising: a vacuum substrate transfer chamber; a doping chamber coupled to the vacuum substrate transfer chamber, the doping chamber configured to implant or deposit dopant elements in or on a surface of a substrate; a dopant activation chamber coupled to the vacuum substrate transfer chamber, the dopant activation chamber configured to anneal the substrate and activate the dopant elements; and a controller configured to control the integrated platform, the controller comprising a computer readable media having instructions stored thereon that, when executed by the controller, causes the integrated platform to perform a method, the method comprising: doping a substrate with one or more dopant elements in the doping chamber; transferring the substrate under vacuum to the dopant activation chamber; and annealing the substrate in the dopant activation chamber to activate the dopant elements.

Подробнее
19-04-2012 дата публикации

Vacuum processing apparatus

Номер: US20120091386A1
Принадлежит: Akitaka Makino, Susumu Tauchi

A vacuum processing apparatus that includes a vacuum vessel; an opening disposed in a wall of the vacuum vessel, through which a sample to be processed is taken in and out; a valve body disposed outside the wall for airtightly sealing the opening; and a drive unit driving the valve body to carry out a sealing or opening operation. The drive unit includes a first member coupled to an actuator that moves along a substantially linear first direction as a result of operation of the actuator, and a second member coupled to the first member that moves along a substantially linear second direction that intersects with the first direction. The valve body, coupled to the second member, seals the opening as a result of movement of the second member.

Подробнее
21-06-2012 дата публикации

Method and system for processing semiconductor wafer

Номер: US20120156885A1
Принадлежит: United Microelectronics Corp

In a method for processing a semiconductor wafer formed with a copper conductor, the semiconductor wafer is etched in an etching chamber to expose the copper conductor. The etched semiconductor wafer is transmitted from the etching chamber to a buffer zone, where a gas inert to the semiconductor wafer is introduced for a period of time. Then the semiconductor wafer is moved out of the buffer zone to a loading module. Nitrogen is one of the suitable options as the gas, and argon is another option.

Подробнее
28-06-2012 дата публикации

Variable-Density Plasma Processing of Semiconductor Substrates

Номер: US20120164834A1
Принадлежит: Novellus Systems Inc

Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region.

Подробнее
20-12-2012 дата публикации

Water soluble mask for substrate dicing by laser and plasma etch

Номер: US20120322233A1
Принадлежит: Applied Materials Inc

Methods of dicing substrates having a plurality of ICs. A method includes forming a mask comprising a water soluble material layer over the semiconductor substrate. The mask is patterned with a femtosecond laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. The substrate is then etched through the gaps in the patterned mask to singulate the IC and the water soluble material layer washed off.

Подробнее
20-12-2012 дата публикации

In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Номер: US20120322234A1
Принадлежит: Applied Materials Inc

Methods of dicing substrates by both laser scribing and plasma etching. A method includes forming an in-situ mask with a plasma etch chamber by accumulating a thickness of plasma deposited polymer to protect IC bump surfaces from a subsequent plasma etch. Second mask materials, such as a water soluble mask material may be utilized along with the plasma deposited polymer. At least some portion of the mask is patterned with a femtosecond laser scribing process to provide a patterned mask with trenches. The patterning exposing regions of the substrate between the ICs in which the substrate is plasma etched to singulate the IC and the water soluble material layer washed off.

Подробнее
20-12-2012 дата публикации

Laser and plasma etch wafer dicing using water-soluble die attach film

Номер: US20120322238A1
Принадлежит: Individual

Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer. The semiconductor wafer is disposed on a water-soluble die attach film. The mask covers and protects the integrated circuits. The mask is patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to form singulated integrated circuits. The water-soluble die attach film is then patterned with an aqueous solution.

Подробнее
27-12-2012 дата публикации

Semiconductor cleaner systems and methods

Номер: US20120325269A1
Автор: Lutz Rebstock

In an embodiment, the present invention discloses a EUV cleaner system and process for cleaning a EUV carrier. The euv cleaner system comprises separate dirty and cleaned environments, separate cleaning chambers for different components of the double container carrier, gripper arms for picking and placing different components using a same robot handler, gripper arms for holding different components at different locations, horizontal spin cleaning and drying for outer container, hot water and hot air (70 C) cleaning process, vertical nozzles and rasterizing megasonic nozzles for cleaning inner container with hot air nozzles for drying, separate vacuum decontamination chambers for outgassing different components, for example, one for inner and one for outer container with high vacuum (e.g., <10 −6 Torr) with purge gas, heaters and RGA sensors inside the vacuum chamber, purge gas assembling station, and purge gas loading and unloading station.

Подробнее
27-12-2012 дата публикации

Semiconductor cleaner systems and methods

Номер: US20120325271A1
Автор: Lutz Rebstock

In an embodiment, the present invention discloses a EUV cleaner system and process for cleaning a EUV carrier. The euv cleaner system comprises separate dirty and cleaned environments, separate cleaning chambers for different components of the double container carrier, gripper arms for picking and placing different components using a same robot handler, gripper arms for holding different components at different locations, horizontal spin cleaning and drying for outer container, hot water and hot air (70 C) cleaning process, vertical nozzles and rasterizing megasonic nozzles for cleaning inner container with hot air nozzles for drying, separate vacuum decontamination chambers for outgassing different components, for example, one for inner and one for outer container with high vacuum (e.g., <10 −6 Torr) with purge gas, heaters and RGA sensors inside the vacuum chamber, purge gas assembling station, and purge gas loading and unloading station.

Подробнее
27-12-2012 дата публикации

Semiconductor cleaner systems and methods

Номер: US20120325273A1
Автор: Lutz Rebstock

In an embodiment, the present invention discloses a EUV cleaner system and process for cleaning a EUV carrier. The euv cleaner system comprises separate dirty and cleaned environments, separate cleaning chambers for different components of the double container carrier, gripper arms for picking and placing different components using a same robot handler, gripper arms for holding different components at different locations, horizontal spin cleaning and drying for outer container, hot water and hot air (70 C) cleaning process, vertical nozzles and rasterizing megasonic nozzles for cleaning inner container with hot air nozzles for drying, separate vacuum decontamination chambers for outgassing different components, for example, one for inner and one for outer container with high vacuum (e.g., <10 −6 Torr) with purge gas, heaters and RGA sensors inside the vacuum chamber, purge gas assembling station, and purge gas loading and unloading station.

Подробнее
27-12-2012 дата публикации

Etching a laser-cut semiconductor before dicing a die attach film (daf) or other material layer

Номер: US20120329246A1
Автор: Daragh S. Finn
Принадлежит: Electro Scientific Industries Inc

Semiconductor die break strength and yield are improved with a combination of laser dicing and etching, which are followed by dicing an underlying layer of material, such as die attach film (DAF) or metal. A second laser process or a second etch process may be used for dicing of the underlying layer of material. Performing sidewall etching before cutting the underlying layer of material reduces or prevents debris on the kerf sidewalls during the sidewall etching process. A thin wafer dicing laser system may include either a single laser process head solution or a dual laser process head solution to meet throughput requirements.

Подробнее
17-01-2013 дата публикации

Substrate processing method and substrate processing apparatus

Номер: US20130014785A1
Принадлежит: Dainippon Screen Manufacturing Co Ltd

A substrate processing method includes a removing step of removing unwanted matter from a substrate and a vaporizing step performed in parallel to the removing step. In the removing step, an HF vapor that contains hydrogen fluoride and a solvent vapor that contains a solvent capable of dissolving water and having a lower boiling point than water is supplied onto the substrate to etch and remove the unwanted matter. In the vaporizing step, the solvent on the substrate is vaporized.

Подробнее
14-02-2013 дата публикации

Robot systems, apparatus, and methods adapted to process substrates in multiple tiers

Номер: US20130039734A1
Принадлежит: Applied Materials Inc

Substrate transport systems, apparatus, and methods are described. In one aspect, the systems are disclosed having vertically stacked transfer chamber bodies. In one embodiment, a common robot apparatus services process chambers or load lock chambers coupled to upper and lower transfer chamber bodies. In another embodiment, separate robot apparatus service the process chambers and/or load lock chambers coupled to upper and lower transfer chamber bodies, and an elevator apparatus transfers the substrates between the various elevations. Degassing apparatus are described, as are numerous other aspects.

Подробнее
21-02-2013 дата публикации

Method and system for wafer level singulation

Номер: US20130045570A1
Принадлежит: Applied Materials Inc

A method of singulating a plurality of semiconductor dies includes providing a carrier substrate and joining a semiconductor substrate to the carrier substrate. The semiconductor substrate includes a plurality of devices. The method also includes forming a mask layer on the semiconductor substrate, exposing a predetermined portion of the mask layer to light, and processing the predetermined portion of the mask layer to form a predetermined mask pattern on the semiconductor substrate. The method further includes forming the plurality of semiconductor dies, each of the plurality of semiconductor dies being associated with the predetermined mask pattern and including one or more of the plurality of devices and separating the plurality of semiconductor dies from the carrier substrate.

Подробнее
06-06-2013 дата публикации

Methods for Transporting Wafers Between Wafer Holders and Chambers

Номер: US20130142594A1

An apparatus comprises a process chamber, and a loadlock connected to the process chamber. The loadlock is configured to have a wafer holder disposed therein. The wafer holder is configured to store a plurality of wafers, and is configured to transport the plurality of wafers away from the loadlock.

Подробнее
08-08-2013 дата публикации

Dynamic load lock with cellular structure for discrete substrates

Номер: US20130199891A1
Принадлежит: Individual

A dynamic load lock chamber that includes a plurality of actuators positioned along its length to achieve a desired pressure gradient from an atmospheric pressure side to a processing pressure side of the chamber is provided. The chamber includes a transport belt continuously running through the chamber to transport substrates from the atmospheric pressure side to the processing pressure side of the chamber, if situated on an inlet side of a production line, and from the processing pressure side to the atmospheric pressure side of the chamber, if positioned on an outlet side of the production line. Separation mechanisms may be attached to the belt to separate discrete regions within the chamber into a plurality of discrete volumes. Substrates may be disposed between the separation mechanisms, such that separation between adjacent pressure regions within the chamber is maintained as the substrates are transported through the chamber.

Подробнее
08-08-2013 дата публикации

Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element

Номер: US20130202387A1
Автор: Tsutomu Hiroki
Принадлежит: Tokyo Electron Ltd

A substrate processing system is provided with: a first transfer unit, which extends from a loader module to a first processing chamber for processing substrates, to transfer the substrates; and a second transfer unit, which is provided below or above the first transfer unit and extends from the loader module to a second processing chamber for processing substrates, to transfer the substrates. The first processing chamber and the second processing chamber do not overlap in the vertical direction, and are disposed at positions separated from each other in a plan view. At the same time, at least a part of the first transfer unit and at least a part of the second transfer unit overlap each other in the vertical direction.

Подробнее
15-08-2013 дата публикации

Method and apparatus for load-locked printing

Номер: US20130209669A1
Принадлежит: Kateeva Inc

The disclosure relates to a method and apparatus for preventing oxidation or contamination during a circuit printing operation. The circuit printing operation can be directed to OLED-type printing. In an exemplary embodiment, the printing process is conducted at a load-locked printer housing having one or more of chambers. Each chamber is partitioned from the other chambers by physical gates or fluidic curtains. A controller coordinates transportation of a substrate through the system and purges the system by timely opening appropriate gates. The controller may also control the printing operation by energizing the print-head at a time when the substrate is positioned substantially thereunder.

Подробнее
19-09-2013 дата публикации

Pressurized heating system with enhanced pressure locks

Номер: US20130240508A1
Принадлежит: Microwave Materials Technologies Inc

A microwave heating system configured to heat a plurality of articles and a process for using the same are provided. The microwave heating system includes a liquid-filled thermalization zone, a liquid-filled microwave heating zone, and a pressure lock system disposed therebetween. The pressure lock system includes a pair of locking gate valves and a pressure adjustment chamber configured to transition the articles being heated from the thermalization zone to the microwave heating zone, which may be operated at different pressures.

Подробнее
19-09-2013 дата публикации

Optimized allocation of microwave power in multi-launcher systems

Номер: US20130240514A1
Принадлежит: Microwave Materials Technologies Inc

A microwave system for heating a plurality of articles and a method of using the same is provided. The microwave heating system comprises at least three microwave launchers and at least three microwave allocation devices for dividing the microwave energy into at least three separate portions. Each allocation device is configured to divide the microwave energy passing therethrough according to a predetermined ratio, and at least one of the allocation devices is configured to divide the microwave energy according to a predetermined ratio that is not 1:1. The resulting energy portions can then be discharged into the microwave heating chamber via the launchers and used to heat a plurality of articles, including foodstuffs, medical fluids, or medical instruments, disposed within the heating chamber.

Подробнее
19-09-2013 дата публикации

Multi-line microwave heating system with optimized launcher configuration

Номер: US20130240517A1
Принадлежит: Microwave Materials Technologies Inc

A microwave heating system configured to heat a plurality of articles and a process for using the same is provided. The heating system includes at least two laterally-spaced parallel convey lines and two or more groups of microwave launchers configured to heat articles transported along each convey line. The groups of microwave launchers can include pairs of oppositely disposed launchers that are spaced apart from one another along the axis of convey. When the system includes multiple convey lines, adjacent launcher groups are staggered relative to one another in the convey direction. Heating articles, such as foodstuffs or medical fluids or equipment in such a system, minimize undesirable interference between launchers of adjacent groups and provide a more uniform heating field.

Подробнее
19-09-2013 дата публикации

LOCKING GATE DEVICE

Номер: US20130243560A1
Принадлежит: MICROWAVE MATERIALS TECHNOLOGIES, INC.

A locking gate device suitable for selectively blocking the flow of fluid and/or articles through a pair of openings defined by two spaced-apart members is provided. The spaced-apart members may be opposing walls or flanges of two adjacent processing chambers or vessels in a pressurized heating system. The locking gate device includes a gate assembly that is movable within a gate-receiving space defined between opposed sealing surfaces of the spaced-apart members. The gate assembly comprises a pair of sealing plates and a drive member shiftable relative to the sealing plates. As the drive member is shifted between a retracted position and an extended position, a pair of bearings disposed between the sealing plates and the drive member forces the sealing plates outwardly to contact the sealing surfaces of the spaced-apart members. This substantially blocks the flow-through openings defined by one or both sealing surfaces and restricts flow therethrough. 1. A locking gate device comprising:a pair of spaced apart fixed members presenting opposing sealing surfaces and defining a gate-receiving space between said sealing surfaces, wherein each of said fixed members defines a flow-through opening circumscribed by one of said sealing surfaces, wherein said flow-through openings are substantially aligned with one another; anda gate assembly shiftable within said gate-receiving space between a closed position where said gate assembly substantially blocks said flow-through openings and an open position where said gate assembly does not substantially block said flow-through openings,wherein said gate assembly comprises a pair of spaced apart sealing plates and a drive member disposed between said sealing plates, wherein when said gate assembly is in said closed position said drive member is shiftable relative to said sealing plates between a retracted position and an extended position,wherein said gate assembly further comprises at least one pair of bearings disposed between ...

Подробнее
24-10-2013 дата публикации

Manufacturing apparatus

Номер: US20130277207A1
Автор: Koji Tsunekawa
Принадлежит: Canon Anelva Corp

The present invention provides a manufacturing apparatus which can realize so-called sequential substrate transfer and can improve throughput, even when one multi-layered thin film includes plural layers of the same film type. A manufacturing apparatus according to an embodiment of the present invention includes a transfer chamber, three sputtering deposition chambers each including one sputtering cathode, two sputtering deposition chambers each including two or more sputtering cathodes, and a process chamber for performing a process other than sputtering, and the three sputtering deposition chambers, the two sputtering deposition chambers, and the process chamber are arranged around the transfer chamber so that each is able to perform delivery and receipt of the substrate with the transfer chamber.

Подробнее
09-01-2014 дата публикации

Multi-layer mask for substrate dicing by laser and plasma etch

Номер: US20140011337A1
Принадлежит: Individual

Methods of dicing substrates having a plurality of ICs. A method includes forming a multi-layered mask comprising a first mask material layer soluble in a solvent over the semiconductor substrate and a second mask material layer, insoluble in the solvent, over the first mask material layer. The multi-layered mask is patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. The substrate is then plasma etched through the gaps in the patterned mask to singulate the IC with the second mask material layer protecting the first mask material layer for at least a portion of the plasma etch. The soluble material layer is dissolved subsequent to singulation to remove the multi-layered mask.

Подробнее
23-01-2014 дата публикации

SLIT VALVE DOOR WITH MOVING MATING PART

Номер: US20140023460A1
Принадлежит: Applied Materials, Inc.

Embodiments disclosed herein generally relate to a slit valve door assembly for sealing an opening in a chamber. A slit valve door that is pressed against the chamber to seal the slit valve opening moves with the chamber as the slit valve opening shrinks so that an o-ring pressed between the slit valve door and the chamber may move with the slit valve door and the chamber. Thus, less rubbing of the o-ring against the chamber may occur. With less rubbing, fewer particles may be generated and the o-ring lifetime may be extended. With a longer lifetime for the o-ring, substrate throughput may be increased. 1. A slit valve door , comprising:a first body;a second body that is separate from the first body and that is movable relative to the first body, the second body having a first surface and a second surface substantially perpendicular to the first surface;a bearing coupled between the first body and the second body;a first bellows coupled to the first body and the first surface of the second body; anda second bellows coupled to the first body and the second surface of the second body.2. The slit valve door of claim 1 , wherein the bearing comprises a material selected from the group consisting of poly ether ether ketone and poly tetrafluoro ethylene.3. The slit valve door of claim 2 , further comprising an O-ring coupled to the first body and the second body.4. The slit valve door of claim 1 , further comprising an O-ring coupled to the first body and the second body.5. A slit valve door claim 1 , comprising:a first body;a second body coupled to the first body at an edge thereof and spaced from the first body such that a slit is present between the first body and the second body, the second body having a first surface and a second surface substantially perpendicular to the first surface;a first bellows coupled to the first body and the first surface of the second body and over the slit; anda second bellows coupled to the first body and the second surface of the second ...

Подробнее
23-01-2014 дата публикации

Method of manufacturing device having a blocking structure

Номер: US20140024207A1

A method of manufacturing a semiconductor device, and the method includes forming a stack of a work function layer, a blocking structure, and a metal cap layer sequentially on a substrate. The forming of the blocking structure includes sequentially depositing at least a metal diffusion prevention layer over the work function layer and an electrical performance enhancement layer over the metal diffusion prevention layer before forming the metal cap layer. The electrical performance enhancement layer includes a TiN layer having a Ti/N ratio greater than 1.

Подробнее
20-02-2014 дата публикации

Apparatus for fabricating semiconductor devices

Номер: US20140048208A1
Автор: Ki-Seok Kim
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An apparatus for fabricating semiconductor devices including a load-lock part arranged adjacent to a front side of a transfer part, a cleaning part and at least two process chambers arranged side by side adjacent to a back side of the transfer part, a plasma supply module arranged at a back side of the cleaning part and configured to supply plasma to the cleaning part, and a reaction gas exhaust part coupled to the cleaning part and arranged below the transfer part and configured to exhaust a reaction gas from the cleaning part may be provided.

Подробнее
06-03-2014 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20140060735A1
Принадлежит: Samsung Display Co., Ltd.

A substrate processing apparatus is disclosed. The substrate processing apparatus includes: a first process unit including a plurality of first process stations configured to perform a first process in a first atmosphere; a second process unit including a plurality of second process stations configured to perform a second process in a second atmosphere different from the first atmosphere; and a transformation unit between the first process unit and the second process unit. The first process unit, the transformation unit, and the second process unit are arranged in a line. The transformation unit includes a plurality of transformation stations configured to transform an atmosphere between the first atmosphere and the second atmosphere. Thus, the efficiency of processing a substrate can be improved, and the area or length in which the substrate processing apparatus is installed can be reduced. 1. A substrate processing apparatus comprising:a first process unit comprising a plurality of first process stations configured to perform a first process in a first atmosphere;a second process unit comprising a plurality of second process stations configured to perform a second process in a second atmosphere different from the first atmosphere; anda transformation unit between the first process unit and the second process unit, the first process unit, the transformation unit, and the second process unit are arranged in a line, and', 'the transformation unit comprises a plurality of transformation stations configured to transform an atmosphere between the first atmosphere and the second atmosphere., 'wherein'}2. The substrate processing apparatus of claim 1 , wherein the transformation stations are arranged on both sides of the line.3. The substrate processing apparatus of claim 1 , wherein each of the transformation stations is configured to accommodate and process a plurality of substrates concurrently.4. The substrate processing apparatus of claim 1 , wherein a ratio of a ...

Подробнее
13-03-2014 дата публикации

Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation

Номер: US20140068962A1
Принадлежит: Applied Materials Inc

Methods and apparatus for processing a substrate are described herein. A vacuum multi-chamber deposition tool can include a degas chamber with both a heating mechanism and a variable frequency microwave source. A method for degassing a substrate can include positioning a substrate comprising a polymer or an epoxy within a processing chamber maintained between a degas temperature and a glass transition temperature, exposing the substrate to variable frequency microwave radiation, exposing the substrate to a plasma comprising an inert gas, removing oxygen containing compounds from the chamber, raising the pressure of inert gas in the chamber, and maintaining the pressure of inert gas while cooling the substrate to a temperature lower than the degas temperature.

Подробнее
27-03-2014 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20140083468A1
Принадлежит: EBARA CORPORATION

A substrate processing apparatus has a cleaning section for cleaning a substrate such as a semiconductor wafer and can be used as a polishing apparatus. The substrate processing apparatus includes a first cleaning chamber which houses at least one first cleaning module and two second cleaning modules arranged in a vertical array, a second cleaning chamber which houses two third cleaning modules arranged in a vertical array, and a first transport robot housed in a first transport chamber disposed between the first cleaning chamber and the second cleaning chamber. The first transport robot is configured to transfer substrates between the first cleaning module, the second cleaning modules, and the third cleaning modules. 1. A substrate processing apparatus comprising:a first cleaning chamber which houses at least one first cleaning module and two second cleaning modules, said first cleaning module and said two second cleaning modules being arranged in a vertical array;a second cleaning chamber which houses two third cleaning modules arranged in a vertical array; anda first transport robot housed in a first transport chamber disposed between said first cleaning chamber and said second cleaning chamber, said first transport robot being configured to transfer substrates between said first cleaning module, said second cleaning modules, and said third cleaning modules.2. The substrate processing apparatus according to claim 1 , wherein said first transport robot includes a lifting and lowering table which is vertically movable claim 1 , and two hands mounted on said lifting and lowering table and configured to operate independently of each other for holding the substrates.3. The substrate processing apparatus according to claim 1 , wherein said first cleaning module comprises a rinsing cleaning module and each of said second cleaning modules comprises a roll scrub cleaning module.4. The substrate processing apparatus according to claim 1 , wherein said first cleaning ...

Подробнее
01-01-2015 дата публикации

Textured Silicon Liners In Substrate Processing Systems

Номер: US20150001391A1
Автор: Julian Blake

Substrate processing systems, such as ion implantation systems, deposition systems and etch systems, having textured silicon liners are disclosed. The silicon liners are textured using a chemical treatment that produces small features, referred to as micropyramids, which may be less than 20 micrometers in height. Despite the fact that these micropyramids are much smaller than the textured features commonly found in graphite liners, the textured silicon is able to hold deposited coatings and resist flaking. Methods for performing preventative maintenance on these substrate processing systems are also disclosed.

Подробнее
07-01-2021 дата публикации

Methods and apparatus for microwave processing of polymer materials

Номер: US20210001520A1

Methods and apparatus for curing a substrate or polymer using variable microwave frequency are provided herein. In some embodiments, a method of curing a substrate or polymer using variable microwave frequency includes: contacting a substrate or polymer with a plurality of predetermined discontinuous microwave energy bandwidths or a plurality of predetermined discontinuous microwave energy frequencies to cure the substrate or polymer.

Подробнее
13-01-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE TRANSPORT METHOD

Номер: US20220013385A1
Принадлежит:

A substrate processing apparatus includes a load port, a load lock chamber, a processing module, a substrate transport mechanism, and a controller. The substrate transport mechanism includes a plurality of substrate holders, each of which is configured hold one substrate. The controller is configured to control, when the processing module is configured to process one substrate at a time, the substrate transport mechanism such that a first substrate holder transports the substrate between the load port and the processing module and a second substrate holder transports the substrate between the load lock chamber and the processing module. The controller is further configured to control, when the processing module is configured to simultaneously process the plurality of substrates, the substrate transport mechanism such that the plurality of substrate holders simultaneously transport the plurality of substrates between the load port, the load lock chamber, and the processing module. 114-. (canceled)15. A substrate processing apparatus comprising:a load port in which a substrate accommodation container accommodating at least one substrate is placed, the load port being included in an atmospheric portion in which the substrates are processed under atmospheric pressure;a load lock chamber through which the substrates are delivered between the atmospheric portion and a decompressed portion in which the substrate is processed under a reduced pressure;a processing module configured to process the substrates in the atmospheric portion;a substrate transport mechanism configured to transport the substrates between the load port, the load lock chamber, and the processing module; anda controller configured to control operations of the substrate transport mechanism,wherein the substrate transport mechanism includes a plurality of substrate holders, each of which is configured to hold one substrate, and control, when the processing module is configured to process one substrate at a ...

Подробнее
13-01-2022 дата публикации

ANNEALING CHAMBER

Номер: US20220013386A1
Автор: KAMESH Giridhar
Принадлежит:

Embodiments disclosed herein generally include annealing chambers. The annealing chambers allow for high throughput without sacrificing wafer-to-wafer and within wafer uniformity. The annealing chamber includes a transport system, a substrate carrier, and a plurality of thermal sources. The transport system is magnetically coupled to the substrate carrier. The transport system moves the substrate carrier along a path. A substrate supported by the substrate carrier is annealed by the thermal sources. The annealing chamber described herein allows for a higher throughput of substrate (alternatively referred to as a wafer) annealing compared to furnace annealing chambers. 1. An annealing chamber , comprising:a transport system; a carrier base; and', 'one or more carrier magnets configured to magnetically couple to the transport system; and, 'a substrate carrier configured to support a substrate, the substrate carrier comprisinga heating system comprising a plurality of thermal sources, wherein the transport system is configured to move the substrate carrier along a path.2. The annealing chamber of claim 1 , further comprising: a gas flow base;', 'a plurality of gas flow outlets disposed in the gas flow base;', 'a gas line fluidly coupled to the plurality of gas flow outlets; and', 'a gas source fluidly coupled to the gas line; and, 'a gas flow system, comprisinga perforated surface comprising a plurality of perforations, wherein gas flowing from the plurality of gas flow outlets flows through the plurality of perforations, and the gas is configured to float the substrate carrier.3. The annealing chamber of claim 2 , wherein the gas comprises a neutral gas.4. The annealing chamber of claim 1 , wherein the path comprises at least four bends.5. The annealing chamber of claim 1 , wherein the transport system comprises:a belt;a belt actuator configured to drive the belt in the path; andone or more belt magnets coupled to the belt, wherein the one or more belt magnets are ...

Подробнее
07-01-2021 дата публикации

SUBSTRATE TRANSFER APPARATUS AND SUBSTRATE TRANSFER METHOD

Номер: US20210005486A1
Принадлежит:

A substrate transfer apparatus for transferring a substrate includes a plurality of vacuum transfer chambers, each having therein a substrate transfer mechanism for holding and transferring the substrate, and an intermediate chamber disposed between the vacuum transfer chambers adjacent to each other. When one of the vacuum transfer chambers adjacent to each other is set as a first vacuum transfer chamber and the other is set as a second vacuum transfer chamber, a first substrate loading/unloading port is disposed between the intermediate chamber and the first vacuum transfer chamber and a second substrate loading/unloading port is disposed between the intermediate chamber and the second vacuum transfer chamber. A gate valve is provided only for the second substrate loading/unloading port. Further, the first and the second substrate loading/unloading port have different height positions. 1. A substrate transfer apparatus for transferring a substrate , comprising:a plurality of vacuum transfer chambers, each having therein a substrate transfer mechanism for holding and transferring the substrate; andan intermediate chamber disposed between the vacuum transfer chambers adjacent to each other,wherein when one of the vacuum transfer chambers adjacent to each other is set as a first vacuum transfer chamber and the other is set as a second vacuum transfer chamber, a first substrate loading/unloading port is disposed between the intermediate chamber and the first vacuum transfer chamber and a second substrate loading/unloading port is disposed between the intermediate chamber and the second vacuum transfer chamber,a gate valve is provided for the second substrate loading/unloading port between the first substrate loading/unloading port and the second substrate loading/unloading port, andthe first substrate loading/unloading port and the second substrate loading/unloading port have different height positions.2. The substrate transfer apparatus of claim 1 , further ...

Подробнее
02-01-2020 дата публикации

Orientation chamber of substrate processing system with purging function

Номер: US20200006050A1
Автор: Wei-Hua HOUNG

An orientation chamber of a semiconductor substrate processing system is provided. The orientation chamber includes a substrate holder, an orientation detector, and a purging system. The substrate holder is configured to hold a substrate. The orientation detector is configured to detect the orientation of the substrate. The purging system is configured to inject a cleaning gas into the orientation chamber and remove contaminants from the substrate.

Подробнее
03-01-2019 дата публикации

MANUFACTURING PROCESS OF ELEMENT CHIP AND SUBSTRATE HEATING APPARATUS

Номер: US20190006238A1
Принадлежит:

Provided is a manufacturing process of an element chip, which comprises a preparing step for preparing a substrate containing element regions and dicing regions, a holding step for holding the substrate and a frame with a holding sheet, an applicating step for applying a resin material solution containing a resin constituent and a solvent on the substrate to form a coated layer containing the resin constituent and the solvent thereon, a heating step for heating the substrate held on the holding sheet through a heat shielding member shielding the frame and the holding sheet to substantially remove the solvent from the coated layer, thereby to form a resin layer, a patterning step for patterning the resin layer to expose the substrate in the dicing regions, and a dicing step for dicing the substrate into element chips by plasma-etching the substrate. 1. A manufacturing process of an element chip , comprising:a preparing step for preparing a substrate having first and second surfaces opposing each other and containing a plurality of element regions and dicing regions defining the element regions;a holding step for holding the second surface of the substrate and an annular frame around the substrate with a holding sheet;an applicating step for applying a resin material solution containing a resin constituent and a solvent on the first surface of the substrate to form a coated layer containing the resin constituent and the solvent thereon;a heating step for providing a heat from a heat source to the substrate held on the holding sheet through a heat shielding member which shields the frame and the holding sheet from the heat, to substantially remove the solvent from the coated layer, thereby to form a resin layer;a patterning step for patterning the resin layer to expose the first surface in the dicing regions of the substrate; anda dicing step for dicing the substrate into a plurality of element chips by plasma-etching the substrate from the first surface through the ...

Подробнее
20-01-2022 дата публикации

SUBSTRATE PROCESSING DEVICE

Номер: US20220020616A1
Автор: Inaba Masaki
Принадлежит:

A substrate processing device is a device continuously performing wet processing and dry processing. The substrate processing device includes a plurality of processing modules. Each of the plurality of processing modules includes a single wet processing unit performing wet processing on a substrate; a single dry processing unit performing dry processing on a substrate; and a single transfer unit located between the wet processing unit and the dry processing unit to transfer a substrate between the wet processing unit and the dry processing unit. 1. A substrate processing device continuously performing wet processing and dry processing on a substrate , comprisinga plurality of processing modules, whereineach of the plurality of processing modules includes:a single wet processing unit performing wet processing on a substrate;a single dry processing unit performing dry processing on a substrate; anda single transfer unit located between the wet processing unit and the dry processing unit to transfer a substrate between the wet processing unit and the dry processing unit.2. The substrate processing device according to claim 1 , whereinthe dry processing includes at least one of oxidation processing or resist removal processing using ozone gas, gas phase etching processing using etching gas containing fluorine, and hydrogen reduction processing using hydrogen gas, andthe wet processing includes wash processing of washing a substrate.3. The substrate processing device according to claim 1 , whereineach of the plurality of processing modules includes:a chassis housing the wet processing unit, the dry processing unit, and the transfer unit;a first shutter for transferring a substrate provided in a first inner wall between a wet processing space housing the wet processing unit and a transfer space housing the transfer unit in the chassis; anda second shutter for transferring a substrate provided in a second inner wall between the transfer space and a dry processing space ...

Подробнее
09-01-2020 дата публикации

APPARATUS AND TECHNIQUES FOR ELECTRONIC DEVICE ENCAPSULATION

Номер: US20200009597A1
Принадлежит: KATEEVA, INC.

A method for providing a substrate coating comprises transferring a substrate to an enclosed ink jet printing system; printing organic material in a deposition region of the substrate using the enclosed ink jet printing system, the deposition region comprising at least a portion of an active region of a light-emitting device on the substrate; loading the substrate with the organic material deposited thereon to an enclosed curing module; supporting the substrate in the enclosed curing module, the supporting the substrate comprising floating the substrate on a gas cushion established by a floatation support apparatus; and while supporting the substrate in the enclosed curing module, curing the organic material deposited on the substrate to form an organic film layer. 1. A method for providing a substrate coating , comprising:transferring a substrate to an enclosed ink jet printing system;printing organic material in a deposition region of the substrate using the enclosed ink jet printing system, the deposition region comprising at least a portion of an active region of a light-emitting device on the substrate;loading the substrate with the organic material deposited thereon to an enclosed curing module;supporting the substrate in the enclosed curing module, the supporting the substrate comprising floating the substrate on a gas cushion established by a floatation support apparatus; andwhile supporting the substrate in the enclosed curing module, curing the organic material deposited on the substrate to form an organic film layer.2. The method of claim 1 , further comprising claim 1 , after transferring the substrate to the enclosed ink jet printing system:supporting the substrate in the enclosed ink jet printing system, the supporting the substrate in the enclosed ink jet printing system comprising floating the substrate on a first gas cushion established by a first floatation support apparatus,wherein the gas cushion floating the substrate in the enclosed curing ...

Подробнее
09-01-2020 дата публикации

LAMINATE, ITS MANUFACTURING METHOD, AND GATE SEAL

Номер: US20200009834A1
Принадлежит: VALQUA, LTD.

Provided are a laminate including a metal substrate having a surface with an anodic oxide coating formed thereon, a fluororesin layer laminated in contact with the anodic oxide coating and a fluoroelastomer layer laminated in contact with a surface of the fluororesin layer facing away from the metal substrate, and a gate seal including the laminate. 1. A laminate comprising:a metal substrate having a surface with an anodic oxide coating formed thereon;a fluororesin layer laminated in contact with the anodic oxide coating; anda fluoroelastomer layer laminated in contact with a surface of the fluororesin layer facing away from the metal substrate.2. The laminate according to claim 1 , wherein the fluoroelastomer layer includes a crosslinked product of a crosslinkable perfluoroelastomer.3. The laminate according to claim 1 , wherein the anodic oxide coating has an arithmetic average roughness Ra claim 1 , as defined in JIS B 0601: 1994 claim 1 , of 0.05 to 100 μm.4. The laminate according to claim 1 , wherein the metal substrate includes aluminum.5. A gate seal comprising the laminate according to .6. A method for manufacturing a laminate claim 1 , comprising:providing a metal substrate having a surface with an anodic oxide coating formed thereon;forming a fluororesin layer on a surface of the anodic oxide coating;forming a layer including a crosslinkable fluoroelastomer on a surface of the fluororesin layer facing away from the metal substrate; andcrosslinking the layer including the crosslinkable fluoroelastomer to form a fluoroelastomer layer.7. The method for manufacturing a laminate according to claim 6 , wherein forming the fluororesin layer includes disposing fused fluororesin on a surface of the anodic oxide coating and subsequently solidifying the disposed claim 6 , fused fluororesin.8. The method for manufacturing a laminate according to claim 6 , wherein the crosslinkable fluoroelastomer is a crosslinkable perfluoroelastomer.9. The method for manufacturing a ...

Подробнее
11-01-2018 дата публикации

SINGLE ALD CYCLE THICKNESS CONTROL IN MULTI-STATION SUBSTRATE DEPOSITION SYSTEMS

Номер: US20180010250A1
Принадлежит:

Disclosed are methods of depositing films of material on multiple semiconductor substrates in a multi-station processing chamber. The methods may include loading a first set of one or more substrates into the processing chamber at a first set of one or more process stations and depositing film material onto the first set of substrates by performing N cycles of film deposition. Thereafter, the methods may further include transferring the first set of substrates from the first set of process stations to a second set of one or more process stations, loading a second set of one or more substrates at the first set of process stations, and depositing film material onto the first and second sets of substrates by performing N′ cycles of film deposition, wherein N′ is not equal to N. Also disclosed are apparatuses and computer-readable media which may be used to perform similar operations. 1. A multi-station substrate processing apparatus for performing atomic layer deposition to deposit films of material on multiple semiconductor substrates , the apparatus comprising:a processing chamber;a first set of one or more process stations contained in the processing chamber, each having a substrate holder;a second set of one or more process stations contained in the processing chamber, each having a substrate holder;one or more valves for controlling flow of film precursor to the process stations;a valve-operated vacuum source for removing film precursor from the volumes surrounding the process stations contained in the processing chamber;a substrate loading device for loading substrates into the processing chamber at one or more of the process stations;a substrate transferring device for transferring one or more substrates from the first set of process stations to the second set of process stations; (a) loading a first set of one or more substrates into the processing chamber at the first set of process stations;', '(b) depositing film material onto the first set of substrates at ...

Подробнее
15-01-2015 дата публикации

In-situ deposition of film stacks

Номер: US20150013607A1
Принадлежит: Novellus Systems Inc

An apparatus for depositing film stacks in-situ (i.e., without a vacuum break or air exposure) are described. In one example, a plasma-enhanced chemical vapor deposition apparatus configured to deposit a plurality of film layers on a substrate without exposing the substrate to a vacuum break between film deposition phases, is provided. The apparatus includes a process chamber, a plasma source and a controller configured to control the plasma source to generate reactant radicals using a particular reactant gas mixture during the particular deposition phase, and sustain the plasma during a transition from the particular reactant gas mixture supplied during the particular deposition phase to a different reactant gas mixture supplied during a different deposition phase.

Подробнее
14-01-2016 дата публикации

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер: US20160013061A1
Принадлежит:

Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric. 1. A method for forming a device structure on a substrate , comprising:forming a dielectric layer on the substrate in a first process chamber of a processing system, the first process chamber having a first pumping element configured to evacuate gas from the first process chamber;introducing nitrogen atoms in the dielectric layer using a plasma process in a second process chamber of the processing system, the second process chamber having a second pumping element configured to evacuate gas from the second process chamber;performing a thermal process in a third process chamber;transferring the substrate between the first process chamber, the second process chamber, and the third process chamber through a transfer chamber of the processing system, wherein the transfer chamber is connected to the first process chamber via a first vacuum lock door and connected the second process chamber via a second vacuum lock door, the transfer chamber having a gas distribution system and a third, distinct pumping element that provide an ambient of uniform, laminar inert gas flow within the transfer chamber during transfer of the substrate between the first process chamber, the second process chamber, and the third process chamber; andintroducing the substrate to the processing system through a load lock distinct from said transfer chamber to allow introduction and removal of the substrate from the processing system.2. The ...

Подробнее
10-01-2019 дата публикации

Etching method and residue removal method

Номер: US20190013207A1
Принадлежит: Tokyo Electron Ltd

An etching method of etching a silica-based residue containing a base component formed in an SiO2 film, includes selectively etching the silica-based residue by supplying an HF gas, an H2O gas or an alcohol gas to a target substrate having the SiO2 film, on which the silica-based residue is formed, and removing an etching residue caused by the selectively etching the silica-based residue, after the selectively etching the silica-based residue. The removing an etching residue includes a first process of supplying an H2O gas or an alcohol gas to the target substrate and a second process of heating the target substrate after the first process.

Подробнее
10-01-2019 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20190013223A1
Принадлежит: KOKUSAI ELECTRIC CORPORATION

A configuration that maintains productivity and can automatically execute and control recipes includes a process chamber that processes a substrate, a first transfer chamber in which the substrate is transferred in a vacuum state, a second transfer chamber in which the substrate is transferred in an atmospheric pressure state, a depressurizable preparatory chamber connecting the first transfer chamber and the second transfer chamber, and a controller that executes a maintenance recipe in the preparatory chamber and a production recipe in the process chamber, respectively, in which, when the controller receives an instruction for executing the production recipe during execution of the maintenance recipe, the controller temporarily stops the maintenance recipe and preferentially executes the production recipe, and continuously executes the temporarily stopped maintenance recipe, after completion of the production recipe. 1. A substrate processing apparatus comprising:a process chamber that processes a substrate;a first transfer chamber in which the substrate is transferred in a depressurized state;a second transfer chamber in which the substrate is transferred in an atmospheric pressure state;a depressurizable preparatory chamber connecting the first transfer chamber and the second transfer chamber; anda controller that controls the preparatory chamber to execute a maintenance recipe in the preparatory chamber, and controls the process chamber to execute a production recipe in the process chamber, wherein,when the controller receives an instruction for executing the production recipe during execution of the maintenance recipe, the controller temporarily stops the maintenance recipe and preferentially executes the production recipe, and continuously executes the temporarily stopped maintenance recipe, after completion of the production recipe.2. The substrate processing apparatus according to claim 1 , wherein the controller is configured to start executing the ...

Подробнее
14-01-2021 дата публикации

SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE PROCESSING METHOD

Номер: US20210013056A1
Автор: Takimoto Yuji
Принадлежит:

A substrate processing system includes: a substrate transfer device; processing units each having a substrate holding mechanism for rotatably holding a substrate received from the substrate transfer device and a processing fluid supply part for supplying a processing fluid to the substrate; and a controller for controlling the substrate transfer device and the processing units according to processing recipe information so as to execute the substrate processing process. When an abnormality in a certain unit of the processing units occurs in the substrate processing process for the substrate to be processed, the controller controls the substrate transfer device and a relief processing unit according to complementary recipe information so that the complementary processing process for a relief substrate is executed in the relief processing unit by transferring the relief substrate to the relief processing unit different from the certain processing unit. 1. A substrate processing system , comprising:a substrate transfer device configured to transfer a substrate;a plurality of processing units, each having a substrate holding mechanism configured to rotatably hold the substrate received from the substrate transfer device and a processing fluid supply part configured to supply a processing fluid to the substrate held by the substrate holding mechanism; anda controller configured to control the substrate transfer device and the plurality of processing units according to processing recipe information indicating contents of a substrate processing process so as to execute the substrate processing process including a substrate chemical liquid process of supplying a chemical liquid to the substrate, a substrate rinsing process of supplying a rinsing liquid to the substrate, and a substrate drying process of drying the substrate,wherein, when an abnormality in a certain processing unit of the plurality of processing units occurs in the substrate processing process for the ...

Подробнее
09-01-2020 дата публикации

Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens

Номер: US20200013591A1
Принадлежит:

A device and method of spreading plasma which allows for plasma etching over a larger range of process chamber pressures. A plasma source, such as a linear inductive plasma source, may be choked to alter back pressure within the plasma source. The plasma may then be spread around a deflecting disc which spreads the plasma under a dome which then allows for very even plasma etch rates across the surface of a substrate. The apparatus may include a linear inductive plasma source above a plasma spreading portion which spreads plasma across a horizontally configured wafer or other substrate. The substrate support may include heating elements adapted to enhance the etching. 1. A multi-chamber plasma etching system comprising: a plasma source, said plasma source comprising a first end and a second end, said first end comprising a gas input portion, said plasma source coupled to a process chamber at a second end;', 'a process chamber, said process chamber comprising a chamber door;', 'a constricting plate adapted to constrict the flow of plasma from said plasma source, said constricting plate at said second end of said plasma source;', 'a spreading disc, said spreading disc adapted to spread the flow of plasma after the plasma has flowed through said constricting plate, said spreading disc disposed between said constricting plate and the substrate support;', 'a substrate support, said support adapted to support a substrate in the spread plasma flow, said substrate support residing within said process chamber;, 'a plurality of plasma etching chambers, each of said plasma etching chambers comprisinga robot module, said robot module comprising a robotic arm, said robot module positioned adjacent to said plurality of plasma etching chambers such that said robotic arm can reach the chamber door of said process chambers of said plasma etching chambers.2. The multi-chamber plasma etching system of further comprising one or more wafer cassettes claim 1 , said one or more wafer ...

Подробнее
09-01-2020 дата публикации

SELECTIVE GROWTH OF SIO2 ON DIELECTRIC SURFACES IN THE PRESENCE OF COPPER

Номер: US20200013615A1
Принадлежит:

Methods and apparatuses for selectively depositing silicon oxide on surfaces relative to a metal-containing surface such as copper are provided. Methods involve exposing a substrate having hydroxyl-terminated or dielectric surfaces and copper surfaces to a copper-blocking reagent such as an alkyl thiol to selectively adsorb to the copper surface, exposing the substrate to a silicon-containing precursor for depositing silicon oxide, exposing the substrate to a weak oxidant gas and igniting a plasma, or water vapor without plasma, to convert the adsorb silicon-containing precursor to form silicon oxide. Some methods also involve exposing the substrate to a reducing agent to reduce any oxidized copper from exposure to the weak oxidant gas. 1. A method of selectively depositing silicon oxide on a hydroxyl-terminated surface relative to copper on a substrate , the method comprising:providing the substrate comprising the hydroxyl-terminated surface and exposed copper metal surface;prior to depositing the silicon oxide, exposing the substrate to a copper-blocking reagent to selectively adsorb onto the exposed copper metal surface;exposing the substrate to a silicon-containing precursor to adsorb the silicon-containing precursor onto the hydroxyl-terminated surface;exposing the substrate to an oxidizing plasma generated in an environment comprising a weak oxidant to convert the adsorbed silicon-containing precursors to silicon oxide; andexposing the substrate to a reducing agent to reduce the exposed copper metal surface.2. The method of claim 1 , wherein the copper-blocking reagent comprises sulfur.3. The method of claim 1 , wherein the copper-blocking reagent is an alkyl thiol.4. The method of claim 3 , wherein the copper-blocking reagent is selected from the group consisting of ethane thiol and butane thiol.5. The method of claim 1 , wherein the copper-blocking reagent is an alkyl thiol having a chemical formula SH(CH)CHwhereby n is an integer between and including 2 and ...

Подробнее
03-02-2022 дата публикации

Silicide film nucleation

Номер: US20220033970A1
Принадлежит: Applied Materials Inc

Embodiments disclosed herein are directed to forming MOSFET devices. In particular, one or more pre-silicide treatments are performed on a substrate prior to the deposition of the metal-silicide layer to improve the density and performance of the metal-silicide layer in the MOSFETs. The metal-silicide formation formed with the pre-silicide treatment(s) can occur before or after the formation of metal gates during MOSFET fabrication.

Подробнее
15-01-2015 дата публикации

SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI-STATION/BATCH REACTOR

Номер: US20150017812A1
Принадлежит:

Disclosed herein are methods of depositing layers of material on multiple semiconductor substrates at multiple processing stations within one or more reaction chambers. The methods may include dosing a first substrate with film precursor at a first processing station and dosing a second substrate with film precursor at a second processing station with precursor flowing from a common source, wherein the timing of said dosing is staggered such that the first substrate is dosed during a first dosing phase during which the second substrate is not substantially dosed, and the second substrate is dosed during a second dosing phase during which the first substrate is not substantially dosed. Also disclosed herein are apparatuses having a plurality of processing stations contained within one or more reaction chambers and a controller with machine-readable instructions for staggering the dosing of first and second substrates at first and second processing stations. 2. The method of claim 1 , wherein the first dosing phase transitions to the second dosing phase by redirecting the continuous flow of precursor from the first processing station to the second processing station.3. The method of claim 2 , wherein the redirecting is done through operation of one or more valves which control precursor flow.4. The method of claim 1 , wherein the deposited material is a dielectric and the plasma is an oxidative plasma.5. The method of claim 4 , wherein the deposited material contains silicon and the film precursor is a silicon-containing film precursor.6. The method of claim 1 , wherein the removing is performed by purging the one or more reaction chambers with an inert purge gas.7. The method of claim 1 , wherein the removing is performed by applying vacuum to the one or more reaction chambers.8. The method of claim 1 , wherein the plasma is generated within the one or more reaction chambers with application of RF power.9. The method of claim 1 , wherein the plasma is generated ...

Подробнее
19-01-2017 дата публикации

CLUSTER TOOL TECHNIQUES WITH IMPROVED EFFICIENCY

Номер: US20170018443A1
Принадлежит:

Some embodiments relate to a cluster tool for semiconductor manufacturing. The cluster tool comprises a first transfer chamber having a first transfer robot. The cluster tool further comprises a designated storage chamber and a transfer load lock attached to the first transfer chamber. The cluster tool further comprises a second transfer chamber connected to the first transfer chamber through a pair of via connector chambers, the second transfer chamber having a second transfer robot. The cluster tool further comprises at least three epitaxial deposition chamber attached to the second transfer chamber. The cluster tool further comprises a control unit configured to control the second transfer robot to transfer wafers between the designated storage chamber and the transfer load lock. 1. A method for processing wafers in a cluster tool , comprising:transferring a first lot of wafers from a transfer load lock to a designated storage chamber through a first transfer chamber using a first transfer robot;transferring the first lot of wafers from the designated storage chamber to a pre-clean chamber for a pre-clean process through the first transfer chamber using the first transfer robot;transferring the first lot of wafers from the pre-clean chamber to a via connector chamber through the first transfer chamber using the first transfer robot; andtransferring the first lot of wafers from the via connector chamber to an epitaxial deposition chamber for a epitaxial growth process through a second transfer chamber using a second transfer robot.2. The method according to claim 1 , further comprising:transferring the first lot of wafers from the epitaxial deposition chamber to a via connector chamber after the epitaxial growth process through the second transfer chamber using the second transfer robot;transferring the first lot of wafers from the via connector chamber to the designated storage chamber through the first transfer chamber using the first transfer robot;transferring ...

Подробнее
21-01-2016 дата публикации

Gap-filling dielectric layer method for manufacturing the same and applications thereof

Номер: US20160020139A1
Принадлежит: United Microelectronics Corp

A gap-filling dielectric layer, method for fabricating the same and applications thereof are disclosed. A silicon-containing dielectric layer is firstly deposited on a substrate. The silicon-containing dielectric layer is then subjected to a curing process, an in-situ wetting treatment and an annealing process in sequence, whereby a gap-filling dielectric layer with a nitrogen atom density less than 1×10 22 atoms/cm 3 is formed.

Подробнее
03-02-2022 дата публикации

TRANSFER DETECTION METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20220037176A1
Принадлежит:

Provided is a transfer detection method for use in a substrate processing apparatus including a transfer arm, which has a plurality of substrate holders and is configured to transfer a plurality of substrates to a plurality of stages between a first chamber and a second chamber adjacent to the first chamber by using the plurality of substrate holders, and an optical sensor provided in a vicinity of an opening via which the first and second chambers are in communication with each other, the method including: projecting a light beam having a horizontal optical axis parallel to the opening to a position through which the substrates held by the plurality of substrate holders pass; and determining at least one of a state of the substrates on the substrate holders and a state of the transfer arm, in response to a detection result of the light beam projected from the optical sensor. 18-. (canceled)9. A transfer detection method for use in a substrate processing apparatus including a transfer arm , which has a plurality of substrate holders and is configured to transfer a plurality of substrates to a plurality of stages between a first chamber and a second chamber adjacent to the first chamber by using the plurality of substrate holders , and an optical sensor provided in a vicinity of an opening via which the first and second chambers are in communication with each other , the method comprising:projecting a light beam having a horizontal optical axis parallel to the opening to a position through which the substrates held by the plurality of substrate holders pass; anddetermining at least one of a state of the substrates on the substrate holders and a state of the transfer arm, in response to a detection result of the light beam projected from the optical sensor.10. The transfer detection method of claim 9 , wherein the projecting the light beam includes forming claim 9 , correspondingly to the number of substrate holders claim 9 , light beams claim 9 , each of which has ...

Подробнее
18-01-2018 дата публикации

Bonding apparatus

Номер: US20180019140A1
Автор: Toshifumi Inamasu
Принадлежит: Tokyo Electron Ltd

A bonding apparatus includes an upper holding unit, a lower holding unit, a pushing unit and an attracting/holding unit. The upper holding unit is configured to hold a first substrate from a top surface thereof which is a non-bonding surface. The lower holding unit is provided under the upper holding unit and is configured to hold a second substrate from a bottom surface thereof while allowing the second substrate to face the first substrate. The pushing unit is configured to press a central portion of the first substrate from above to bring the central portion of the first substrate into contact with the second substrate. The attracting/holding unit is configured to be moved up and down with respect to the upper holding unit and hold a part of the top surface of the first substrate by attraction before the first substrate is held by the upper holding unit.

Подробнее
18-01-2018 дата публикации

Front Opening Ring Pod

Номер: US20180019142A1
Принадлежит:

A pod for exchanging consumable parts with a process module includes a base plate having a front side, a back side, and first and second lateral sides. A first support column is disposed on the first lateral side proximal to the front side. A second support column is disposed on the second lateral side proximal to the front side. A third support column is disposed on the first lateral side proximal to back side and a fourth support column is disposed on the second lateral side proximal to the back side. Each of the support columns includes a plurality of support fingers distributed lengthwise and directed inward. A first hard stop column is disposed parallel to the third support column and a second hard stop column is disposed parallel to the fourth support column. A shell structure connected to the base plate is configured to enclose the first, second third and fourth support columns, top plate and first and second hard stop columns and includes a front opening disposed on the front side of the base plate. A door is mated to the front opening and includes retention assembly for securing consumable parts in the pod, when received in the pod. 1. A front opening ring pod (FORP) , comprising:a base plate having a first lateral side, a second lateral side, a front side and a back side, wherein the first lateral side, the second lateral side are parallel to one another and extend between the front side and the back side;a support structure disposed over the base plate, the support structure includes a plurality of support columns disposed over the first and second lateral sides of the base plate, each of the plurality of support columns includes a plurality of support fingers distributed lengthwise, such that the plurality of support fingers extend toward an inner region of the FORP;a top plate oriented opposite to the base plate, wherein each of the plurality of support columns is attached to the top plate;a shell enclosure having sidewalls and a top surface is disposed ...

Подробнее
18-01-2018 дата публикации

SUBSTRATE TRANSFER DEVICE AND BONDING SYSTEM

Номер: US20180019153A1
Принадлежит:

A substrate transfer device for transferring a first substrate and a second substrate to a bonding apparatus configured to bond the first substrate and the second substrate, includes a first holding part configured to hold the first substrate from an upper surface side, a lower surface of the first substrate serving as a bonding surface, and a second holding part provided below the first holding part and configured to hold the second substrate from a lower surface side so that the second substrate faces the first substrate, a upper surface of the second substrate serving as a bonding surface to be bonded to the lower surface of the first substrate. 1. A substrate transfer device for transferring a first substrate and a second substrate to a bonding apparatus configured to bond the first substrate and the second substrate , comprising:a first holding part configured to hold the first substrate from an upper surface side, a lower surface of the first substrate serving as a bonding surface; anda second holding part provided below the first holding part and configured to hold the second substrate from a lower surface side so that the second substrate faces the first substrate, a upper surface of the second substrate serving as a bonding surface to be bonded to the lower surface of the first substrate.2. The substrate transfer device of claim 1 , wherein the first holding part is configured to hold the first substrate by vacuum suction claim 1 , andthe second holding part is configured to hold the second substrate by vacuum suction.3. The substrate transfer device of claim 2 , further comprising a first driving part connected to the first holding part and the second holding part and configured to drive both the first holding part and the second holding part.4. The substrate transfer device of claim 3 , further comprising a third holding part configured to hold at least one selected from a group consisting of a laminated substrate in which the first substrate and the ...

Подробнее
17-01-2019 дата публикации

Method for processing target object

Номер: US20190019689A1
Принадлежит: Tokyo Electron Ltd

In a method according to an embodiment, before etching a target layer of a wafer, a main surface of the target layer is divided into a plurality of areas. A difference value between a groove width of a mask and a reference value of the groove width is calculated for each of the plurality of areas, a temperature of the target layer is adjusted by using correspondence data indicating correspondence between a temperature of the target layer and a film thickness of a formed film. Then, a film is formed on the mask for each atom layer, and a film having a film thickness corresponding to the difference value is formed on the mask to correct the groove width in each of the plurality of areas to the reference value.

Подробнее
16-01-2020 дата публикации

Loadlock Module and Semiconductor Manufacturing Apparatus Including the Same

Номер: US20200020555A1
Принадлежит:

A semiconductor manufacturing apparatus includes a loadlock module including a loadlock chamber in which a substrate container is received, wherein the loadlock module is configured to switch an internal pressure of the loadlock chamber between atmospheric pressure and a vacuum; and a transfer module configured to transfer a substrate between the substrate container received in the loadlock chamber and a process module for performing a semiconductor manufacturing process on the substrate, wherein the loadlock module includes a purge gas supply unit configured to supply a purge gas into the substrate container through a gas supply line connected to the substrate container; and an exhaust unit configured to discharge a gas in the substrate container through an exhaust line connected to the substrate container. 1. A semiconductor manufacturing apparatus comprising:a loadlock module comprising a loadlock chamber in which a substrate container is received, wherein the loadlock module is configured to switch an internal pressure of the loadlock chamber between atmospheric pressure and a vacuum; anda transfer module configured to transfer a substrate between the substrate container received in the loadlock chamber and a process module for performing a semiconductor manufacturing process on the substrate, a purge gas supply unit configured to supply a purge gas into the substrate container through a gas supply line connected to the substrate container; and', 'an exhaust unit configured to discharge a gas in the substrate container through an exhaust line connected to the substrate container., 'wherein the loadlock module comprises2. The semiconductor manufacturing apparatus of claim 1 , wherein the substrate container comprises a main body and a cover detachably mounted on the main body claim 1 ,wherein the exhaust unit is further configured to discharge the gas in the substrate container so that an internal pressure of the substrate container is switched from atmospheric ...

Подробнее
21-01-2021 дата публикации

MULTI-OBJECT CAPABLE LOADLOCK SYSTEM

Номер: US20210020476A1
Принадлежит:

A method includes receiving, by a first loadlock chamber of the loadlock system, a first object from a factory interface via a first opening. The first object is transferred into the first loadlock chamber via a first robot arm. The factory interface is at a first state. The first loadlock chamber is configured to receive different types of objects. The method further includes sealing a first loadlock door against the first opening to create a first sealed environment at the first state in the first loadlock chamber and causing the first sealed environment of the first loadlock chamber to be changed to a second state. The method further includes actuating a second loadlock door to provide a second opening between the first loadlock chamber and a transfer chamber. The first object is to be transferred from the first loadlock chamber to the transfer chamber via a second robot arm. 1. A method comprising:receiving, by a first loadlock chamber formed by a loadlock system of a wafer processing system, a first object from a factory interface of the wafer processing system via a first opening of the loadlock system, wherein the first object is transferred into the first loadlock chamber via a first robot arm of the factory interface, wherein the factory interface is at a first state, and wherein the first loadlock chamber is configured to receive different types of objects;sealing a first loadlock door against the first opening to create a first sealed environment at the first state in the first loadlock chamber;causing the first sealed environment of the first loadlock chamber to be changed to a second state that is different than the first state; andactuating a second loadlock door to provide a second opening between the first loadlock chamber and a transfer chamber of the wafer processing system, wherein the first object is to be transferred from the first loadlock chamber to the transfer chamber via a second robot arm of the transfer chamber.2. The method of claim 1 , ...

Подробнее
21-01-2021 дата публикации

METHODS AND APPARATUS FOR FORMING DUAL METAL INTERCONNECTS

Номер: US20210020569A1
Принадлежит:

Methods and apparatus for creating a dual metal interconnect on a substrate. In some embodiments, a first liner of a first nitride material is deposited into at least one 1× feature and at least one wider than 1× feature, the first liner has a thickness of less than or equal to approximately 12 angstroms; a second liner of a first metal material is deposited into the at least one 1× feature and at least one wider than 1× feature; the first metal material is reflowed such that the at least one 1× feature is filled with the first metal material and the at least one wider than 1× feature remains unfilled with the first metal material; a second metal material is deposited on the first metal material, and the second metal material is reflowed such that the at least one wider than 1× feature is filled with the second metal material. 1. A method for creating a dual metal interconnect , comprising:depositing a first liner of a first nitride material into at least one 1× feature and at least one wider than 1× feature, the first liner has a thickness of less than or equal to approximately 12 angstroms, wherein X is a feature width greater than zero and less than or equal to approximately 17 nm;depositing a second liner of a first metal material into the at least one 1× feature and at least one wider than 1× feature;reflowing the first metal material such that the at least one 1× feature is filled with the first metal material and the at least one wider than 1× feature remains unfilled with the first metal material;depositing a second metal material on the first metal material; andreflowing the second metal material such that the at least one wider than 1× feature is filled with the second metal material.2. The method of claim 1 , further comprisingpolishing the second metal material;polishing the first metal material and the second metal material with an electro-chemical balanced' solution for dual metal polishing; andover polishing the first metal material and the second ...

Подробнее
17-04-2014 дата публикации

LASER AND PLASMA ETCH WAFER DICING WITH PARTIAL PRE-CURING OF UV RELEASE DICING TAPE FOR FILM FRAME WAFER APPLICATION

Номер: US20140106542A1
Принадлежит:

Methods and systems of laser and plasma etch wafer dicing using UV-curable adhesive films. A method includes forming a mask covering ICs formed on the wafer. The semiconductor wafer is coupled to a film frame by a UV-curable adhesive film. A pre-cure of the UV-curable adhesive film cures a peripheral portion of the adhesive extending beyond an edge of the wafer to improve the exposed adhesive material's resistance to plasma etch and reduce hydrocarbon redeposition within the etch chamber. The mask is patterned by laser scribing to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer, below thin film layers from which the ICs are formed. The semiconductor wafer is plasma etched through the gaps in the patterned mask to singulate the ICs. A center portion of the UV-curable adhesive is then cured and the singulated ICs detached from the film. 1. A method of dicing a semiconductor wafer comprising a plurality of integrated circuits , the method comprising:forming a mask above the semiconductor wafer, the mask covering and protecting the integrated circuits;coupling the semiconductor wafer to a film frame with an ultra-violet (UV)-curable adhesive film;pre-curing a peripheral portion of the adhesive film disposed beyond an edge of the semiconductor wafer;patterning the mask with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits; andetching the semiconductor wafer through the gaps in the patterned mask to form singulated integrated circuits while the semiconductor wafer is affixed to the adhesive film.2. The method of claim 1 , wherein the adhesive film is transmissive of UV light and wherein the pre-curing further comprises:irradiating the peripheral portion of the adhesive film with UV light originating from a backside of the adhesive film, at least some of the UV light passing through the adhesive film on which the peripheral portion of the ...

Подробнее
22-01-2015 дата публикации

Void free tungsten fill in different sized features

Номер: US20150024592A1
Принадлежит: Lam Research Corp

Methods of depositing tungsten in different sized features on a substrate are provided herein. The methods involve depositing a first bulk layer of tungsten in the features, etching the deposited tungsten, depositing a second bulk tungsten, which is interrupted to treat the tungsten after the smaller features are completely filled, and resuming deposition of the second bulk layer after treatment to deposit smaller, smoother tungsten grains into the large features. The methods also involve depositing tungsten in multiple cycles of dep-etch-dep, where each cycle targets a group of similarly sized features using etch chemistry specific for that group, and depositing in groups from smallest sized features to the largest sized features. Deposition using methods described herein produce smaller, smoother grains with void-free fill for a wide range of sized features in a substrate.

Подробнее
26-01-2017 дата публикации

MULTI-ZONE TEMPERATURE CONTROL FOR SEMICONDUCTOR WAFER

Номер: US20170022611A9

An apparatus and a method for controlling critical dimension (CD) of a circuit is provided. An apparatus includes a controller for receiving CD measurements at respective locations in a circuit pattern in an etched film on a first substrate and a single wafer chamber for forming a second film of the film material on a second substrate. The single wafer chamber is responsive to a signal from the controller to locally adjust a thickness of the second film based on the measured CD's. A method provides for etching a circuit pattern of a film on a first substrate, measuring CD's of the circuit pattern, adjusting a single wafer chamber to form a second film on a second semiconductor substrate based on the measured CD. The second film thickness is locally adjusted based on the measured CD's. 1. An apparatus , comprising:a controller for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from a film comprising a film material on a first semiconductor substrate; anda single wafer chamber for forming a second film of the film material on a second semiconductor substrate, the single wafer chamber being responsive to a control signal from the controller to locally adjust a thickness of the second film based on the measurements of the CD.2. The apparatus of claim 1 , wherein the single wafer chamber has a platen that supports the second semiconductor substrate claim 1 , the platen having a plurality of independently controllable temperature zones.3. The apparatus of claim 2 , wherein each temperature zone of the platen has at least one heating element.4. The apparatus of claim 3 , wherein the processor is configured to control the heating elements toincrease heater power in a respective temperature zone of the substrate to increase the thickness of the second film locally, if the CD of the first film is less than a predetermined dimension, anddecrease the heater power in the respective temperature zone to ...

Подробнее
16-01-2020 дата публикации

Circular lamp arrays

Номер: US20200022223A1
Автор: Joseph M. Ranish
Принадлежит: Applied Materials Inc

Embodiments disclosed herein relate to circular lamp arrays for use in a semiconductor processing chamber. Circular lamp arrays utilizing one or more torroidal lamps disposed in a reflective trough and arranged in a concentric circular pattern may provide for improved rapid thermal processing. The reflective troughs, which may house the torroidal lamps, may be disposed at various angles relative to a surface of a substrate being processed.

Подробнее
26-01-2017 дата публикации

LOAD LOCK APPARATUS AND SUBSTRATE PROCESSING SYSTEM

Номер: US20170025290A1
Автор: Wakabayashi Shinji
Принадлежит:

A load lock apparatus having a load lock chamber, which is connected to a vacuum transfer chamber configured to transfer a substrate under a vacuum pressure state via a communication hole which is opened and closed by a gate valve, and configured to be capable of switching an inner pressure into an atmospheric pressure state and the vacuum pressure state, is provided. The load lock apparatus includes a load lock chamber main body in which a substrate container having an attachable/detachable cover is carried, wherein the communication hole is formed in a side surface of the load lock chamber; and a cover attaching/detaching mechanism installed at a height position vertically arranged with the communication hole in the load lock chamber; and an elevating mechanism including a mounting table on which the substrate container is loaded and configured to lift and lower the mounting table. 1. A load lock apparatus having a load lock chamber connected to a vacuum transfer chamber configured to transfer a substrate under a vacuum pressure state via a communication hole which is opened and closed by a gate valve , and configured to be capable of switching an inner pressure into an atmospheric pressure state and the vacuum pressure state , the load lock apparatus comprising:a load lock chamber main body having a carry-in/out hole through which a substrate container configured to accommodate a plurality of substrates and having an attachable/detachable cover installed on a side surface of the substrate container can be carried in/out, and an opening/closing door configured to open and close the carry-in/out hole, wherein the communication hole is formed in a side surface of the load lock chamber;a cover attaching/detaching mechanism installed at a height position vertically arranged with the communication hole in the load lock chamber, and configured to be horizontally advanced and retracted between an attachment/detachment position at which the cover is attached/detached to/ ...

Подробнее
26-01-2017 дата публикации

MULTI-CHAMBER FURNACE FOR BATCH PROCESSING

Номер: US20170025291A1
Автор: Lin Su-Horng
Принадлежит:

A multi-chamber furnace for processing at least 100 substrates is provided. Reactor housings define a plurality of laterally spaced reactor chambers that are individually configured to accommodate up to about 50 substrates. Substrate holders correspond to the reactor chambers, and are configured to support and vertically stack substrates arranged in the corresponding reactor chambers. Heaters correspond to the reactor chambers and are configured to heat the corresponding reactor chambers. A method for batch processing substrates using the multi-chamber furnace is also provided. 1. A multi-chamber furnace comprising:reactor housings defining a plurality of laterally spaced reactor chambers that are individually configured to accommodate a number of substrates that is about equal to an integer multiple of a number of substrates held by a pod configured to transport substrates;substrate holders corresponding to the reactor chambers, and configured to support and stack substrates arranged in the corresponding reactor chambers; andheaters corresponding to the reactor chambers and configured to heat the corresponding reactor chambers.2. The multi-chamber furnace according to claim 1 , wherein the reactor chambers are collectively configured to concurrently carry out a semiconductor manufacturing process on a batch of about 100 or more substrates.3. The multi-chamber furnace according to claim 1 , wherein the reactor chambers are configured to carry out different semiconductor manufacturing processes on substrates.4. The multi-chamber furnace according to claim 1 , wherein the reactor chambers individually accommodate between about 25 substrates and about 50 substrates.5. The multi-chamber furnace according to claim 1 , further comprising:a process housing defining a process area within which the reactor housings are arranged; anda loading housing defining a loading area under the process area.6. The multi-chamber furnace according to claim 5 , further comprising:a linear ...

Подробнее
28-01-2016 дата публикации

Processing systems and methods for halide scavenging

Номер: US20160027673A1
Принадлежит: Applied Materials Inc

Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.

Подробнее
25-01-2018 дата публикации

Precleaning Apparatus and Substrate Processing System

Номер: US20180025901A1
Принадлежит:

A precleaning apparatus includes a chamber having an internal space in which a substrate is cleaned, a substrate support disposed in the chamber and configured to support the substrate, a plasma generation unit disposed in the chamber and configured to generate plasma gas, a heating unit configured to heat the substrate on the substrate support, a cleaning gas supply unit configured to supply gas for oxide etching to the internal space of the chamber, and a hydrogen gas supply unit configured to supply hydrogen gas to the internal space of the chamber. 1. A precleaning apparatus comprising:a chamber having an internal space in which a substrate is cleaned;a substrate support disposed in the chamber and configured to support the substrate;a plasma generation unit disposed in the chamber and configured to generate plasma gas;a heating unit configured to heat the substrate on the substrate support;a cleaning gas supply unit configured to supply gas for oxide etching to the internal space of the chamber; anda hydrogen gas supply unit configured to supply hydrogen gas to the internal space of the chamber.2. The precleaning apparatus of claim 1 , further comprising a halogen gas supply unit configured to supply halogen gas for silicon etching or silicon compound etching to the internal space of the chamber.3. The precleaning apparatus of claim 2 , wherein the halogen gas comprises chlorine-based gas.4. The precleaning apparatus of claim 3 , wherein the chlorine-based gas is at least one of Cl claim 3 , SiCl claim 3 , CHCl claim 3 , CHCl claim 3 , CCland BCl.5. The precleaning apparatus of claim 1 , wherein the cleaning gas supply unit comprises a first gas supply unit configured to supply fluorine-based gas claim 1 , a second gas supply unit configured to supply nitrogen-based gas claim 1 , and a third gas supply unit configured to supply inert gas.6. The precleaning apparatus of claim 5 , wherein the fluorine-based gas is at least one of CHF claim 5 , CF claim 5 , CF ...

Подробнее
25-01-2018 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20180025926A1
Принадлежит:

A substrate processing apparatus includes an outer tube including an open bottom portion and a closed top portion, and an inner tube disposed in the outer tube and spaced apart from the outer tube. The inner tube includes a first opening at a top portion of the inner tube, a second opening at a bottom portion of the inner tube, and an inner sidewall including a plurality of exhaust holes on one side of the inner sidewall, the inner sidewall defining the first and second openings. 1. A substrate processing apparatus , the apparatus comprising:an outer tube including an open bottom portion and a closed top portion; andan inner tube disposed in the outer tube and spaced apart from the outer tube, a first opening at a top portion of the inner tube;', 'a second opening at a bottom portion of the inner tube; and', 'an inner sidewall including a plurality of exhaust holes on one side of the inner sidewall, the inner sidewall defining the first and second openings of the inner tube., 'wherein the inner tube comprises2. The apparatus of claim 1 , wherein the exhaust holes are arranged between the second opening and the first opening of the inner tube.3. The apparatus of claim 2 , wherein lowermost ones of the exhaust holes that are adjacent the second opening of the inner tube have a diameter that is greater than a diameter of uppermost ones of the exhaust holes that are adjacent the first opening of the inner tube.4. The apparatus of claim 2 , wherein lowermost ones of the exhaust holes that are adjacent the second opening of the inner tube are spaced apart at an interval that is less than an interval between uppermost ones of the exhaust holes that are adjacent the first opening of the inner tube.5. The apparatus of claim 1 , further comprising a gas exhaust member that is configured to produce a pressure in the outer tube and exhausts a gas from the inner tube through the first opening and the exhaust holes of the inner tube.6. The apparatus of claim 5 , wherein the ...

Подробнее
25-01-2018 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20180025930A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A power distributor for delivering power to a plurality of plasma processing stations associated with a chamber , comprising:a low frequency circuit coupled to a low frequency impedance matching network and configured to provide a low frequency RF signal;a high frequency circuit coupled to a high frequency impedance matching network and configured to provide a high frequency RF signal, wherein the high frequency circuit is coupled to the low frequency circuit;an output circuit coupled to the high frequency circuit and a plurality of plasma processing stations, wherein the output circuit is configured to combine the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals to provide to the plasma processing stations; anda shunt inductor coupled in parallel to one of the plasma processing stations to increase an amount of current to the one of the plasma processing stations.2. The power distributor of claim 1 , wherein the shunt inductor has two ends claim 1 , wherein one of the ends is coupled to a ground connection and another one of the ends is coupled to ...

Подробнее
10-02-2022 дата публикации

VACUUM PROCESS APPARATUS AND SUBSTRATE TRANSFER METHOD

Номер: US20220044952A1
Автор: Wakabayashi Shinji
Принадлежит:

In a vacuum processing apparatus, a load lock module includes a housing and substrate holding sections, the housing having first substrate transfer ports formed on one of right and left sides thereof and a second substrate transfer port formed on a rear side thereof, and each substrate holding section being configured to hold a substrate on a right or left side in the housing. Further, a normal pressure transfer chamber extends over or under the housing from one of the right and left sides of the housing to the other one thereof so that each first substrate transfer port is opened. The normal pressure transfer chamber includes a stacked transfer region that is a region overlapping the housing. Further, a normal pressure transfer mechanism transfers the substrate between each substrate holding section and a transfer container carried into each of loading/unloading ports via the stacked transfer region. 1. A vacuum processing apparatus comprising:a load lock module including a housing and a plurality of substrate holding sections, wherein the inside of the housing is switchable between a normal pressure atmosphere and a vacuum atmosphere, the housing has openable/closeable first substrate transfer ports formed on one of right and left sides thereof and an openable/closeable second substrate transfer port formed on a rear side thereof, and each of the plurality of substrate holding sections is configured to hold a substrate on a right or left side in the housing;a vacuum transfer chamber having a vacuum atmosphere, wherein the vacuum transfer chamber is connected to the rear side of the housing and the second substrate transfer port is opened to the vacuum transfer chamber;a processing module, connected to the vacuum transfer chamber, for vacuum-processing the substrate;a vacuum transfer mechanism configured to transfer the substrate between the load lock module, the vacuum transfer chamber, and the processing module;a normal pressure transfer chamber having a normal ...

Подробнее
23-01-2020 дата публикации

Substrate Positioning Apparatus And Methods

Номер: US20200026177A1
Автор: Moradian Ala, Tesch Travis
Принадлежит:

Described herein are apparatus and methods used to process a substrate in a chamber, in particular to position a non-round substrate in a holding chamber or a processing chamber. Further described herein are methods and apparatus that detect radiation transmitted along the thickness of the substrate between the top surface and the bottom surface, determine a signal strength as the substrate is rotated and obtaining a signal strength pattern to determine a position of the substrate within the chamber with respect to a center position. 1. A substrate processing apparatus comprising:a chamber;a substrate support configured to support a substrate comprising a top surface and a bottom surface defining a substrate thickness, the substrate support configured to rotate the substrate 360 degrees through a plurality of rotational angular positions within the chamber;a laser positioned to direct a radiation beam along the thickness between the top surface and the bottom surface;a sensor positioned opposite the laser to detect radiation transmitted along the thickness of the substrate between the top surface and the bottom surface; anda controller configured to analyze a signal strength of the radiation detected by the sensor at the plurality of rotational angular positions and to correlate the signal strength at the plurality of rotational angular positions to a position within the chamber.2. The substrate processing apparatus of claim 1 , wherein the controller is configured to analyze the signal strength of the radiation transmitted along the thickness of a polygonal substrate at the plurality of rotational angular positions.3. The substrate processing apparatus of claim 2 , wherein the controller is configured to analyze the signal strength transmitted along the thickness of a rectangular substrate.4. The substrate processing apparatus of claim 3 , wherein the controller is configured to analyze a signal strength pattern versus a rotational angular position.5. The substrate ...

Подробнее
02-02-2017 дата публикации

POSTURE HOLDING DEVICE FOR HOLDING PART

Номер: US20170028547A1
Автор: Kodama Toshiaki
Принадлежит:

A posture holding device is for use in a transfer device which has a holding part configured to hold an object and a first link and a second link connected to the holding part. The transfer device moves the first link and the second link relative to the holding part so as to move the holding part between a transfer position and a standby position. The posture holding device holds a posture of the holding part and includes a magnetic gear rotatably connecting the first link and the second link to the holding part. The magnetic gear is disposed such that the one end of the first link connected to the holding part is rotated about a first axis and the one end of the second link connected to the holding part is rotated about the first axis or a second axis different from the first axis. 1. A posture holding device for use in a transfer device , the transfer device having a holding part configured to hold an object to be transferred , a first link with one end connected to the holding part , and a second link with one end connected to the holding part , the transfer device being configured to move the first link and the second link relative to the holding part so as to move the holding part between a transfer position and a standby position , the posture holding device being configured to hold a posture of the holding part , the posture holding device comprising:a magnetic gear configured to rotatably connect the one end of the first link and the one end of the second link to the holding part,wherein the magnetic gear is disposed such that the one end of the first link connected to the holding part is rotated about a first axis and the one end of the second link connected to the holding part is rotated about the first axis or a second axis different from the first axis.2. The posture holding device of claim 1 , wherein the magnetic gear comprises:first and second disc-shaped magnetic bodies disposed opposite to each other on the first axis, anda third cylindrical ...

Подробнее
23-01-2020 дата публикации

RF GENERATOR FOR GENERATING A MODULATED FREQUENCY OR AN INTER-MODULATED FREQUENCY

Номер: US20200027701A1
Принадлежит:

A high frequency radio frequency (RF) generator that generates a high frequency RF signal is described. There is no need for another low frequency RF generator that generates a low frequency RF signal. A low frequency RF signal is pre-amplified within the high frequency RF generator to output a pre-amplified low frequency RF signal. Similarly, a high frequency RF signal is pre-amplified within the high frequency RF generator to output a pre-amplified high frequency RF signal. The high frequency RF generator combines the pre-amplified low frequency RF with the pre-amplified high frequency RF signal to provide a combined RF signal. The combined RF signal is amplified within the high frequency RF generator to supply an amplified signal to a match. There is also no need for another match for the low frequency RF generator. 1. A radio frequency (RF) generator comprising:a first oscillator configured to generate a first RF signal;a second oscillator configured to generate a second RF signal;a first circuit coupled to the first oscillator to receive the first RF signal, wherein the first circuit is configured to apply a gain to the first RF signal to output a first adjusted RF signal;a second circuit coupled to the second oscillator to receive the second RF signal, wherein the second circuit is configured to apply a gain to the second RF signal to output a second adjusted RF signal;a combiner coupled to the first circuit to receive the first adjusted RF signal from the first circuit, wherein the combiner is coupled to the second circuit to receive the second adjusted RF signal from the second circuit, wherein the combiner is configured to multiply the first adjusted RF signal with the second adjusted RF signal to output a combined RF signal.2. The RF generator of claim 1 , wherein the combiner is configured to multiply a voltage of the first adjusted RF signal with a voltage of the second adjusted RF signal to output the combined RF signal claim 1 , wherein the combined RF ...

Подробнее
23-01-2020 дата публикации

ABATEMENT AND STRIP PROCESS CHAMBER IN A DUAL LOADLOCK CONFIGURATION

Номер: US20200027742A1
Принадлежит:

Embodiments of the present invention provide a dual load lock chamber capable of processing a substrate. In one embodiment, the dual load lock chamber includes a chamber body defining a first chamber volume and a second chamber volume isolated from one another. Each of the lower and second chamber volumes is selectively connectable to two processing environments through two openings configured for substrate transferring. The dual load lock chamber also includes a heated substrate support assembly disposed in the second chamber volume. The heated substrate support assembly is configured to support and heat a substrate thereon. The dual load lock chamber also includes a remote plasma source connected to the second chamber volume for supplying a plasma to the second chamber volume. 1. A method for removing halogen-containing residues from a substrate , comprising: a chamber body defining the first chamber volume and a second chamber volume isolated from one another, wherein the first chamber volume is selectively connectable to two processing environments through two openings configured for substrate transferring, and the second chamber volume is selectively connected to at least one of the two processing environments;', 'a heated substrate support assembly disposed in the second chamber volume, wherein the heated substrate support assembly is configured to support and heat a substrate thereon; and', 'a remote plasma source connected to the second chamber volume for supplying a plasma to the second chamber volume;, 'transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber coupled to the substrate processing system, wherein the load lock chamber, comprisesetching the substrate in the substrate processing chamber with chemistry comprising halogen; and heating the etched substrate on a heated substrate support assembly disposed in the second chamber volume; and', 'flowing a processing gas to the second chamber volume ...

Подробнее
23-01-2020 дата публикации

PARTICLE BEAM INSPECTION APPARATUS

Номер: US20200027763A1
Принадлежит:

An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including an improved load lock unit is disclosed. An improved load lock system may comprise a plurality of supporting structures configured to support a wafer and a conditioning plate including a heat transfer element configured to adjust a temperature of the wafer. The load lock system may further comprise a gas vent configured to provide a gas between the conditioning plate and the wafer and a controller configured to assist with the control of the heat transfer element. 1. A load lock system , comprising:a plurality of supporting structures configured to support a wafer;a first conditioning plate including a first heat transfer element configured to adjust a temperature of the wafer;a first gas vent configured to provide a gas between the first conditioning plate and the wafer; anda controller including a processor and a memory, the controller configured to assist with control of the first heat transfer element.2. The load lock system of claim 1 , wherein the first conditioning plate is positioned above the wafer.3. The load lock system of claim 1 , wherein the first conditioning plate is positioned below the wafer.4. The load lock system of claim 1 , wherein the plurality of supporting structures are coupled to the first conditioning plate.5. The load lock system of claim 1 , wherein the first gas vent is attached to the first conditioning plate.6. The load lock system of claim 1 , wherein the controller is further configured to assist with the control of the first heat transfer element based on a temperature of a wafer stage.7. The load lock system of claim 1 , further comprising a second conditioning plate including a second heat transfer element configured to adjust the temperature of the wafer.8. The load lock system of claim 7 , wherein the plurality of supporting structures configured to support a wafer are positioned between the first conditioning ...

Подробнее
28-01-2021 дата публикации

EFEM

Номер: US20210028031A1
Принадлежит: SINFONIA TECHNOLOGY CO., LTD.

There is provided an EFEM, including: at least one load port; a housing closed by connecting the at least one load port to an opening provided on a side wall of the housing and configured to define, in the housing, a transfer chamber for transferring a substrate; a substrate transfer device disposed in the transfer chamber and configured to transfer the substrate; an inert gas supply unit configured to supply an inert gas to the transfer chamber; and a gas discharge unit configured to discharge a gas in the transfer chamber, wherein the at least one load port includes: an opening/closing mechanism capable of opening and closing a lid of a mounted FOUP; and an accommodation chamber kept in communication with the transfer chamber and configured to accommodate a part of the opening/closing mechanism. 1. An EFEM , comprising:at least one load port;a housing closed by connecting the at least one load port to an opening provided on a side wall of the housing and configured to define, in the housing, a transfer chamber for transferring a substrate;a substrate transfer device disposed in the transfer chamber and configured to transfer the substrate;an inert gas supply unit configured to supply an inert gas to the transfer chamber; anda gas discharge unit configured to discharge a gas in the transfer chamber, an opening/closing mechanism capable of opening and closing a lid of a mounted FOUP; and', 'an accommodation chamber kept in communication with the transfer chamber and configured to accommodate a part of the opening/closing mechanism, and, 'wherein the at least one load port includeswherein the gas discharge unit is connected to the accommodation chamber to discharge the gas in the transfer chamber via the accommodation chamber.2. The EFEM of claim 1 , further comprising:a gas outlet provided in an upper portion of the transfer chamber and configured to provide the inert gas into the transfer chamber;a gas suction port provided in a lower portion of the transfer ...

Подробнее
04-02-2016 дата публикации

Apparatus and method for processing semiconductor wafers

Номер: US20160035563A1

An apparatus for processing a semiconductor wafer includes a factory interface configured to couple with a manufacturing chamber. The factory interface includes a robot; an orienter adjacent to the robot; and a particle remover above the orienter and facing toward a wafer. The particle remover is configured to blow ionized gas on a surface of the wafer so as to remove particles.

Подробнее
04-02-2016 дата публикации

MULTI-LAYER MASK INCLUDING NON-PHOTODEFINABLE LASER ENERGY ABSORBING LAYER FOR SUBSTRATE DICING BY LASER AND PLASMA ETCH

Номер: US20160035577A1
Принадлежит:

Methods of dicing substrates having a plurality of ICs. A method includes forming a multi-layered mask comprising a laser energy absorbing, non-photodefinable topcoat disposed over a water-soluble base layer disposed over the semiconductor substrate. Because the laser light absorbing material layer is non-photodefinable, material costs associated with conventional photo resist formulations may be avoided. The mask is direct-write patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. Absorption of the mask layer within the laser emission band (e.g., UV band and/or green band) promotes good scribe line quality. The substrate may then be plasma etched through the gaps in the patterned mask to singulate the IC with the mask protecting the ICs during the plasma etch. The soluble base layer of the mask may then be dissolved subsequent to singulation, facilitating removal of the layer. 1. A method of dicing a substrate comprising a plurality of integrated circuits (ICs) , the method comprising:forming a multi-layered mask including a non-photodefinable laser light absorbing layer over the substrate covering and protecting the ICs;patterning the mask with a laser scribing process to provide a patterned mask with gaps, exposing regions of the substrate between the ICs;plasma etching the substrate through the gaps in the patterned mask to singulate the ICs; andremoving the mask with an aqueous solution.2. The method of claim 1 , wherein forming the multi-layered mask further comprises depositing a water-soluble polymeric precursor over the ICs claim 1 , forming a water-soluble layer over the ICs.3. The method of claim 2 , wherein removing the mask comprises dissolving the water-soluble layer and lifting the non-photodefinable laser light absorbing layer off the top surface of the ICs.4. The method of claim 3 , wherein dissolving the water-soluble layer further comprises exposing the ...

Подробнее
04-02-2016 дата публикации

SIDE OPENING UNIFIED POD

Номер: US20160035608A1
Принадлежит:

A substrate processing system including a processing section arranged to hold a processing atmosphere therein, a carrier having a shell forming an internal volume for holding at least one substrate for transport to the processing section, the shell being configured to allow the internal volume to be pumped down to a predetermined vacuum pressure that is different than an exterior atmosphere outside the substrate processing system, and a load port communicably connected to the processing section to isolate the processing atmosphere from the exterior atmosphere, the load port being configured to couple with the carrier to pump down the internal volume of the carrier and to communicably connect the carrier to the processing section, for loading the substrate into the processing section through the load port. 1. A substrate processing system comprising:a processing section arranged to hold a processing vacuum therein generated by a vacuum source of the processing section, the processing vacuum corresponding to at least one vacuum process from the group of material deposition, ion implantation, etching and lithography;a carrier having a shell forming an internal volume for holding at least one substrate for transport to the processing section, the shell being configured to allow the internal volume to be pumped down to the processing vacuum, corresponding to the at least one vacuum process from the group of material deposition, ion implantation, etching and lithography, that is different than an exterior atmosphere outside both the substrate processing system and the carrier; anda load port communicably connected to the processing section to isolate the processing vacuum from the exterior atmosphere, the load port being configured to couple with the carrier where a coupling between the load port and carrier couples the vacuum source of the processing section generating the processing vacuum in the processing section, which vacuum corresponding to the at least one vacuum ...

Подробнее
04-02-2016 дата публикации

VERTICALLY INTEGRATED WAFERS WITH THERMAL DISSIPATION

Номер: US20160035702A1
Автор: Luo Zhijiong
Принадлежит:

Technologies are generally described related to three-dimensional integration of integrated circuits (ICs) with spacing for heat dissipation. According to some examples, a self-aligned silicide may be formed in a temporary silicon layer and removed subsequent to bonding of the wafers to achieve improved contact between the combined ICs and enhanced heat dissipation through added spacing between the ICs. 1. A three-dimensionally integrated semiconductor device comprising:a first wafer comprising a first set of semiconductor circuitry;a second wafer comprising a second set of semiconductor circuitry; andone or more silicide layers between conductive coupler surfaces of the first wafer and the second wafer, the silicide layers effective to bond the first wafer and the second wafer and to provide heat dissipation for the first set of semiconductor circuitry and the second set of semiconductor circuitry through gaps between the silicide layers.2. The semiconductor device of claim 1 , wherein the first wafer and the second wafer are arranged such that respective surfaces of the first wafer and the second wafer face each other.3. The semiconductor device of claim 2 , wherein the first wafer and the second wafer include one or more conductive coupler surfaces on their respective surface.4. The semiconductor device of claim 3 , wherein the conductive coupler surfaces comprise a metal from a list of Aluminum claim 3 , Nickel claim 3 , Copper claim 3 , Titanium claim 3 , Molybdenum claim 3 , Tantalum claim 3 , or Tungsten.5. The semiconductor device of claim 1 , further comprising portions of an amorphous/porous silicon layer between the silicide layers.6. The semiconductor device of claim 5 , wherein the amorphous/porous silicon layer between the silicide layers includes undoped silicon.7. A method to fabricate a three-dimensionally integrated semiconductor device claim 5 , the method comprising:depositing an amorphous/porous silicon layer on a first surface of a first wafer; ...

Подробнее
01-02-2018 дата публикации

INTEGRATING ATOMIC SCALE PROCESSES: ALD (ATOMIC LAYER DEPOSITION) AND ALE (ATOMIC LAYER ETCH)

Номер: US20180033635A1
Принадлежит:

Methods are provided for integrating atomic layer etch and atomic layer deposition by performing both processes in the same chamber or reactor. Methods involve sequentially alternating between atomic layer etch and atomic layer deposition processes to prevent feature degradation during etch, improve selectivity, and encapsulate sensitive layers of a semiconductor substrate. 1. A method of processing a substrate , the method comprising:etching a first material on the substrate by atomic layer etch in a chamber; anddepositing a second material onto the substrate by atomic layer deposition,wherein the etching and the depositing are performed without breaking vacuum, andwherein the first material comprises a semiconductor material.2. The method of claim 1 , wherein the first material is selected from the group consisting of silicon and germanium.3. The method of claim 1 , wherein the second material is selected from the group consisting of oxides claim 1 , nitrides claim 1 , silicon oxynitride claim 1 , metals claim 1 , and metal-containing films.4. The method of claim 1 , wherein the etching is performed in cycles claim 1 , a cycle comprisingexposing the substrate to an etching gas to modify the first material on the surface of the substrate; andexposing the substrate to a removal gas to remove at least some of the modified the first material on the surface.5. The method of claim 4 , wherein the etching gas is a halide.6. The method of claim 4 , wherein the removal gas is selected from the group consisting of nitrogen claim 4 , argon claim 4 , helium claim 4 , neon claim 4 , and oxygen.7. The method of claim 4 , wherein the removal gas is an inert gas.8. The method of claim 4 , wherein a plasma is ignited during the exposing of the substrate to the etching gas to modify the surface of the substrate.9. The method of claim 7 , wherein the plasma is ignited in the chamber.10. The method of claim 7 , wherein the plasma is ignited remotely.11. The method of claim 4 , the ...

Подробнее
01-02-2018 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATE

Номер: US20180033655A1
Автор: KIM HEEHWAN, LEE Young Hun
Принадлежит:

Disclosed are an apparatus and a method for treating a substrate. The method includes supplying a mixture liquid obtained by mixing an additive with an organic solvent onto a substrate, and after the supplying of the mixture liquid, removing the mixture liquid from the substrate by supplying a supercritical fluid to the substrate and dissolving the mixture liquid in the supercritical fluid, wherein the additive has a surface tension that is lower than that of the organic solvent and a boiling point that is lower than that of the organic solvent. 1. A method for treating a substrate , the method comprising:supplying a mixture liquid obtained by mixing an additive with an organic solvent onto a substrate; andafter the supplying of the mixture liquid, removing the mixture liquid from the substrate by supplying a supercritical fluid to the substrate and dissolving the mixture liquid in the supercritical fluid,wherein the additive has a surface tension that is lower than that of the organic solvent and a boiling point that is lower than that of the organic solvent.2. The method of claim 1 , wherein a solubility of the additive for the organic solvent is higher than that of hexane.3. The method of claim 1 , wherein the organic solvent is isopropyl alcohol (IPA).4. The method of claim 3 , wherein the supercritical fluid is carbon dioxide (CO).5. The method of claim 4 , wherein the additive includes a fluid pertaining to one group consisting of fluorinated alcohol claim 4 , alcohol claim 4 , fluorinated ether claim 4 , ether claim 4 , fluorinated ketone claim 4 , and ketone.6. A method for treating a substrate claim 4 , the method comprising:supplying a mixture liquid obtained by mixing an additive with an organic solvent onto a substrate; andafter the supplying of the mixture liquid, removing the mixture liquid from the substrate by supplying a supercritical fluid to the substrate and dissolving the mixture liquid in the supercritical fluid,wherein a solubility of the ...

Подробнее
01-02-2018 дата публикации

GAS PURGE SYSTEM AND METHOD FOR OUTGASSING CONTROL

Номер: US20180033659A1
Автор: BAO XINYU, Yan Chun
Принадлежит:

Embodiments disclosed herein generally relate to a system, method, and apparatus for controlling substrate outgassing such that hazardous gasses are eliminated from a surface of a substrate after a III-V epitaxial growth process or an etch clean process, and prior to additional processing. An oxygen containing gas is flowed to a substrate in a load lock chamber, and subsequently a non-reactive gas is flowed to the substrate in the load lock chamber. As such, hazardous gases and outgassing residuals are decreased and/or removed from the substrate such that further processing may be performed. 1. A substrate processing apparatus , comprising:a loadlock chamber having a body defining a volume therein;a support structure disposed in the volume, the support structure having a plurality of support members; and a gas supply line operatively connected to a gas source; and', 'a plurality of distribution lines, wherein each distribution line is operatively connected to and extends from the gas supply line, wherein at least one distribution line is disposed adjacent to each support member, wherein each distribution line has a plurality of gas holes disposed therein, wherein each distribution line defines a plane, and wherein each gas hole is angled toward a corresponding support member relative to the plane., 'a gas distribution structure disposed in the volume adjacent the support structure, the gas distribution structure comprising2. The substrate processing apparatus of claim 1 , wherein each distribution line is an arcuate distribution line having a radius between about four inches and about twelve inches.3. The substrate processing apparatus of claim 2 , wherein each distribution line has an angular extent between about 100 degrees and about 150 degrees.4. The substrate processing apparatus of claim 1 , wherein each gas hole is disposed at the plane of each distribution line.5. The substrate processing apparatus of claim 1 , wherein each gas hole has a gas flow axis that ...

Подробнее
17-02-2022 дата публикации

Gas flow control during semiconductor fabrication

Номер: US20220051912A1

A method is provided. The method includes introducing a process gas into an interior space of a processing chamber through a gas inlet port, wherein a substrate is supported within the interior space. The process gas is evacuated from the interior space by a vacuum source through an exhaust port in fluid communication with the interior space of the process chamber. A flow of the process gas is controlled by supporting an exhaust baffle within a flow path of the process gas being evacuated from the interior space through the exhaust port.

Подробнее
17-02-2022 дата публикации

Operation method of vacuum processing device

Номер: US20220051917A1
Принадлежит: Hitachi High Tech Corp

According to one embodiment, a vacuum processing device is provided which is capable of being controlled to create the most suitable gas flow under the situation where the device is placed by allowing a plurality of vacuum transfer chambers to communicate with each other via the intermediate chamber in an operation method of the vacuum processing device including the plurality of vacuum transfer chambers connected to each other via the intermediate chamber and a plurality of vacuum processing chambers respectively connected to the vacuum transfer chambers.

Подробнее
17-02-2022 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20220051919A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A method comprising:receiving, by a plurality of low frequency paths, a low frequency modified radio frequency (RF) signal to output a plurality of low frequency RF signals;receiving, by a plurality of high frequency paths, a high frequency modified RF signal to output a plurality of high frequency RF signals;combining, by a plurality of output paths, the plurality of low frequency RF signals and the plurality of high frequency RF signals to output a plurality of combined signals to a plurality of plasma processing stations; andcontrolling, by a plurality of shunt inductors, a plurality of amounts of current of the plurality of combined signals provided to the plurality of plasma processing stations, wherein each of the plurality of shunt inductors is coupled to a corresponding one of the plurality of low frequency paths.2. The method of claim 1 , wherein said receiving the low frequency modified RF signal includes:receiving the low frequency modified RF signal at a low frequency input; andsplitting, at the low frequency input, the low frequency modified RF signal into a plurality of input signals.3. ...

Подробнее
31-01-2019 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20190035666A1
Принадлежит:

The present invention provides a substrate processing apparatus for controlling a plasma environment on a substrate. The substrate processing apparatus comprises: a process chamber which defines a process space therein; a gas injection unit which is installed in the process chamber and supplies a process gas to the process space; an electrostatic chuck which is installed in the process chamber to be opposite to the gas injection unit and includes an electrostatic electrode for applying electrostatic force to the substrate mounted on the electrostatic chuck; a plasma power supply unit which includes at least one RF power source for applying at least one RF power to the gas injection unit in order to form a plasma atmosphere within the process chamber; an electrostatic power supply unit which includes a DC power source to supply DC power to the electrostatic electrode; and an electrostatic chuck current control circuit unit which is connected to the electrostatic electrode in parallel with the electrostatic electrode power supply unit in order to control a plasma atmosphere between the gas injection unit and the electrostatic chuck. 1. A substrate processing apparatus , comprising:a process chamber which defines a process space therein;a gas injection unit which is installed in the process chamber and supplies a process gas to the process space;an electrostatic chuck which is installed in the process chamber to be opposite to the gas injection unit and includes an electrostatic electrode for applying electrostatic force to a substrate mounted on the electrostatic chuck;a plasma power supply unit which includes at least one RF power source for applying at least one RF power to the gas injection unit in order to form a plasma atmosphere within the process chamber;an impedance matching unit which is connected between the plasma power supply unit and the gas injection unit for impedance matching between the at least one RF power source and the process chamber;an ...

Подробнее
31-01-2019 дата публикации

Method of Forming Semiconductor Device Including Tungsten Layer

Номер: US20190035793A1
Принадлежит: MICRON TECHNOLOGY, INC.

A method of forming a semiconductor device includes forming a tungsten layer over a semiconductor substrate in a first chamber, transferring the substrate over which the tungsten layer is formed from the first chamber to a second chamber without exposing into an atmosphere including oxygen, and forming a silicon nitride layer on the tungsten layer in the second chamber. 1: A method of forming a semiconductor device , comprising:forming a tungsten layer over a semiconductor substrate in a first chamber;transferring the substrate over which the tungsten layer is formed from the first chamber to a second chamber without exposing into an atmosphere including oxygen; andforming a silicon nitride layer on the tungsten layer in the second chamber.2: The method of claim 1 , wherein the silicon nitride layer is a silicon rich nitride layer.3: The method of claim 2 , wherein a tungsten nitride layer is provided between the silicon rich nitride layer and the tungsten layer when the tungsten layer is formed.4: The method of claim 3 , further comprising;after the silicon nitride layer is formed, exposing the silicon nitride layer into the atmosphere including oxygen, thereby being converted a portion of the silicon nitride layer into a silicon oxynitride layer; andheating the semiconductor substrate including the silicon nitride layer and the tungsten nitride layer such that nitride in the tungsten nitride layer is absorbed form the silicon nitride layer, thereby the silicon oxynitride layer being in contact with the tungsten layer without intervening the tungsten nitride layer.5: The method of claim 4 , wherein the silicon rich nitride layer is formed by sputtering method.6: The method of claim 5 , wherein the sputtering is performed by using a silicon target under atmosphere of argon and nitrogen.7: The method of claim 6 , wherein the sputtering is performed by setting a high frequency output at a first power and then setting the high frequency output at a second power higher ...

Подробнее
30-01-2020 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20200035496A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a chamber having a gas inlet and a gas outlet; a plasma generator; and a controller configured to cause: (a) providing a substrate including a silicon-containing film and a mask formed on the film; (b) etching the silicon-containing film through the mask to the first depth, thereby forming a recess in the silicon-containing film; (c) forming a protection film at least on the mask and a side wall of the recess formed on the silicon-containing film after (a); and (d) etching the silicon containing film through the mask to a second depth, the second depth being greater than the first depth.

Подробнее
30-01-2020 дата публикации

Processing apparatus

Номер: US20200035497A1
Принадлежит: Tokyo Electron Ltd

A processing apparatus includes a chamber having a gas inlet and a gas outlet; a plasma generator; and a controller configured to cause: (a) etching a silicon-containing film to a first depth with a first plasma in the chamber, thereby forming a recess in the silicon-containing film; (b) forming a protection film on a side wall of the recess with a second plasma in the chamber, the protection film having a first thickness at an upper portion of the recess and a second thickness at a lower portion of the recess, the second thickness being smaller than the first thickness; and (c) etching the silicon-containing film to a second depth with the third plasma in the chamber, the second depth being greater than the first depth.

Подробнее
30-01-2020 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR STRUCTURE

Номер: US20200035524A1
Принадлежит:

A method of manufacturing a semiconductor structure includes loading the substrate from a first load lock chamber into a first processing chamber; disposing a conductive layer over the substrate in the first processing chamber; loading the substrate from the first processing chamber into the first load lock chamber; loading the substrate from the first load lock chamber into an enclosure filled with an inert gas and disposed between the first load lock chamber and a second load lock chamber; loading the substrate from the enclosure into the second load lock chamber; loading the substrate from the second load lock chamber into a second processing chamber; disposing a conductive member over the conductive layer in the second processing chamber; loading the substrate from the second processing chamber into the second load lock chamber; and loading the substrate from the second load lock chamber into a second load port. 1. A method of manufacturing a semiconductor structure , comprising:loading a substrate into a first load port;loading the substrate from the first load port into a first load lock chamber;loading the substrate from the first load lock chamber into a first processing chamber;disposing a conductive layer over the substrate in the first processing chamber;loading the substrate from the first processing chamber into the first load lock chamber;loading the substrate from the first load lock chamber into an enclosure filled with an inert gas and disposed between the first load lock chamber and a second load lock chamber;loading the substrate from the enclosure into the second load lock chamber;loading the substrate from the second load lock chamber into a second processing chamber;disposing a conductive member over the conductive layer in the second processing chamber;loading the substrate from the second processing chamber into the second load lock chamber; andloading the substrate from the second load lock chamber into a second load port.2. The method of ...

Подробнее
30-01-2020 дата публикации

Integrated system for semiconductor process

Номер: US20200035525A1
Принадлежит: Applied Materials Inc

Implementations of the present disclosure generally relate to methods and apparatuses for epitaxial deposition on substrate surfaces. More particularly, implementations of the present disclosure generally relate to an integrated system for processing N-type metal-oxide semiconductor (NMOS) devices. In one implementation, a cluster tool for processing a substrate is provided. The cluster tool includes a pre-clean chamber, an etch chamber, one or more pass through chambers, one or more outgassing chambers, a first transfer chamber, a second transfer chamber, and one or more process chambers. The pre-clean chamber and the etch chamber are coupled to a first transfer chamber. The one or more pass through chambers are coupled to and disposed between the first transfer chamber and the second transfer chamber. The one or more outgassing chambers are coupled to the second transfer chamber. The one or more process chambers are coupled to the second transfer chamber.

Подробнее
04-02-2021 дата публикации

Substrate processing apparatus

Номер: US20210035785A1
Принадлежит: ASM IP Holding BV

A substrate processing apparatus having an improved exhaust structure includes a grounded conductive extension portion configured to prevent generation of parasitic plasma in an exhaust space connected to a reaction space. The substrate processing apparatus prevents generation of parasitic plasma in an area, such as the reaction space, other than the reaction space. Thus, power loss may be prevented and a stable plasma process may be achieved.

Подробнее
04-02-2021 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS

Номер: US20210035830A1
Принадлежит:

A semiconductor manufacturing apparatus including at least one load module including a load port on which a substrate container is located, a plurality of substrates being mountable on the substrate container; at least one loadlock module including a loadlock chamber directly connected to the substrate container, the loadlock chamber interchangeably having atmospheric pressure and vacuum pressure, a first transfer robot within the loadlock chamber, and a substrate stage within the loadlock chamber, the plurality of substrates being mountable on the substrate stage; a transfer module including a transfer chamber connected to the loadlock chamber, a second transfer robot within the transfer chamber, and a substrate aligner within the transfer chamber; and at least one process module including at least one process chamber connected to the transfer module. 1. A semiconductor manufacturing apparatus , comprising:at least one load module including a load port on which a substrate container is located, a plurality of substrates being mountable on the substrate container; a loadlock chamber directly connected to the substrate container, the loadlock chamber interchangeably having atmospheric pressure and vacuum pressure,', 'a first transfer robot within the loadlock chamber, and', 'a substrate stage within the loadlock chamber, the plurality of substrates being mountable on the substrate stage;, 'at least one loadlock module including a transfer chamber connected to the loadlock chamber,', 'a second transfer robot within the transfer chamber, and', 'a substrate aligner within the transfer chamber; and, 'a transfer module includingat least one process module including at least one process chamber connected to the transfer module.2. The semiconductor manufacturing apparatus as claimed in claim 1 , wherein:the at least one load module and the at least one loadlock module are aligned on a first row in a first direction in the semiconductor manufacturing apparatus, andthe ...

Подробнее
04-02-2021 дата публикации

Systems and methods for inspection stations

Номер: US20210035834A1

In an embodiment, a workstation includes: a processing chamber configured to process a workpiece; a load port configured to interface with an environment external to the workstation; a robotic arm configured to transfer the workpiece between the load port and the processing chamber; and a defect sensor configured to detect a defect along a surface of the workpiece when transferred between the load port and the processing chamber.

Подробнее
11-02-2016 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20160042985A1
Автор: Yamada Nobuhide
Принадлежит:

A substrate processing apparatus includes a mounting unit on which a substrate is mounted, a light generation and detection unit that forms an optical path parallel to a surface of the substrate at a location separated from the surface of the substrate by a predetermined distance and is capable of detecting shielding of the optical path, and a control unit that controls movement of at least one of the mounting unit and the optical path to control relative movement between the optical path and the substrate in a state where parallelism between the optical path and the surface of the substrate is maintained. 1. A substrate processing apparatus comprising:a mounting unit on which a substrate is mounted;alight generating and detection unit that forms an optical path parallel to a surface of the substrate at a location separated from the surface of the substrate by a predetermined distance and is capable of detecting shielding of the optical path; anda control unit that controls movement of at least one of the mounting unit and the optical path to control relative movement between the optical path and the substrate in a state where parallelism between the optical path and the surface of the substrate is maintained.2. The substrate processing apparatus according to claim 1 , further comprising:a processing chamber that accommodates the substrate when processing is performed on the substrate; anda transfer chamber configured to allow transfer of the substrate therethrough between a container accommodating the substrate and the processing chamber,wherein the light generating and detection unit is provided in the transfer chamber.3. The substrate processing apparatus according to claim 2 , wherein the mounting unit is movable between the processing chamber claim 2 , the transfer chamber claim 2 , and the container.4. The substrate processing apparatus according to claim 3 , whereinwhen the light generating and detection unit detects shielding of the optical path, the control ...

Подробнее