Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 265. Отображено 152.
25-02-2015 дата публикации

Multistation multi-indexing vertical winding machine

Номер: CN104377910A
Автор: SHU HAO
Принадлежит:

The invention discloses a multistation multi-indexing vertical winding machine which comprises a rack, a vertical coil holder, a winding assembly, a multi-shaft linkage coil drawing device and a workbench assembly. The vertical coil holder, the winding assembly, the multi-shaft linkage coil drawing device and the workbench assembly are arranged on the rack. The coil outlet end of the vertical coil holder corresponds to the coil inlet end of the winding assembly. The coil drawing end of the multi-shaft linkage coil drawing device corresponds to the coil winding end of the winding assembly. The workbench assembly is arranged at the position, corresponding to the winding assembly, of the lower side of the winding assembly, and the workbench assembly comprises a workbench, at least one rotatable bearing table is arranged on the workbench, and coil hanging cups are arranged on the bearing tables. According to the multistation multi-indexing vertical winding machine, the bearing tables are arranged ...

Подробнее
04-08-2020 дата публикации

Wheeled crane and self-demounting and self-mounting methods for supporting legs thereof

Номер: US0010730724B2

A wheeled crane and self-demounting and self-mounting methods for supporting legs thereof is disclosed. The wheeled crane includes a vehicle frame and auxiliary supports, and the auxiliary supports can enable the vehicle frame to keep balance. Since the wheeled crane has auxiliary supports, when the supporting legs are demounted or mounted, the auxiliary supports function as supporting legs, so that the supporting legs can be mounted and demounted by the operation part of the wheeled crane with no need of using an auxiliary crane, and thus the self-mounting and self-demounting of the supporting legs of the wheeled crane are realized.

Подробнее
05-06-2020 дата публикации

Roller bearing block of heating furnace

Номер: CN0210686712U
Автор: SHU HAO

The embodiment of the utility model discloses a roller bearing block of a heating furnace. Bearing block body, wherein a gas through hole is formed in the bearing block body; the air inlet of the airthrough hole is formed in the front end of the bearing block body, the air outlet of the air through hole is located in the end face where the bearing is installed, the air pipe is installed in the air through hole, the air pipe is in interference fit with the air through hole, the air blowing cooling function is added, and meanwhile heat in the heating furnace can be prevented from being lost.

Подробнее
25-03-2015 дата публикации

Wheeled crane and self-detaching and self-mounting method of supporting legs of wheeled crane

Номер: CN104444850A
Принадлежит:

The invention discloses a wheeled crane and a self-detaching and self-mounting method of supporting legs of the wheeled crane and relates to the field of engineering machinery. The method is used for conveniently detaching and mounting the supporting legs on the wheeled crane. The wheeled crane comprises a frame and the supporting legs and also comprises an auxiliary support, wherein the supporting legs are detachably connected with the frame; the auxiliary support is connected with the frame and is positioned nearby the supporting legs; and the supporting legs are to-be-mounted supporting legs and to-be-detached supporting legs on the wheeled crane. According to the wheeled crane provided by the technical scheme, due to the auxiliary support, when the supporting legs are detached or mounted, the auxiliary support can achieve the effects of the supporting legs, so that the supporting legs can be mounted and detached by utilizing an operating part of the wheeled crane, an auxiliary crane ...

Подробнее
30-06-2023 дата публикации

Vehicle control method and device, vehicle and readable storage medium

Номер: CN116361762A
Принадлежит:

The invention provides a vehicle control method and device, a vehicle and a readable storage medium. The vehicle control method comprises the steps of obtaining an authentication result of a vehicle key; acquiring identity authorization information under the condition that the vehicle key passes the authentication; collecting biological characteristics of the target object; detecting whether the biological characteristics meet the identity authorization information or not; and under the condition that the biological characteristics meet the identity authorization information, an unlocking instruction is sent, and the unlocking instruction is used for unlocking starting locking of the vehicle. According to the technical scheme provided by the embodiment of the invention, through dual authentication of the vehicle key and the identity feature of the target object, even if the vehicle key is lost, other unauthorized objects cannot start the vehicle only by means of the vehicle key, so that ...

Подробнее
25-02-2015 дата публикации

Wire reel component of vertical winding machine

Номер: CN104377907A
Автор: SHU HAO
Принадлежит:

The invention discloses a wire reel component of a vertical winding machine. The wire reel component comprises a wire reel and a wire winding rod. The upper side of the wire reel is an upper installation disk, the lower side of the wire reel is a lower installation disk, and a connection portion is arranged between the upper installation disk and the lower installation disk. The wire winding rod is arranged on the lower installation disk in the vertical direction, and a wire outlet head portion is arranged at the bottom end of the wire winding rod. A wire connecting channel is arranged in the connection portion, and a wire outlet channel is arranged in the wire winding rod. An opening of the upper end of the wire connecting channel is formed in the upper installation disk, and the lower end of the wire connecting channel is connected with the wire outlet channel at the lower installation disk; an opening of the lower end of the wire outlet channel is communicated with the wire outlet head ...

Подробнее
18-05-2011 дата публикации

Dense medium cyclone

Номер: CN0101176864B
Принадлежит:

The invention relates to a dense medium coal dressing device, in particular to an un-pressurized feeding dense medium cyclone with large diameter capable of separating three products. The invention is characterized in that: the axis of a first section of the cyclone is parallel with the axis of a second section of the cyclone, and the angle between the axis and the horizontal plane is 15 to 30 DEG; the first section of the cyclone is connected with the second section of the cyclone through a connecting hose, and the angle between the connecting hose and the horizontal plane is 0 to 90 DEG. The invention has the advantages that: firstly, the pressure loss when the material enters into the second section of the cyclone from the first section of the cyclone is reduced, pressure conditions of the separation of the second section of the cyclone are guaranteed, meanwhile the material entering into the second section of the cyclone can also be stabilized; secondly, the turbulent flow is reduced ...

Подробнее
25-02-2015 дата публикации

Work table component of vertical winding machine

Номер: CN104377905A
Автор: SHU HAO
Принадлежит:

The invention discloses a work table component of a vertical winding machine. The work table component comprises a work table, a work table drive motor, an indexing control lifting frame, an indexing control lifting cylinder and an indexing control motor. At least one rotatable bearing platform is arranged on the work table and provided with a wire hanging cup; the work table is driven by the work table drive motor to rotate, the indexing control motor is arranged on the indexing control lifting frame, and the indexing control lifting frame is controlled by the indexing control lifting cylinder to go up and down; after the indexing control lifting frame goes upwards, the bearing platforms are matched with the indexing control motor and driven by the indexing control motor to rotate. According to the work table component of the vertical winding machine, the multiple bearing platforms can be provided, multi-station processing can be achieved, the wire hanging cups can rotate along with the ...

Подробнее
04-04-2023 дата публикации

Hydropower station machine room temperature early warning system

Номер: CN115903943A
Принадлежит:

The invention discloses a hydropower station machine room temperature early warning system, which comprises an early warning system, a temperature adjusting module, a control module connected with the temperature adjusting module, a data processor connected with the control module, a plurality of groups of temperature acquisition modules connected with the data processor, and a display module connected with the control module, and the data input unit is connected with the data processor. The system has the advantages that the temperature data in the machine room are detected in real time through the multiple sets of temperature sensors, judgment is carried out according to the preset high-temperature threshold value and the preset low-temperature threshold value, early warning is carried out through the display screen, and stable operation of equipment in the machine room is guaranteed.

Подробнее
03-06-2015 дата публикации

Two-dimensional network-on-chip topological structure and routing method

Номер: CN104683242A
Принадлежит:

The invention discloses a two-dimensional network-on-chip topological structure and a routing method. The network topological structure comprises a routing node and a flow controller, wherein the packet routing selection is implemented by the router and the flow controller. Based on the topological structure, the routing method comprises the following specific steps: 1, receiving the packet by the routing node; 2, judging the source of the packet; 3, classifying coordinates of the routing node; 4, injecting the local packet in even number; 5, injecting the local packet in odd number; 6, classifying and forwarding the packet; 7, receiving the packet from the routing node by the flow controller; 8, distributing the priority; 9, distributing a link resource; 10, outputting the resource to the next routing node. The routing method is a deadlock-free self-adaptive routing method with the shortest path. The two-dimensional network-on-chip topological structure disclosed by the invention has the ...

Подробнее
20-01-2016 дата публикации

Hoist has surpassed from dismouting strutting arrangement

Номер: CN0204980951U
Принадлежит:

The utility model discloses a hoist has surpassed from dismouting strutting arrangement, support fixed connection is on the frame, vertical runing rest passes through the fastener and links to each other with the support, adjust gasket fixed mounting on the support, the horizontal rotation support passes through the second fastener and links to each other with vertical runing rest, horizontal rotation support top fixedly connected with guide rail, pinhole phase -match on round pin lock and the vertical runing rest, which has the advantages of simple structure, the hoist has surpassed from dismouting strutting arrangement that the practicality is strong, before not influencing under the swing landing leg circumstances of putting back, the installation and dismantlement in -process that are surpassing from the dismantlement formula, rear one side for having surpassed provides the holding power.

Подробнее
16-05-2023 дата публикации

Pulverized coal preparation system and method for realizing accurate pulverization temperature control

Номер: CN116116550A
Принадлежит:

The invention relates to a pulverized coal preparation system and method for realizing accurate pulverization and temperature control, and belongs to the technical field of pulverized coal preparation. Comprising a PLC control system, a drying gas system, a coal blending system, a raw coal bunker, an electronic weighing type coal feeder, a coal mill, a pulverized coal collecting system, a pulverized coal bunker and an air draft system. The speed sensor is used for converting the weight of materials loaded on the weighing belt and the running speed of the belt into electric signals; the PLC control system calculates the moisture of the mixed raw coal according to the moisture of each coal type and the matching data; then calculating a theoretical weighing rate of the electronic weighing type coal feeder according to a pulverized coal preparation rate set by the moisture of the mixed raw coal and the average moisture of the pulverized coal; the electronic weighing type coal feeder performs ...

Подробнее
04-04-2023 дата публикации

Method for preventing material oxidation and storage tank

Номер: CN115892762A
Принадлежит:

The invention discloses a method for preventing materials from being oxidized, which comprises the following steps of: introducing inert gas into a container for containing the materials, and maintaining the reading of air pressure in the container to be 0.01-3.50 Mpa; the temperature in the container is maintained at 40.0-60.0 DEG C, and meanwhile, the materials are in a stirring state. The invention further provides the storage tank. According to the method and the storage tank, the tobacco powder or the tobacco powder slurry stored in the inner tank can be protected, and storage and protection of the tobacco powder or the tobacco powder slurry are achieved; the problems that in the prior art, the content of tobacco characteristic aroma substances in a product is reduced and unpleasant smell is generated due to the fact that the aroma substances in tobacco raw materials and oxygen in air are subjected to oxidation reaction are solved.

Подробнее
20-01-2016 дата публикации

Fixed leg structure, frame and wheel crane

Номер: CN0204980952U
Принадлежит:

The utility model discloses a fixed leg structure, frame and wheel crane relates to engineering machinery for realize the reliability and the lightweight of fixed leg structure. This fixed leg structure includes preceding riser subassembly, middle riser subassembly and back riser subassembly, one of them board subassembly is formed by the dull and stereotyped welding of the polylith of different thickness in preceding riser subassembly, middle riser subassembly and the back riser subassembly, each flat plate thickness is confirmed by the magnitude of load that it bore the weight of. Above -mentioned technical scheme according to the atress size at different positions, arranges that the board of different riser subassemblies is thick, and every riser subassembly is formed by two or the different panel butt joint of polylith thickness to the performance that makes every bulk material can both obtain full play, realizes reliability and light -weighted unity.

Подробнее
27-05-2015 дата публикации

Preparation technique of seamless steel tube for perforating guns

Номер: CN104651725A
Принадлежит:

The invention discloses a preparation technique of a seamless steel tube for perforating guns. The technique comprises the following steps: (a) proportioning the following components in percentage by mass: 0.22%-0.3% of C, 0.2%-0.4% of Si, 0.4%-1.0% of Mn, greater than 0 and smaller than or equal to 0.012% of P, greater than 0 and smaller than or equal to 0.005% of S, 0.3%-0.9% of Cr, 0.02%-0.1% of Nb, 0.4%-1% of Mo, 0.02%-0.1% of V, 0.01%-0.04% of Al, and the balance of Fe and inevitable impurities; smelting, and carrying out continuous casting to obtain a tube blank; and (b) rolling the seamless steel tube on a CPE unit. The technique can enhance the collapsing strength of the tube body (capable of resisting 200MPa pressure), so that the tube body can be used at 200 DEG C. The technique enhances the impact toughness of the tube body, thereby preventing the tube body from cracking due to perforation.

Подробнее
08-08-2023 дата публикации

System and method capable of realizing full-automatic balanced coal powder calling

Номер: CN116555504A
Принадлежит:

The invention relates to a system capable of realizing full-automatic balanced coal powder calling and a method thereof, and belongs to the field of coal metallurgy. Comprising a plurality of pulverized coal bunkers, each pulverized coal bunker is connected with two pulverized coal feeding tanks, and each pulverized coal feeding tank is provided with a level gage, a pulverized coal feeding tank pressure gage, an air inlet adjusting valve, a fluidizing valve and an exhaust valve; the powder feeding tanks are connected with the coal outlet branch pipes; the coal outlet branch pipes are connected with the same coal outlet main pipe; the coal outlet main pipe is connected with the coal return main pipe, the coal return main pipe is connected with each pulverized coal bunker, each coal return branch pipe is provided with a coal flow regulating valve and a pulverized coal flow meter, and the pulverized coal feeding pipeline is provided with a pulverized coal feeding pipeline pressure gauge; a ...

Подробнее
13-06-2023 дата публикации

Drying method and device

Номер: CN116250643A
Принадлежит:

The invention provides a drying method which comprises the following steps: materials are simultaneously dried under the action of hot air and microwaves, the drying temperature is 130-140 DEG C, and the air pressure is 0.1-0.3 Mpa. The invention further provides a drying device. According to the drying method and device, the drying efficiency is high, the equipment size is small, energy consumption is low, maintenance is easy and fast, the drying efficiency can be improved, and the drying method and device are particularly suitable for drying the tobacco sheets.

Подробнее
02-06-2023 дата публикации

Triazinone production wastewater treatment process

Номер: CN116199357A
Принадлежит:

The invention discloses a triazinone production wastewater treatment process which mainly comprises the following steps: primary chemical separation, primary reverse reaction, alkali recovery phase treatment, secondary chemical separation, secondary reverse reaction, acid recovery phase treatment, complexing treatment, catalytic oxidation treatment and the like. In each step of treatment, the wastewater phase can be fully separated, so that the wastewater is maximally separated, and the separated impurities are treated through combustion and agricultural water-logged compost, thereby achieving the effect of zero emission, completely meeting the national policy requirements, belonging to an environment-friendly treatment process, and being suitable for large-scale popularization and application.

Подробнее
21-07-2023 дата публикации

Embedded transmission type uniform paperboard line pressing humidifier

Номер: CN116463884A
Автор: WANG XIANJUN, SHU HAO
Принадлежит:

The invention discloses an embedded transmission type uniform paperboard line pressing humidifier, and relates to the technical field of paperboard processing, the embedded transmission type uniform paperboard line pressing humidifier comprises a humidifying outer cylinder and a mounting bracket, a stepping motor is mounted on the mounting bracket, the output end of the stepping motor is connected with a driving rotating rod, and the other end of the driving rotating rod is fixed with the axis end of the humidifying outer cylinder; the humidifying device is characterized in that a circle of felt pad is pasted on the outer side face of the humidifying outer cylinder, a plurality of outer cylinder water through holes are evenly formed in the periphery of the humidifying outer cylinder, a two-way ball screw coaxial with the humidifying outer cylinder is arranged in the humidifying outer cylinder, a connecting square strip is connected to the outer side face of the two-way ball screw, one end ...

Подробнее
16-04-2014 дата публикации

Frame structure of tire crane

Номер: CN103723637A
Принадлежит:

The invention relates to a frame structure of a tire crane. The frame structure comprises a front frame section, a rear front section and a rotating support, wherein the rear frame section adopts an inverted trapezoidal box type structure, and the width of the upper part of the inverted trapezoidal box type structure is larger than that of the lower part; the rear frame section adopts an integrated structure; and the rotating support is a pop-up type rotating support which is arranged in the middle of the top of the rear frame section. According to the frame structure of the tire crane, a cross section is inverted trapezoidal, so that anti-bending inertia moment and anti-torque inertia moment of the frame structure are improved, and further, the load-lifting capacity of the crane is improved on the premise that the width and the weight of a crane body are not increased; and moreover, the pop-up rotating support is adopted to replace a gravity center sinking type rotating support in the ...

Подробнее
23-06-2023 дата публикации

Decompression buffering device and method for injection tank

Номер: CN116287506A
Принадлежит:

The invention belongs to the field of blast furnace steelmaking, and relates to an injection tank pressure relief buffer device and method. Comprising a pressure relief regulating valve arranged between a pressure relief main valve and a pulverized coal bunker; the two ends of the pressure relief adjusting valve are connected with a bypass pressure relief pipeline in parallel, and an auxiliary pressure relief valve is arranged on the bypass pressure relief pipeline. A pressure equalizing pipeline is also arranged between the pressure relief main valve and the pressure relief regulating valve, and is connected with a high-pressure nitrogen port through a pipeline pressure equalizing valve; a pressure transmitter I is arranged at the joint of the pressure equalizing pipeline and the pressure relief main pipeline; the injection tank is provided with a pressure transmitter II; a diameter-expanding pipeline I is arranged at the outlet end of the auxiliary pressure release valve; a third diameter ...

Подробнее
15-07-2015 дата публикации

Configurable radar digital signal processor and processing method adopting same

Номер: CN104777456A
Принадлежит:

The invention discloses a configurable radar digital signal processor and a processing method adopting the same. The configurable radar digital signal processor comprises eight modules, wherein a control logic module is used for receiving external configuration information and generating four ways of control signals, wherein a first way of control signal controls an address generation module to generate address read/write address signals of a butterfly coefficient module and an external storage module; a second way of control signal controls a data adjusting module to adjust data and transmit the data to a data distribution module; a third way of control signal controls the data distribution module to distribute the data to a multiplication tree module and a data post-processing module; a fourth way of control signal controls the data post-processing module to process the data and transmit the data to the data adjusting module and the external storage module; the butterfly coefficient module ...

Подробнее
30-12-2015 дата публикации

Adjustable counter weight strutting arrangement and use device's hoist

Номер: CN0204917773U
Принадлежит:

The utility model discloses an adjustable counter weight strutting arrangement, it includes: a bearing structure and connected to the frame part for moving about the counter weight installation, bearing structure is including falling trapezoid support (3), the connected to the frame part includes connecting plate (21) and support (22), fall connecting plate (21) and support (22) the detachable connection of trapezoid support (3) bottom and connected to the frame part, wherein, trapezoid support (3) the upper portion of falling after the installation is higher than the height of swing landing leg, and the while still includes use the device's hoist, the utility model discloses can prevent the large -tonnage hoist of K type and X -shaped landing leg, when the installation of carrying out the activity counter weight, the activity counter weight bumps with the swing landing leg, quick, convenient, the safe assembly of realization activity counter weight.

Подробнее
25-02-2015 дата публикации

Wire hooking arm for winding machine

Номер: CN104370158A
Автор: SHU HAO
Принадлежит:

The invention discloses a wire hooking arm for a winding machine. The wire hooking arm for the winding machine comprises a fixed mount, a wire cutting cylinder, a wire hooking cylinder and a fixing and connecting arm, wherein the wire cutting cylinder, the wire hooking cylinder and the fixing and connecting arm are fixed on the fixed mount, a wire cutting component is arranged at the flexible end of the wire cutting cylinder, a wire hooking component is arranged at the flexible end of the wire hooking cylinder, a fixing plate is arranged at the front end of the fixing and connecting arm, the wire cutting component comprises a clamping block and a wire cutting block, the wire hooking component comprises a wire hooking connecting arm and a wire clamping block, the wire clamping block is arranged between the fixing plate and the clamping block and is provided with a wire cutting groove matched with the wire cutting block, and the depth of the wire cutting groove is larger than the maximum ...

Подробнее
09-06-2023 дата публикации

Tobacco flavor prepared from tobacco stems as well as preparation method and application of tobacco flavor

Номер: CN116235984A
Принадлежит:

The invention discloses a method for preparing tobacco flavor by using tobacco stems, which comprises the following steps: treating the tobacco stems by using enzyme, taking residues, drying, grinding, dissolving in an organic solvent, extracting, filtering, adding acid to separate out lignin, separating, and drying to obtain enzymatic hydrolysis lignin; treating the enzymatic hydrolysis lignin by using trichoderma paclitaxel to obtain modified lignin; and carrying out thermal cracking on the modified lignin to obtain the tobacco flavor. The invention further provides tobacco flavor and application thereof. According to the application, the tobacco stem is used as a starting raw material to prepare the tobacco flavor, the tobacco stem is subjected to enzymolysis, modification, thermal cracking and the like to prepare the glycoside precursor-aroma compound, the glycoside precursor-aroma compound is added into cigarettes to obtain specific aroma of the cigarettes, and resources can be fully ...

Подробнее
25-02-2015 дата публикации

Wire breakage protective device for vertical type winding machine

Номер: CN104370162A
Автор: SHU HAO
Принадлежит:

The invention discloses a wire breakage protective device for a vertical type winding machine. The wire breakage protective device for the vertical type winding machine comprises a connecting rod and a knob. One end of the connecting rod is arranged on the knob and the other end of the connecting rod is provided with a connecting rod wire penetrating hole corresponding to the wiring direction. By the adoption of the wire breakage protective device for the vertical type winding machine, when the device works, a wire penetrates through the connecting rod wire penetrating hole and the connecting rod is kept horizontal under the effect of the wire; when the wire is broken, the end, with the connecting rod wire penetrating hole, of the connecting rod falls under the effect of gravity, the connecting rod drives the knob to rotate, a wire breakage protective switch is triggered, and the machine stops operating. The wire breakage protective device is simple, practical and accurate in wire breakage ...

Подробнее
09-06-2023 дата публикации

System and method for enhancing track of moving object based on grating array

Номер: CN116244569A
Принадлежит:

The invention provides a moving object track enhancement system and method based on a grating array. In the system, an acquisition module is used for acquiring an original vibration signal based on the grating array; the filtering module is used for filtering the original vibration signal so as to remove the environmental noise signal and obtain a filtered signal; the matrix generation module is used for performing signal data combination on the filtering signals to form a multi-dimensional space-time signal matrix about grating points and sampling time; the noise elimination module is used for performing comparative analysis and local processing on the multi-dimensional space-time signal matrix to eliminate abnormal grating noise and accompanying noise; and the track enhancement module is used for performing Radon transformation on the signal after noise elimination so as to enhance the track of the moving object. According to the method, non-correlation noise signals in grating array ...

Подробнее
27-06-2023 дата публикации

Portable construction site distance measuring device for bridge engineering design

Номер: CN116336339A
Принадлежит:

The invention discloses a portable construction site distance measuring device for bridge engineering design, and the device comprises a measuring box main body, the bottom of the measuring box main body is provided with a fixed plate, the outer surface of the fixed plate is provided with three groups of supporting legs, one ends, away from the fixed plate, of the three groups of supporting legs are provided with installation base plates, and the bottoms of the installation base plates are provided with movable wheels. A limiting sleeve is fixed to the bottom of the fixing plate, a fixing device is inserted into the limiting sleeve, an adjusting device is installed at the top of the fixing plate, an angle adjusting mechanism is installed at the top end of the adjusting device, a mounting table is arranged in the angle adjusting mechanism, a rotating device is fixed to the top of the mounting table, and a measuring display screen is arranged at one end of the measuring box body. The angle ...

Подробнее
01-04-2015 дата публикации

Novel material capable of imitating multiple ornamental sculpture stone materials and manufacturing process of novel material

Номер: CN104478390A
Автор: SHU HAO
Принадлежит:

The invention discloses a novel material capable of imitating multiple ornamental sculpture stone materials. The novel material is prepared from a coagulation material and natural stone sand in percentage by weight, wherein the coagulation materials comprises the following raw materials in percentage by weight: sulfate aluminum cement clinker, white stone, calcium carbonate powder, high-class talcum powder, a super plasticizer, color powder and a thickening time control agent; the natural stone sand comprises the following raw materials in percentage by weight: pure white sand with the grain fineness of 1-2 mm, pure white sand with the grain fineness of 0.2-0.5 mm and colored sand with the grain fineness of 1-2 mm; the colored sand comprises one or two or more of pure red sand, pure pulp sand, pure blue sand and sand of other colors. The raw materials are finely grinded and compounded to obtain a coagulation material which is white in color, short in coagulation time and high in strength ...

Подробнее
15-09-2010 дата публикации

Method and apparatus for demoulding precise forming part

Номер: CN0101347831B
Принадлежит:

The invention discloses a demoulding method of a precise forming component and a device thereof, wherein, the method is that a mould core of a mould is first rotated correspondingly to the forming component, so as to eliminate the adhesive force between the forming surface of the forming component and the mould core, then the forming component is pushed out from a mould cavity of the mould, thereby finishing the process of demoulding; the demoulding device consists of a mould core rotary sleeve (1), a mandril (2) and a concave mould sleeve (3), wherein, the mould core rotary sleeve (1) is connected with the mould core (6) that is arranged in a concave mould (5) by a spiral pair (4); the mould core rotary sleeve (1) is connected with the concave mould sleeve (3) by a moving pair (7); the top end of the mandril (2) props up the bottom of the mould core rotary sleeve (1). Compared with the prior art, the invention has the advantages of high forming precision, simple structure, reliable action ...

Подробнее
25-08-2023 дата публикации

Line quick connection device for maintenance of flooded factory building

Номер: CN116646750A
Принадлежит:

The invention discloses a line quick connection device for maintenance of a flooded plant. The line quick connection device comprises a containing unit, a shell, a contact arranged on one side of the shell, a butt joint opening formed in one side of the contact, a line supporting assembly and an arc groove, wherein the line supporting assembly and the arc groove are arranged on the side wall of the shell in a penetrating mode. The device has the advantages that two lines can be lapped more quickly and conveniently, the fatigue strength of personnel is reduced, the device is firm and reliable in wiring, the circuits can be started and stopped at any time, and the service life of the device is prolonged. Potential safety hazards caused by exposure of the copper core can be avoided during lap joint, the device can be repeatedly used, and it is guaranteed that a system of a plant flooded with water can be maintained in time.

Подробнее
02-07-2014 дата публикации

Real-time block floating point frequency domain four-route pulse compressor and pulse compression method thereof

Номер: CN103901405A
Принадлежит:

The invention discloses a real-time block floating point frequency domain four-route pulse compressor and a pulse compression method thereof, and the problems that the existing pulse compression technology is long in time delay and poor in reusability are solved. The pulse compressor comprises an input data conversion module (1), a block floating point FFT module (2), a four-route matching multiplying module (3), a block floating point IFFT module (4) and an output data conversion module (5). A route of input serial data are converted by the first module (1) to four-route parallel block floating point data which are supplied to the second module (2) for FFT, after FFT, the data are supplied to the third module (3) for four-route matching multiplication, multiplication results are subjected to IFFT by the fourth module (4), and after IFFT, the data are converted by the fifth module (5) to be a route of serial fixed-point data or a route of serial floating-point data which are used as output ...

Подробнее
25-02-2015 дата публикации

Winding component of vertical winding machine

Номер: CN104377908A
Автор: SHU HAO
Принадлежит:

The invention discloses a winding component of a vertical winding machine. The winding component comprises a reel, a lifting cylinder, a winding lifting frame, a drive cylinder, a wire spool and a winding mold. The lifting cylinder and the drive cylinder are both arranged on the reel, the winding lifting frame is arranged on the reel in a sliding mode, and the wire spool is rotatably arranged on the reel; the winding lifting frame is driven by the lifting cylinder to go up and down, the wire spool is driven by the drive cylinder to rotate, and a wire winding rod is arranged on the wire spool; the winding mold is arranged on the position, corresponding to the wire spool and the wire winding rod, of the lower end of the winding lifting frame, and the winding mold goes up and down along with the winding lifting frame. According to the winding component of the vertical winding machine, the winding lifting frame is driven by the lifting cylinder to go up and down, the wire spool is driven by ...

Подробнее
25-03-2015 дата публикации

Thermal treatment protection device for eliminating oxidation of inner surface of steel pipe and using method of thermal treatment protection device

Номер: CN104451099A
Принадлежит:

The invention relates to a thermal treatment protection device for eliminating oxidation of the inner surface of a steel pipe. The thermal treatment protection device comprises an inflating end cover part, the steel pipe and an exhaust end cover part; the inflating end cover part and the exhaust end cover part are respectively and hermetically mounted in inner holes in two ends of the steel pipe. Before thermal treatment, the inflating end cover part and the exhaust end cover part are respectively and hermetically mounted in the inner holes in two ends of the steel pipe to be processed, an inner cavity of the steel pipe is filled with pressure nitrogen by an inflating connection hole in the inflating end cover part and the air in the inner cavity of the steel pipe is exhausted by an exhaust sheet on the exhaust end cover part; then thermal treatment can be carried out. When the steel pipe is subjected to thermal treatment heating, no oxygen is left in the inner cavity of the steel pipe, ...

Подробнее
10-09-2014 дата публикации

Configurable moving-target detector with memory and multiplexing functions and detection method thereof

Номер: CN104035075A
Принадлежит:

The invention discloses a configurable moving-target detector with memory and multiplexing functions and a detection method thereof. The problems that existing moving-target detection is poor in flexibility and high in hardware consumption are mainly solved. The configurable moving-target detector comprises a data conversion module (1), a data distribution module (2), an FFT module (3), an FIR filter set module (4), a data selection module (5) and a bit-slicing processing module (6). The data conversion module (1) converts sequence of serial data obtained through external input, then the data distribution module (2) distributes the data to the FFT module (3) or the FIR filter set module (4) for moving-target detection, the results after detection processing are selected by the data selection module (5) and then are output to the bit-slicing processing module (6) for bit-slicing processing, the bit-slicing data are subjected to sequence conversion through the data conversion module (1) and ...

Подробнее
23-06-2023 дата публикации

Preparation method of solid waste-based insulation board for building

Номер: CN116283228A
Принадлежит:

The invention discloses a preparation method of a solid waste-based insulation board for a building. The method comprises the following steps: S1, uniformly mixing fly ash with calcium bentonite, a pore-forming agent, a binder, water and a forming agent to obtain a mixed material; step S2, carrying out aging treatment on the mixed material; s3, performing pugging treatment on the mixed material subjected to the aging treatment to obtain a fly ash base; step S4, performing tabletting molding on the fly ash base to obtain a fly ash base blank; step S5, carrying out drying treatment on the fly ash-based green body; and step S6, roasting the dried fly ash-based green body to obtain the fly ash-based insulation board. The fly ash-based insulation board provided by the invention is simple in manufacturing process, the used raw materials are environment-friendly and pollution-free, the compressive strength is high, and the thermal insulation performance is good.

Подробнее
22-08-2023 дата публикации

Single-area linkage type paperboard dehumidifier

Номер: CN116623467A
Автор: WANG XIANJUN, SHU HAO
Принадлежит:

The single-area linkage type paperboard dehumidifier comprises a rack, a conveying belt is arranged in the rack, and a hot air conveyor and an air suction pumping machine are arranged above the conveying belt; a main machine connecting plate is arranged between the hot air conveyor and the air suction pumping machine, the two ends of the main machine connecting plate are fixed to the hot air conveyor and the air suction pumping machine respectively, and a horizontally-arranged bidirectional ball screw penetrates through the main machine connecting plate and is connected with the main machine connecting plate. An opening and closing window is hinged to the top of the rack and located above the hot air conveyor and the air suction pumping machine, and when the hot air conveyor or the air suction pumping machine is located above, the top of the hot air conveyor or the air suction pumping machine makes contact with the bottom of the opening and closing window. The hot air conveyor and the air ...

Подробнее
18-04-2023 дата публикации

Safe and efficient injection tank pressure relief system and method thereof

Номер: CN115973778A
Принадлежит:

The invention relates to a safe and efficient injection tank pressure relief system and method, and belongs to the field of metallurgy and coal. According to the scheme, the pulverized coal injection system is composed of a pulverized coal collecting box body, a pulverized coal collecting box body purging device, a pulverized coal unloading valve, a pulverized coal bunker, a bunker top cloth bag, an injection tank, a pressure relief branch pipe, a front pressure relief main valve, a pressure relief branch valve, a rear pressure relief main valve, a pressure relief fan inlet valve, a pressure relief fan, a pulverized coal fan inlet adjusting valve, a pulverized coal fan and the like. And interconnection and intercommunication are realized. Through process optimization and transformation, impact of accessory equipment such as a pulverized coal bunker body and a bunker top cloth bag filtering device in the multi-coal-injection bunker simultaneous system caused by high pressure in the pressure ...

Подробнее
18-04-2023 дата публикации

Mechanical and electric proportional combined control rear axle auxiliary steering system and automobile

Номер: CN115973268A
Принадлежит:

The invention discloses a mechanical and electric proportional combined control rear axle auxiliary steering system which comprises a front axle, rear axles, a controller, an electric proportional valve, a priority valve and a gear pump, the front axle is provided with a first steering angle sensor, the rear axles comprise a plurality of axles, one axle is provided with a second steering angle sensor, and the other axle is provided with a third steering angle sensor. The controller is connected with the first rotation angle sensor, the second rotation angle sensor and the electric proportional valve, the electric proportional valve is connected with a steering flow cylinder of a rear axle, and the controller obtains the rotation angle difference value of the first rotation angle sensor and the second rotation angle sensor and controls the electric proportional valve according to a preset program. The electric proportional valve is provided with a load feedback LS port connected with an ...

Подробнее
06-06-2023 дата публикации

Three-tank coal injection system capable of simultaneously injecting and dispatching pulverized coal and control method

Номер: CN116219096A
Принадлежит:

The invention relates to a three-tank coal injection system capable of simultaneously injecting and dispatching pulverized coal and a control method, and belongs to the field of blast furnace pig iron smelting. Comprising a plurality of pulverized coal preparation systems, and the pulverized coal preparation systems are connected with corresponding pulverized coal bunkers; the pulverized coal bunkers are connected with blast furnace tuyeres through injection tanks; the injection tanks comprise an injection tank I, an injection tank II and an injection tank III; the injection tank I, the injection tank II and the injection tank III are respectively connected with an injection header pipe through a front coal outlet valve and a rear coal outlet valve; the injection header pipe is connected with a blast furnace tuyere sequentially through a coal flow regulating valve, a pulverized coal flow meter, a coal outlet main valve and a pulverized coal distributor in the injection direction of pulverized ...

Подробнее
09-09-2015 дата публикации

Self-adaptive routing method of two-dimensional network-on-chip topological structure

Номер: CN104901899A
Принадлежит:

The invention discloses a self-adaptive routing method of a two-dimensional network-on-chip topological structure, and is used for solving the problems that a conventional two-dimensional network-on-chip routing method cannot effectively avoid congestion nodes or hardware expenditure is large and efficiency is low and thereby causing low network performance when congestion nodes are avoided. The self-adaptive routing method adopts a method of combining injection direction selection and flow controller output port allocation. Specific steps of the self-adaptive routing method provided by the invention are as follows: 1. a local port of a source node receives a data packet; 2. the data packet is injected; 3. a flow controller receives the data packet; 4. a flow controller output port is allocated; 5. a routing node receives the data packet; 6. whether the routing node is a destination node is judged; 7. the routing node calculates an output port direction; and 8. the data packet is transmitted ...

Подробнее
25-07-2023 дата публикации

System and method for improving feeding efficiency of injection tank

Номер: CN116479197A
Принадлежит:

The invention belongs to the field of metallurgy, and relates to a system and method for improving the feeding efficiency of an injection tank, and the system comprises a powder bin, a powder bin top cloth bag mounted at the top of the powder bin, and the injection tank arranged below the powder bin and connected through a coal adding valve; a fan pipeline communicated with the injection tank is further arranged on the top of the powder bin, and a fan inlet stop valve, a pressure gauge, a fan inlet adjusting valve and a coal adding fan are sequentially arranged on the fan pipeline from the injection tank to the powder bin. After the preheating device of the fluidizing gas is arranged in the system, the temperature of the conical part pulverized coal is increased, the activity and fluidizing effect of the conical part pulverized coal are effectively improved, and smooth discharging of the pulverized coal is guaranteed. Through air draft of the coal adding fan and automatic adjustment of ...

Подробнее
25-02-2015 дата публикации

Wire stand of vertical winding machine

Номер: CN104377909A
Автор: SHU HAO
Принадлежит:

The invention discloses a wire stand of a vertical winding machine. The wire stand comprises a fixing frame, a wire outlet end component and at least one set of wire passing component arranged on the fixing frame. The wire outlet end component comprises a wire penetrating block, an upper wire stabilizing device and a wire outlet wheel sequentially arranged in the routing direction correspondingly. Each wire passing component comprises an installation plate, a wire inlet pipe, a lower wire stabilizing device, a wire penetrating plate and an arc wire passing board. The wire inlet pipes, the lower wire stabilizing devices, the wire penetrating plates and the arc wire passing boards are sequentially and correspondingly arranged on the installation plates in the routing direction. The upper ends of the arc wire passing boards correspond to wire penetrating blocks. The wire stand of the vertical winding machine is composed of the fixing frame, the wire outlet end component and the wire passing ...

Подробнее
11-04-2023 дата публикации

In-vehicle device, interaction control method, vehicle, and computer program product

Номер: CN115946530A
Автор: JIN TINGTING, SHU HAO
Принадлежит:

The invention provides vehicle-mounted equipment, an interaction control method, a vehicle and a computer program product, and the vehicle-mounted equipment comprises a processing module and a display module. Wherein the processing module is used for controlling a first display area of a display interface output by the display module to display event description information corresponding to an alarm event when the alarm event occurs in a target vehicle; and in response to a first trigger operation, executing a processing operation corresponding to the alarm event, and controlling a second display area of the display interface to display operation information corresponding to the processing operation.

Подробнее
21-01-2009 дата публикации

Method and apparatus for demoulding precise forming part

Номер: CN0101347831A
Принадлежит:

The invention discloses a demoulding method of a precise forming component and a device thereof, wherein, the method is that a mould core of a mould is first rotated correspondingly to the forming component, so as to eliminate the adhesive force between the forming surface of the forming component and the mould core, then the forming component is pushed out from a mould cavity of the mould, thereby finishing the process of demoulding; the demoulding device consists of a mould core rotary sleeve (1), a mandril (2) and a concave mould sleeve (3), wherein, the mould core rotary sleeve (1) is connected with the mould core (6) that is arranged in a concave mould (5) by a spiral pair (4); the mould core rotary sleeve (1) is connected with the concave mould sleeve (3) by a moving pair (7); the top end of the mandril (2) props up the bottom of the mould core rotary sleeve (1). Compared with the prior art, the invention has the advantages of high forming precision, simple structure, reliable action ...

Подробнее
08-04-2009 дата публикации

Gradient mould-release method and device for wimble tail bulb mould core

Номер: CN0101402106A
Принадлежит:

The invention discloses a gradient demouding method and a gradient demouding device for a conical-tail spherical-head mould core. The gradient demouding method comprises the following steps: a mould provided with the spherical-head mould core is adopted for processing a component with thin-wall, convex and spherical structure characteristics; under the condition of demouding of the component and the die, the component undergoes demouding for two stages; in the first stage, the component is separated from a concave mould of the mould together with the spherical-head mould core; and in the second stage, the component is separated from the spherical-head mould core of the mould, and the tail of the spherical-head mould core is set to take the shape of a conical surface, so that the demouding acting force which is acted on the spherical-head mould core is all acted on the conical surface by means of compressive stress when the component is separated from the spherical-head mould core. The gradient ...

Подробнее
21-04-2023 дата публикации

Magnetic resonance type intelligent wireless charging method, system and device and readable medium

Номер: CN115995866A
Принадлежит:

The invention discloses a magnetic resonance type intelligent wireless charging method, system and device and a readable medium, and the method comprises the steps: obtaining the battery state information of a wireless terminal, and the battery state information comprises the electric quantity information, the working power information and the maximum charging power; determining a first wireless terminal of which the electric quantity is smaller than a preset charging threshold value according to the electric quantity information; determining a charging priority of the first wireless terminal according to the electric quantity information and the working power information; and controlling a charging coil to charge the first wireless terminal with the preset charging power of the first wireless terminal according to the charging priority sequence. And the charging state of the equipment is obtained in real time through wireless communication connection, so that the aim of intelligently managing ...

Подробнее
14-05-2008 дата публикации

Dense medium cyclone

Номер: CN0101176864A
Принадлежит:

Подробнее
22-12-2010 дата публикации

Gradient mould-release method and device for wimble tail bulb mould core

Номер: CN0101402106B
Принадлежит:

The invention discloses a gradient demouding method and a gradient demouding device for a conical-tail spherical-head mould core. The gradient demouding method comprises the following steps: a mould provided with the spherical-head mould core is adopted for processing a component with thin-wall, convex and spherical structure characteristics; under the condition of demouding of the component and the die, the component undergoes demouding for two stages; in the first stage, the component is separated from a concave mould of the mould together with the spherical-head mould core; and in the second stage, the component is separated from the spherical-head mould core of the mould, and the tail of the spherical-head mould core is set to take the shape of a conical surface, so that the demoudingacting force which is acted on the spherical-head mould core is all acted on the conical surface by means of compressive stress when the component is separated from the spherical-head mould core. Thegradient ...

Подробнее
02-05-2023 дата публикации

Preparation method of carbonyl sulfide hollow nano-sphere hydrolysis catalyst

Номер: CN116037091A
Принадлежит:

The invention discloses a preparation method of a carbonyl sulfide hollow nano-sphere hydrolysis catalyst, which comprises the following steps: firstly, preparing glucose carbon spheres through a hydrothermal reaction, then loading aluminum ions on the glucose carbon spheres through an aluminum chloride solution, drying and roasting to obtain Al2O3 hollow spheres, and finally preparing the carbonyl sulfide hollow nano-sphere hydrolysis catalyst. And finally, dipping the Al2O3 hollow spheres in a zirconium nitrate solution, drying and roasting to obtain Zr-coated gamma-Al2O3 hollow spheres, namely the carbonyl sulfide hollow nano-sphere hydrolysis catalyst. The hydrolysis catalyst prepared by the preparation method provided by the invention has relatively high catalytic hydrolysis activity in a low-temperature environment, the catalytic efficiency of the prepared nano hollow sphere hydrolysis catalyst can reach 95% at most when the reaction temperature is 80 DEG C, the industrial cost is ...

Подробнее
25-03-2015 дата публикации

Cab suspension connecting structure and wheel crane

Номер: CN104443071A
Принадлежит:

The invention relates to a cab suspension connecting structure and a wheel crane. The cab suspension connecting structure comprises a front suspension and a rear suspension, air springs are arranged at a left suspending point and a right suspending point of the front suspension so that a crane frame and a cab can be connected, and air springs are arranged at a left suspending point and a right suspending point of the rear suspension so that the crane frame and the cab can be connected. Buffering vibration attenuation elements suspended at the four points of the suspensions of the cab are optimized and improved, the air springs with good nonlinear characteristics are matched to serve as buffering vibration attenuation elements of the cab, random vibration from road surfaces can be absorbed effectively, the vibration amplitude is restrained, and resonance is avoided. Besides, in the cab vibration process, random road surface excitation with a wider frequency band can be absorbed due to rigidity ...

Подробнее
18-06-2014 дата публикации

Air pressure type electronic control parking braking system

Номер: CN103863289A
Принадлежит:

The invention discloses an air pressure type electronic control parking braking system. The air pressure type electronic control parking braking system comprises a control switch, a controller, a solenoid valve and a manual relay valve, wherein the control switch is electrically connected with the first input end of the controller, the output end of the controller is electrically connected with the control end of the solenoid valve, an input port of the solenoid valve is connected with an air reservoir, an output port of the solenoid valve is connected with a control port of the manual relay valve, an air inlet of the manual relay valve is connected with the air reservoir, and an air outlet of the manual relay valve is connected with a brake chamber. According to the air pressure type electronic control parking braking system, the response speed is high, braking operating force is small, operation is convenient, safety of operation can be improved, the utilization rate of the internal space ...

Подробнее
21-03-2013 дата публикации

Method and Apparatus of IP Flow Mobility in 4G Wireless Communication Networks

Номер: US20130070596A1
Принадлежит:

A method to realize IP flow mobility (IFOM) between 3GPP access and non-3GPP access over GTP based interfaces is proposed. A user equipment is connected to a PDN-GW via a 3GPP access network and a non-3GPP access network. The UE transmits an IFOM triggering message to the PDN-GW, which selects IP flows to be moved based on EPS bearer ID and IP flow description. The PDN-GW sends an Update Bearer Request to a WAG or ePDG, and updates its mapping table if the Update Bearer Request is successful. The UE also updates its mapping table upon receiving an IFOM acknowledgement from the WAG or ePDG. The PDN-GW initiates a 3GPP bearer modification procedure to move the selected IP flows. 1. A method comprising:receiving an IP flow mobility (IFOM) triggering message by a packet data network gateway (PDN-GW), wherein the IFOM triggering message comprises an IFOM flag, a target radio access technology (RAT) type, an EPS bearer ID, a Quality of Service (QoS) policy, and a traffic aggregation description (TAD);selecting IP flows based on the IFOM triggering message and transmitting an update bearer request to a wireless access gateway (WAG) or an evolved packet data gateway (ePDG);updating a mapping table having one or more mapping entries if the update bearer request is successful, wherein each mapping entry is updated to indicate to which RAT type each IP flow is directed; andinitiating a 3GPP bearer modification procedure to move the selected IP flows.2. The method of claim 1 , wherein the IP flows are selected based on the TAD describing the IP flows to be moved to the target RAT type.3. The method of claim 1 , wherein the IP flows are selected based on the EPS bearer ID corresponds to a QoS class claim 1 , and wherein all IP flows carried in the EPS bearer ID are to be moved to the target RAT type.4. The method of claim 1 , wherein the mapping table comprises IP flow descriptions claim 1 , and corresponding EPS bearer IDs claim 1 , GTP tunnel IDs claim 1 , RAT types and UE IDs ...

Подробнее
02-05-2013 дата публикации

Electronic device

Номер: US20130108256A1

An electronic device includes a casing defining a first opening and a through hole, a first antenna, a first cover, and a camera module. The first antenna is mounted in the casing and whose position corresponds to the position of the first opening. The first cover is detachably fixed in the first opening and covering the first antenna. The camera module is mounted in the casing and includes a lens module received in the through hole for converging light incident thereon. The first antenna and the camera module are arranged in a first line, the first cover being fixed in the first opening is separated from the camera module by a first predetermined distance in a first direction parallel with the first line.

Подробнее
04-07-2013 дата публикации

METHOD AND APPARATUS FOR MEASURING PERFORMANCE OF AN APPLIANCE

Номер: US20130173219A1

A computer program product and apparatus measure performance of an information appliance. The computer program product comprises code for: a test module receiving, from a client, and recording a request for processing of a sample data by an information appliance. The test module sends the sample data received from the client to the information appliance for processing. If a request to a backend application is present, the test module also sends the request to the backend application for processing and receives and records a response from the backend application. The test module sends the response from the backend application to the information appliance for processing. A generated correlation ID is used to update a performance data table with start time and a stop time of a response for transaction sample data processed by the information appliance. 14-. (canceled)5. A computer-readable storage device having a plurality of instructions embodied therein , wherein the plurality of instructions , when executed by a processing device , enables a machine to:receive and record a request from a client for processing of a sample data by an information appliance;send the request for sample data to the information appliance for processing;determine whether a request to a backend application for processing is present; and send the request to the backend application for processing;', 'receive and record a response from the backend application; and', 'send the response from the backend application to the information appliance for processing., 'in response to determining a request to the backend application is present6. The computer-readable storage device of claim 5 , wherein the response of the backend application and the sample data are stored in a traffic data table (TDT) as a transaction sample data.7. The computer-readable storage device of claim 6 , the plurality of instructions further comprising instructions that enable the machine to:generate a correlation ID and update ...

Подробнее
18-07-2013 дата публикации

DISPLAY PANEL

Номер: US20130181605A1
Принадлежит: E Ink Holdings Inc.

A display panel includes a substrate, a plurality of first signal lines, a plurality of second signal lines, a plurality of pixel units, a plurality of transmitting lines, and a driving chip. The transmitting lines are disposed on the substrate and electrically connected to the second signal lines. The driving chip includes a plurality of first pins, a plurality of second pins, and a driving circuit. The first pins are electrically connected to the first signal lines, and the second pins are electrically connected to the transmitting lines. The first pins and the second pins are disposed alternately and evenly, such that the first signal lines and the transmitting lines do not intersect each other. The transmitting lines are disposed on the substrate evenly. 1. A display panel comprising:a substrate comprising an active area, herein the active area comprises a plurality of pixel blocks;a plurality of first signal lines disposed parallel to each other on the substrate;a plurality of second signal lines disposed parallel to each other on the substrate, and crossing over the first signal lines to define the pixel blocks, wherein there are more of the second signal lines than the first signal lines;a plurality of pixel units respectively disposed within the pixel blocks, and respectively electrically connected to the first signal lines and respectively electrically connected to the second signal lines;a plurality of transmission lines disposed parallel to each other on the substrate, respectively electrically connected to the second signal lines, crossing through two opposite sides of the active area, and parallel to the first signal lines; and a plurality of first pins electrically connected to the first signal lines;', 'a plurality of second pins electrically connected to the transmission lines; and', 'a driver circuit configured to generate a first signal and a second signal, and to respectively transmit the first signal and the second signal to the first pins and ...

Подробнее
05-09-2013 дата публикации

CARRIER EMBEDDED OPTICAL RADIO-SIGNAL MODULATION OF HETERODYNE OPTICAL CARRIER SUPPRESSION

Номер: US20130230328A1
Принадлежит: GEORGIA TECH RESEARCH CORPORATION

Certain implementations of the disclosed technology may include systems, methods, and apparatus for carrier-embedded optical radio-over-fiber (RoF) communications. Example embodiments of the method may include modulating a baseband signal with and an intermediate frequency (IF) and a radio frequency (RF) carrier signal to produce a RF modulated optical signal, transmitting the RF modulated optical signal to a remote access point with an optical fiber, and detecting the transmitted RF modulated optical signal. The method may also include receiving a RF uplink signal and mixing a harmonic of the RF carrier signal with the received RF uplink signal to down-convert the received RF uplink signal to an IF uplink signal. The method may include modulating a second optical source with the IF uplink signal to produce an IF uplink optical signal, transmitting the IF uplink optical signal via an optical fiber, and detecting the IF uplink optical signal. 1. A method for providing carrier-embedded optical radio-over-fiber (RoF) communications , the method comprising:modulating, at a Radio-over-Fiber (RoF) Gateway Router, an electronic baseband signal with an intermediate frequency (IF) signal and a radio frequency (RF) carrier signal to produce a RF modulated optical signal;transmitting, by a first optical fiber, the RF modulated optical signal from the RoF Gateway Router to a remote access point;detecting, at the remote access point, the transmitted RF modulated optical signal to produce an electrical access point signal;filtering the access point signal to pass a harmonic of the RF carrier signal to an uplink section of the access point;receiving a RF uplink signal;mixing the harmonic of the RF carrier signal with the received RF uplink signal to down-convert the received RF uplink signal to an IF uplink signal;modulating a second optical source or light from the second optical source with the IF uplink signal to produce an IF uplink optical signal;transmitting, by a second ...

Подробнее
12-12-2013 дата публикации

BONDING STRUCTURE

Номер: US20130327561A1
Принадлежит: E Ink Holdings Inc.

A bonding structure includes a substrate, multiple first pads, multiple second pads, an insulation layer and a patterned conductive layer. The substrate has a bonding region and a predetermined-to-be-cut region. The first pads are disposed on the substrate and within the bonding region. The second pads are disposed on the substrate and within the predetermined-to-be-cut region. The insulation layer is disposed on the substrate and covers the first and second pads. The insulation layer has multiple first and second openings respectively exposing parts of the first and second pads. The patterned conductive layer is disposed on the substrate and covers the insulation layer and the parts of the first and second pads exposed out by the first and second openings, in which the patterned conductive layer is electrically connected to the first and second pads via the first and second openings. 1. A bonding structure , comprising:a substrate, having a bonding region and a predetermined-to-be-cut region;a plurality of first pads, disposed on the substrate and located within the bonding region;a plurality of second pads, disposed on the substrate and located within the predetermined-to-be-cut region, wherein the first pads and the second pads are not connected to each other;an insulation layer, disposed on the substrate and covering the first pads and the second pads, wherein the insulation layer has a plurality of first openings and a plurality of second openings, the first openings respectively expose out parts of the first pads and the second openings respectively expose out parts of the second pads; anda patterned conductive layer, disposed on the substrate and covering the insulation layer and the parts of the first pads and the parts of the second pads exposed out by the first openings and the second openings respectively, wherein the patterned conductive layer is electrically connected to the first pads and the second pads via the first openings and the second openings.2 ...

Подробнее
06-02-2014 дата публикации

Phase Shift Mask for Extreme Ultraviolet Lithography and Method of Fabricating Same

Номер: US20140038086A1

A mask and method of fabricating same are disclosed. In an example, a mask includes a substrate, a reflective multilayer coating disposed over the substrate, an AgO absorber layer disposed over the reflective multilayer coating, and a tantalum-containing absorber layer disposed over the AgO absorber layer. The tantalum-containing absorber layer is disposed over the AgO absorber layer outside a mask image region of the mask, such that the mask image region of the mask is free of the tantalum-containing absorber layer. In an example, the tantalum-containing absorber layer is disposed over the AgO absorber layer adjacent to the mask image region. 1. A mask comprising:a substrate;a reflective multilayer coating disposed over the substrate;{'sub': '2', 'an AgO absorber layer disposed over the reflective multilayer coating; and'}{'sub': '2', 'a tantalum-containing absorber layer disposed over the AgO absorber layer adjacent to a mask image region.'}2. The mask of wherein the tantalum-containing absorber layer is a TaBN layer.3. The mask of wherein the substrate includes a low thermal expansion material (LTEM).4. The mask of further including a ruthenium-containing buffer layer disposed between the reflective multilayer coating and the AgO absorber layer.5. The mask of further including a silicon-containing capping layer disposed between the reflective multilayer coating and the ruthenium-containing buffer layer.6. The mask of claim 5 , further including a conductive layer disposed over the substrate claim 5 , wherein the reflective multilayer coating is disposed over a first surface of the substrate and the conductive layer is disposed over a second surface of the substrate claim 5 , the second surface being opposite the first surface.7. The mask of wherein the reflective multilayer coating includes a plurality of molybdenum-silicon (Mo—Si) film pairs.8. A phase shift mask comprising:a low thermal expansion material (LTEM) substrate;a reflective multilayer coating ...

Подробнее
20-03-2014 дата публикации

ELECTROLUMINESCENT DEVICE INCLUDING MOISTURE BARRIER LAYER

Номер: US20140077691A1
Принадлежит: NATIONAL CHIAO TUNG UNIVERSITY

An electroluminescent device includes: a substrate; an electroluminescent layered structure disposed over the substrate and including first and second electrode layers and an electroluminescent material layer disposed between the first and second electrode layers; and a moisture barrier layer in contact with the electroluminescent layered structure for preventing moisture from diffusing into the electroluminescent layered structure. The moisture barrier layer includes at least two inorganic films of a silicon-nitrogen-containing compound and at least one polymer film interposed between the inorganic films. 1. An electroluminescent device comprising:a substrate;an electroluminescent layered structure disposed over said substrate and including first and second electrode layers and an electroluminescent material layer disposed between said first and second electrode layers; anda first moisture barrier layer in contact with said electroluminescent layered structure for preventing moisture from diffusing into said electroluminescent layered structure;wherein said first moisture barrier layer includes at least two inorganic films of a silicon-nitrogen-containing compound and at least one polymer film interposed between said inorganic films;wherein said polymer film is made from a plasma polymerized polymer selected from polystyrene, polymethacrylate and polymethylmethacrylate.2. The electroluminescent device of claim 1 , wherein said silicon-nitrogen-containing compound is silicon carbonitride.3. The electroluminescent device of claim 2 , wherein the amount of silicon in said silicon carbonitride ranges from 30 to 52 atomic % claim 2 , the amount of carbon in said silicon carbonitride ranges from 37 to 56 atomic % claim 2 , and the amount of nitrogen in said silicon carbonitride ranges from 11 to 14 atomic %.4. (canceled)5. The electroluminescent device of claim 1 , wherein said substrate is made from a material selected from polyethylene terephthalate claim 1 , ...

Подробнее
20-03-2014 дата публикации

METHOD FOR MEASURING PERFORMANCE OF AN APPLIANCE

Номер: US20140081589A1

A method that measures performance of an information appliance comprises a test module receiving, from a client, and recording a request for processing of a sample data by an information appliance. The test module sends the sample data received from the client to the information appliance for processing. If a request to a backend application is present, the test module also sends the request to the backend application for processing and receives and records a response from the backend application. The test module sends the response from the backend application to the information appliance for processing. A generated correlation ID is used to update a performance data table with start time and a stop time of a response for transaction sample data processed by the information appliance. 1. A method for measuring performance of an information appliance , the method comprising:a test module receiving, from a client, and recording a request for processing of a sample data by the information appliance;the test module sending the request for sample data to the information appliance for processing;the test module determining whether a request to a backend application for processing is present; sending the request to the backend application for processing;', 'receiving and recording a response from the backend application; and', 'sending the response from the backend application to the information appliance for processing., 'in response to determining a request to the backend application is present, the test module2. The method of claim 1 , wherein the response of the backend application and the sample data are stored in a traffic data table (TDT) as a transaction sample data.3. The method of claim 2 , further comprising:the test module generating a correlation ID and updating a performance data table according to the correlation ID;the test module retrieving the transaction sample data from the traffic data table (TDT) and sending the transaction sample data to the ...

Подробнее
20-03-2014 дата публикации

Method of generating assistant feature

Номер: US20140082572A1
Автор: Shu-Hao Chen
Принадлежит: Nanya Technology Corp

A method of generating an assistant feature is provided. A plurality of main features is provided. A first main feature is selected from the main features. A plurality of rule-based features is disposed around the first main feature. A model-based feature is generated around the first main feature. An overlap Boolean feature is extracted from the rule-based features, wherein the overlap Boolean feature overlaps with the model-based feature in an overlap ratio up to a target value. The overlap Boolean feature serves as an assistant feature, and the assistant feature and the first main feature constitute a transfer feature.

Подробнее
10-04-2014 дата публикации

WAFER LEVEL LENS, LENS SHEET AND MANUFACTURING METHOD THEREOF

Номер: US20140098433A1
Принадлежит: HIMAX TECHNOLOGIES LIMITED

A method of manufacturing a lens sheet including following steps is provided. A first structure is provided. The first structure includes a first transparent substrate and a first lens film attached to the first transparent substrate. A second structure is provided. The second structure includes a second transparent substrate and a second lens film. The second transparent substrate has a first surface and a second surface opposite to the first surface. The second lens film is attached to the first surface. The first lens film is attached to the second lens film. A third lens film is formed on the second surface of the second substrate after the first lens film is attached to the second lens film. Moreover, a lens sheet and a wafer level lens are also provided. 1. A method of manufacturing a lens sheet , the method comprising:providing a first structure, wherein the first structure includes a first transparent substrate and a first lens film attached to the first transparent substrate;providing a second structure, wherein the second structure includes a second transparent substrate and a second lens film, the second transparent substrate has a first surface and a second surface opposite to the first surface, and the second lens film is attached to the first surface;attaching the first lens film to the second lens film; andforming a third lens film on the second surface of the second transparent substrate after attaching the first lens film to the second lens film.2. The method of manufacturing the lens sheet as claimed in claim 1 , further comprising:inspecting an alignment condition between the first structure and the second structure to obtain an inspecting result.3. The method of manufacturing the lens sheet as claimed in claim 2 , wherein the method of forming the third lens film on the second surface of the second transparent substrate comprises:forming the third lens film on the second surface of the second transparent substrate according to the inspecting ...

Подробнее
03-01-2019 дата публикации

Multi-Subscriber Identity Module User Equipment and Re-Synchronizing Method Thereof

Номер: US20190007913A1
Принадлежит:

A dual SIM user equipment and a re-synchronizing method thereof are provided. The dual SIM user equipment includes a single radio frequency module, a first SIM and a second SIM. The first SIM connects with a first network via utilizing the single radio frequency module at first. The second SIM then connects with a second network via utilizing the single radio frequency module. The first SIM monitors the second SIM for determining that the second SIM disconnects from the second network. The first SIM then transmits a synchronization information to the first network for re-synchronizing to the first network while the first SIM determines that there is no data to be transmitted to the first network. 1. A re-synchronizing method for use in a multi subscriber identity module (SIM) user equipment which comprises a single radio frequency module , a first SIM and a second SIM , the first SIM connecting with a first network via a first connection by utilizing the single radio frequency module , the re-synchronizing method comprising:(a) interrupting, by the second SIM, the first connection of the first SIM via procedure of establishing a second connection with a second network for releasing the control of single radio frequency module, wherein priority of the second connection is higher than priority of the first connection;(b) establishing, by the second SIM, the second connection with the second network for a communication procedure by utilizing the single radio frequency module;(c) monitoring, by the first SIM, the second SIM for determining that the second SIM finishes the communication procedure with the second network and release the second connection with the second network;(d) initialing, by the first SIM, a synchronization procedure with the first network after step (c) for re-synchronizing to the first network while the first SIM determines that there is no data to be transmitted to the first network.2. The re-synchronizing method of claim 1 , wherein the ...

Подробнее
10-01-2019 дата публикации

METHOD FOR FABRICATING HIGH SAG LENS ARRAY AND HIGH SAG LENS ARRAY

Номер: US20190011608A1
Принадлежит:

The present invention provides a method for fabricating a high sag lens array a high sag lens array fabricated by a semiconductor process. The method comprises: individually jetting an optical glue material into a plurality of lens mold cavities of a mold to form a plurality of lens parts independently; exposing the lens parts to harden the optical glue material in the lens mold cavities; jetting an optical glue layer on the lens parts; forming a transparent substrate on the optical glue layer; exposing the optical glue layer to harden the optical glue layer and combine the transparent substrate, the optical glue layer, and the lens parts; and removing the mold to form the high sag lens array. 1. A method for fabricating a high sag lens array , comprising:individually jetting an optical glue material into a plurality of lens mold cavities of a mold to form a plurality of lens parts independently;exposing the lens parts to harden the optical glue material in the lens mold cavities;jetting an optical glue layer on the lens parts;forming a transparent substrate on the optical glue layer;exposing the optical glue layer to harden the optical glue layer and combine the transparent substrate, the optical glue layer, and the lens parts; andremoving the mold to form the high sag lens array.2. The method of claim 1 , wherein the lens mold cavities have a depth of over 300 micrometers.3. The method of claim 1 , wherein the optical glue material is an ultraviolet (UV) glue material.4. The method of claim 1 , wherein the optical glue layer comprises a UV glue material.5. The method of claim 1 , wherein the transparent substrate is a glass substrate.6. A high sag lens array claim 1 , comprising:a transparent substrate;an optical glue layer, formed on the glass substrate; anda plurality of lenses, formed on the optical glue layer, having a height of over 300 micrometers.7. The high sag lens array of claim 6 , wherein the optical glue layer comprises a UV glue material.8. The high ...

Подробнее
14-01-2021 дата публикации

STABILIZED INTERFACES OF INORGANIC RADIATION PATTERNING COMPOSITIONS ON SUBSTRATES

Номер: US20210011383A1
Принадлежит:

A method is described for stabilizing organometallic coating interfaces through the use of multilayer structures that incorporate an underlayer coating. The underlayer is composed of an organic polymer that has crosslinking and adhesion-promoting functional groups. The underlayer composition may include photoacid generators. Multilayer structures for patterning are described based on organometallic radiation sensitive patterning compositions, such as alkyl tin oxo hydroxo compositions, which are placed over a polymer underlayer. 1. A multilayer structure comprising:a substrate with a surface, an underlayer coating over at least a portion of the substrate surface, and an organometallic resist coating that is radiation sensitive, over at least a portion of the underlayer coating, wherein the underlayer coating comprises a polymer composition with crosslinking moieties and/or adhesion-promoting moieties.2. The multilayer structure of wherein the adhesion between the underlayer coating and the organometallic resist coating is sensitive to radiation.3. The multilayer structure of wherein the polymer composition comprises repeat units with side-chain crosslinking moieties and/or polymers with end-chain crosslinking moieties claim 1 , wherein the repeat units include functionalized acrylates claim 1 , functionalized vinyl ketones claim 1 , functionalized acrylamides claim 1 , other functionalized vinyl or non-vinyl repeat units claim 1 , or mixtures thereof claim 1 , wherein the crosslinking moieties may be terminally functionalized with a hydroxide claim 1 , an ether claim 1 , a glycidyl claim 1 , an epoxide claim 1 , a methoxymethyl urea claim 1 , an acrylate claim 1 , or combinations thereof claim 1 , and wherein the polymer composition has suitable film forming properties from solution.4. The multilayer structure of wherein the repeat units have a structure of formula (1) wherein Ris a hydrogen atom claim 3 , a fluorine atom claim 3 , a methyl group claim 3 , or a ...

Подробнее
21-01-2016 дата публикации

ELECTRONIC PAPER DISPLAY DEVICE

Номер: US20160018715A1
Принадлежит:

An electronic paper display device includes a substrate, a protection sheet, an e-ink (electronic-ink) layer, a first electrode layer, and a second electrode layer. The e-ink layer is located between the substrate and the protection sheet. The e-ink layer has a display area and a surrounding area. The display area is surrounded by the surrounding area. The first electrode layer is located between the e-ink layer and the substrate, and the first electrode layer is corresponding to the display area in position. The second electrode layer is located between the e-ink layer and the substrate, and the second electrode layer is corresponding to the surrounding area in position.

Подробнее
21-01-2021 дата публикации

METHODS OF DEFECT INSPECTION

Номер: US20210018848A1
Принадлежит:

Embodiments of the present disclosure relate to methods for defect inspection. After pattern features are formed in a structure layer, a dummy filling material having dissimilar optical properties from the structure layer is filled in the pattern features. The dissimilar optical properties between materials in the pattern features and the structure layer increase contrast in images captured by an inspection tool, thus increasing the defect capture rate. 1. A method of inspecting defects , comprising:forming openings in a underlying layer by using a patterned photoresist layer as an etching mask, the patterned photoresist layer including at least one defective pattern;forming a filling material layer in the openings and over an upper surface of the underlying layer;performing a planarization operation such that the upper surface of the underlying layer is exposed and the filling material layer remains in the opening; andperforming an inspection operation to find a defective pattern in the underlying layer using an optical inspection tool.2. The method of claim 1 , wherein the planarization operation includes a chemical mechanical polishing (CMP) operation.3. The method of claim 2 , wherein the filling material comprises an organic bottom anti-reflective coating (BARC) material.4. The method of claim 3 , wherein the forming the filling material layer comprises spin coating the BARC material over the underlying layer.5. The method of claim 2 , wherein the underlying layer comprises at least one of amorphous silicon claim 2 , silicon nitride claim 2 , aluminum oxide or hafnium oxide.6. The method of claim 1 , wherein the filling material comprises at least one of silicon oxide claim 1 , amorphous silicon claim 1 , silicon nitride claim 1 , aluminum oxide or hafnium oxide.7. The method of claim 6 , wherein the underlying layer includes a conductive material layer.8. The method of claim 6 , wherein the filling material is formed by one of an atomic layer deposition (ALD) ...

Подробнее
24-04-2014 дата публикации

METHOD AND APPARATUS FOR ULTRAVIOLET (UV) PATTERNING WITH REDUCED OUTGASSING

Номер: US20140111781A1

A method and apparatus for ultraviolet (UV) and extreme ultraviolet (EUV) lithography patterning is provided. A UV or EUV light beam is generated and directed to the surface of a substrate disposed on a stage and coated with photoresist. A laminar flow of a layer of inert gas is directed across and in close proximity to the substrate surface coated with photoresist during the exposure, i.e. lithography operation. The inert gas is exhausted quickly and includes a short resonance time at the exposure location. The inert gas flow prevents flue gasses and other contaminants produced by outgassing of the photoresist, to precipitate on and contaminate other features of the lithography apparatus. 1. An ultraviolet (UV) lithography apparatus comprising:a stage for receiving thereon a substrate to be patterned;an ultraviolet (UV) light source that directs UV light onto a substrate disposed on said stage;a gas delivery source that causes an inert gas to flow across and in close proximity to a surface of said substrate disposed on said stage; andan exhaust system with exhaust ports capable of exhausting said inert gas.2. The UV lithography apparatus as in claim 1 , wherein said UV light source comprises an extreme ultraviolet (EUV) light source that emits EUV light having a wavelength of about 13.5 nm.3. The UV lithography apparatus as in claim 2 , wherein said EUV light source further comprises at least one reflective member capable of directing said EUV light onto said substrate and a further gas source that causes an inert gas to flow across and in close proximity to a surface of said at least one reflective member.4. The UV lithography apparatus as in claim 2 , wherein said gas delivery source comprises a plurality of gas delivery tubes positioned parallel to said surface of said substrate claim 2 , each said gas delivery tube having an associated gas delivery port claim 2 , and wherein said gas delivery ports surround and face said substrate.5. The UV lithography ...

Подробнее
24-04-2014 дата публикации

PROTECTION STRUCTURE APPLIED TO A HAND-HELD ELECTRONIC DEVICE

Номер: US20140111913A1
Автор: LIU SHU-HAO, Rao Jhih-Wei
Принадлежит: COOLER MASTER CO., LTD.

A protection structure applied to a hand-held electronic device includes a protection unit and a connection unit. The protection unit includes at least one first protection frame and at least one second protection frame, and the hand-held electronic device is placed between the first protection frame and the second protection frame. The connection unit includes at least one connection element slidably disposed between the first protection frame and the second protection frame. Therefore, the first protection frame and the second protection frame can be firmly positioned on a front surrounding edge and a rear surrounding edge of the hand-held electronic device for protecting the hand-held electronic device through the connection element. 1. A protection structure applied to a hand-held electronic device , the hand-held electronic device has a front surface , a rear surface opposite to the front surface , a surrounding peripheral surface disposed between the front surface and the rear surface , a front surrounding edge connected between the front surface and the surrounding peripheral surface , and a rear surrounding edge connected between the rear surface and the surrounding peripheral surface , wherein the protection structure comprises:a protection unit including at least one first protection frame corresponding to the front surrounding edge of the hand-held electronic device and at least one second protection frame corresponding to the rear surrounding edge of the hand-held electronic device, wherein the hand-held electronic device is placed between the at least one first protection frame and the at least one second protection frame, the at least one first protection frame has at least two first upper retaining structures, and the at least one second protection frame has at least two second upper retaining structures respectively corresponding to the at least two first upper retaining structures; anda connection unit including at least one connection element ...

Подробнее
31-01-2019 дата публикации

ARRAY SUBSTRATE AND METHOD FOR FABRICATING THEREOF

Номер: US20190035825A1
Принадлежит: AU OPTRONICS CORPORATION

A method for fabricating an array substrate is provided. A gate insulation layer, first and second gates and a first interlayered insulation layer are formed on first and second active layers in order. A photolithography and etching process is performed by using a photo mask to form first to fourth contact holes in the gate insulation layer and the first interlayered insulation layer. First and second sources and first and second drains which are respectively connected to the first and second active layers through the first to fourth contact holes are formed. A second interlayered insulation layer is formed. Another photolithography and etching process is performed by using said photo mask to form first to third openings and a via hole in the second interlayered insulation layer, wherein along a normal direction, the third opening and the first contact hole are overlapped, the via hole and the second contact hole are overlapped, the first opening and the third contact hole are overlapped, and the second opening and the fourth contact hole are overlapped. 1. A method for fabricating an array substrate , the array substrate having a display region and a driving circuit region , the method comprising:forming a first active layer at the display region and a second active layer at the driving circuit region, wherein the first active layer has a first channel predetermined region, a first source doped predetermined region and a first drain doped predetermined region, and the second active layer has a second channel predetermined region, a second source doped predetermined region and a second drain doped predetermined region;forming a gate insulation layer on the first active layer and the second active layer;forming a first gate and a second gate on the gate insulation layer, wherein the first gate and the first channel predetermined region are overlapped along a normal direction, and the second gate and the second channel predetermined region are overlapped along the ...

Подробнее
11-02-2016 дата публикации

DISPLAY PANEL

Номер: US20160042683A1
Принадлежит:

A display panel and a manufacturing method thereof are disclosed herein. The display panel includes a substrate, a peripheral circuit, a plurality of pixel electrodes, a plurality of switches, and an insulating layer. The substrate has a display region and a non-display region. At least a portion of the peripheral circuit is located on the display region of the substrate. The pixel electrodes are located on the display region of the substrate. The switches are respectively and electrically connected to the pixel electrodes, configured to be respectively switched on according to a plurality of scan signals, so as to transmit a plurality of data signals to the pixel electrodes. The insulating layer is located between the peripheral circuit and the pixel electrodes, and is configured to prevent the peripheral circuit from interfering with the pixel electrodes. 1. A display panel comprising:a substrate comprising a display region and a non-display region;a peripheral circuit, wherein at least a portion of the peripheral circuit is located on the display region;a plurality of pixel electrodes located on the display region; andan insulating layer located between the peripheral circuit and the pixel electrodes;wherein the peripheral circuit comprises an electrostatic discharge (ESD) protection circuit, at least a portion of the ESD protection circuit is located on the display region.2. The display panel as claimed in claim 1 , wherein the ESD protection circuit is configured to electrically connect to a scan line or a data line.3. The display panel as claimed in claim 1 , further comprising:a plurality of switches respectively and electrically connected to the pixel electrodes, wherein the switches are configured to be switched on according to a plurality of scan signals respectively, so as to transmit a plurality of data signals to the pixel electrodes.4. The display panel as claimed in claim 3 , wherein the peripheral circuit comprises an amorphous silicon array driver ( ...

Подробнее
25-02-2021 дата публикации

PREPOLYMERIZED RESIN, PREPARATION METHOD THEREOF, RESIN COMPOSITION COMPRISING THE SAME, AND ARTICLE MADE THEREFROM

Номер: US20210054151A1
Автор: CHANG Shu-Hao
Принадлежит:

A prepolymerized resin prepared by subjecting a composition to a pre-reaction in the presence of a polymerization inhibitor. The composition at least includes bis(vinylphenyl)ethane and polybutadiene. The polybutadiene has a 1,2-vinyl content of 85% or above and a number average molecular weight of less than 3000, wherein the pre-reaction has a conversion rate of between 30% and 90%. During the pre-reaction, components in the composition are partially crosslinked to leave residual vinyl groups. The composition further includes vinyl-containing polyphenylene ether and has a number average molecular weight of between 4,000 and 12,000. 1. A prepolymerized resin prepared by subjecting a composition to a pre-reaction in the presence of a polymerization inhibitor , the composition at least comprising bis(vinylphenyl)ethane and polybutadiene , wherein the polybutadiene has a 1 ,2-vinyl content of 85% or above and a number average molecular weight of less than 3000 , wherein the pre-reaction has a conversion rate of between 30% and 90% , and wherein , during the pre-reaction , components in the composition are partially crosslinked to leave residual vinyl groups.2. The prepolymerized resin of claim 1 , wherein the composition further comprises vinyl-containing polyphenylene ether.3. The prepolymerized resin of claim 1 , which has a number average molecular weight of between 4 claim 1 ,000 and 12 claim 1 ,000. This application is a Continuation of co-pending application Ser. No. 15/985,445 filed on May 21, 2018, for which priority is claimed under 35 U.S.C. § 120; and this application claims priority of Application No. 107110250, filed in Taiwan, R.O.C. on Mar. 26, 2018 under 35 U.S.C. § 119; the entire contents of all of which are hereby incorporated by reference.Disclosed is a prepolymerized resin, a preparation method thereof, a resin composition comprising the same, and an article made therefrom.Conventionally, polyphenylene ether resins are widely used for making low ...

Подробнее
25-02-2016 дата публикации

SYSTEM AND METHOD FOR PERFORMING LITHOGRAPHY PROCESS IN SEMICONDUCTOR DEVICE FABRICATION

Номер: US20160054664A9
Принадлежит:

Systems and methods that include providing for measuring a first topographical height of a substrate at a first coordinate on the substrate and measuring a second topographical height of the substrate at a second coordinate on the substrate are provided. The measured first and second topographical heights may be provided as a wafer map. An exposure process is then performed on the substrate using the wafer map. The exposure process can include using a first focal point when exposing the first coordinate on the substrate and using a second focal plane when exposing the second coordinate on the substrate. The first focal point is determined using the first topographical height and the second focal point is determined using the second topographical height. 1. A method , comprising:measuring a first topographical height at a first coordinate on a substrate;measuring a second topographical height at a second coordinate on the substrate;providing the measured first and second topographical heights as a wafer map; and using a first focal point when exposing the first coordinate on the substrate, wherein the first focal point is determined using the first topographical height; and', 'using a second focal point when exposing the second coordinate on the substrate, wherein the second focal point is determined using the second topographical height., 'performing an exposure process on the substrate using the wafer map, wherein the exposure process includes2. The method of claim 1 , wherein the measuring the first and second topographical heights are performed concurrently using a multi-tip atomic force microscopy (AFM) tool.3. The method of claim 1 , wherein the measuring the second topographical height is performed substantially concurrently with the exposing of the first coordinate.4. The method of claim 1 , wherein the wafer map is generated by determining an offset value between the first topographical height and a third height associated with the first coordinate.5. The ...

Подробнее
03-03-2022 дата публикации

CONNECTION DEVICE

Номер: US20220070058A1
Принадлежит:

The present invention provides a connection device which is adapted to be connected between an electronic device and a host-side device. The connection device includes: a plurality of transmission ports, where one of the transmission ports is connected to an electronic device; a memory storing a lookup table; and a controller electrically connected to the transmission ports and the memory and configured to receive a configuration instruction, where the configuration instruction includes a first field and a second field, the first field records a transmission format index value, and the second field records selection information, where the controller searches the lookup table according to the transmission format index value to obtain a connection transmission format, selects corresponding at least one of the transmission ports from the plurality of transmission ports according to the selection information, and performs configuration setting on the electronic device according to the connection transmission format. 1. A connection device adapted to be connected between an electronic device and a host-side device , the connection device comprising:a plurality of transmission ports adapted to be connected to the electronic device;a memory storing a lookup table, wherein the lookup table records a plurality of transmission format numbers, wherein the transmission format numbers respectively correspond to a plurality of transmission formats; anda controller electrically connected to the transmission ports and the memory, wherein the host-side device generates, according to a host-side lookup table stored in the host-side device, at least one configuration instruction for communication, and the controller receives the at least one configuration instruction from the host-side device when at least one of the transmission ports connects the host-side device to the electronic device, wherein the at least one configuration instruction comprises a first field and a second field, ...

Подробнее
13-02-2020 дата публикации

Mask Cleaning

Номер: US20200050118A1

A lithography system includes a load lock chamber comprising an opening configured to receive a mask, an exposure module configured to expose a semiconductor wafer to a light source through use of the mask, and a cleaning module embedded inside the lithography tool, the cleaning module being configured to clean carbon particles from the mask.

Подробнее
05-03-2015 дата публикации

IMAGE-CAPTURING ASSEMBLY AND ARRAY LENS UNITS THEREOF

Номер: US20150062714A1
Принадлежит: HIMAX TECHNOLOGIES LIMITED

A lens module is disclosed. The lens module includes a substrate assembly and an array of lens units. The substrate assembly includes a main body and a supporting layer formed on the substrate assembly. The main body has a front surface, a rear surface opposite to the front surface, and at least one lateral surface connecting the front surface to the rear surface. The supporting layer has a planar configuration and is formed on the main body. The main body is made of a first material and the supporting layer is made of a second material different from the first material. 1. A lens module , comprising: a main body; and', 'a supporting layer with a planar configuration formed on the main body, wherein the main body is made of a first material and the supporting layer is made of a second material different from the first material; and, 'a substrate assembly comprisingan array of lens units formed on the substrate assembly.2. The lens module as claimed in claim 1 , wherein the array of lens units are arranged on a predetermined plane claim 1 , and the supporting layer extends in an extension direction parallel to the predetermined plane.3. The lens module as claimed in claim 1 , wherein the main body has a front surface claim 1 , a rear surface opposite to the front surface claim 1 , and at least one lateral surface connecting the front surface to the rear surface claim 1 , wherein the supporting layer is formed on at least one of the front surface and the rear surface.4. The lens module as claimed in claim 3 , wherein an edge of the supporting layer is distant from the lateral surface.5. The lens module as claimed in claim 3 , wherein the number of lateral surfaces is more than one claim 3 , and the supporting layer comprises a plurality of supporting portions respectively connected to one of the lateral surfaces.6. The lens module as claimed in claim 5 , wherein one of the supporting portions has a stiffness that is different from the stiffness of another.7. The lens ...

Подробнее
02-03-2017 дата публикации

MASK CLEANING

Номер: US20170060005A1
Принадлежит:

A lithography system includes a load lock chamber comprising an opening configured to receive a mask, an exposure module configured to expose a semiconductor wafer to a light source through use of the mask, and a cleaning module embedded inside the lithography tool, the cleaning module being configured to clean carbon particles from the mask. 1. A lithography system comprising:a load lock chamber comprising an opening configured to receive a mask;an exposure module configured to expose a semiconductor wafer to a light source through use of the mask; anda cleaning module embedded inside the lithography tool, the cleaning module being configured to clean carbon particles from the mask.2. The lithography tool of claim 1 , wherein the lithography tool is an Extreme Ultra-Violet (EUV) lithography tool claim 1 , the mask is an EUV mask claim 1 , and the light source is an EUV light source.3. The lithography system of claim 1 , wherein the cleaning module is integrated into the load lock chamber.4. The lithography system of claim I claim 1 , wherein the cleaning module is located within a discrete chamber positioned along a mask path between the load lock chamber and the exposure module.5. The lithography system of claim 1 , wherein the cleaning module is configured to produce hydrogen radicals.6. The lithography system of claim 5 , wherein the cleaning module comprises:a filament configured to be heated to heat to a temperature greater than 1900 degrees Celsius; anda gas source configured to pass hydrogen gas over the filament to produce the hydrogen radicals.7. The lithography system of claim 1 , wherein the cleaning module is configured to produce a plasma gas including at least one of: hydrogen claim 1 , oxygen claim 1 , nitrogen claim 1 , argon claim 1 , helium claim 1 , fluorine claim 1 , and chlorine.8. The lithography system of claim 1 , wherein the cleaning module comprises one of: a laser decomposition cleaning system claim 1 , an ultraviolet ozone cleaning ...

Подробнее
02-03-2017 дата публикации

METHOD OF DYNAMIC FREQUENCY SELECTION AND AN ELECTRONIC DEVICE EMPLOYING THE SAME

Номер: US20170064595A1
Автор: CHANG Shu-Hao
Принадлежит:

A method of dynamic frequency selection includes receiving setting information of a WI-FI unit input by an input unit, controlling the WI-FI unit in a STA working mode to switch different channels to scan an available access point AP with dynamic frequency selection within one channel when receiving the setting information of setting the WI-FI unit to work in an AP working mode and the STA working mode simultaneously, controlling the WI-FI unit in the AP working mode to switch to the channel within which the WI-FI unit in the STA working mode scans the available access point AP when the WI-FI unit in the STA working mode scans the available access point AP, and controlling the WI-FI unit in the AP working mode to communicate with a terminal device via the switched access point AP. 1. An electronic device with dynamic frequency selection , comprising:an input unit; a WI-FI unit coupled to the at least one processor configured to work in an AP working mode and a STA working mode;', receive setting information of the WI-FI unit input by the input unit;', 'control the WI-FI unit in the STA working mode to switch different channels to scan an available access point AP within one channel when receiving the setting information of setting the WI-FI unit to work in the AP working mode and the STA working mode simultaneously;', 'when the WI-FI unit in the STA working mode scans the available access point AP, control the WI-FI unit in the STA working mode to communicate with the scanned access point AP via the channel within which the available access point AP is scanned;', 'control the WI-FI unit in the AP working mode to switch to the channel within which the WI-FI unit in the STA working mode scans the available access point AP; and', 'control the WI-FI unit in the AP working mode to communicate with a terminal device via the switched access point AP., 'a non-transitory storage medium coupled to the at least one processor and configured to store a plurality of instructions, ...

Подробнее
28-02-2019 дата публикации

METHODS OF DEFECT INSPECTION

Номер: US20190064675A1

Embodiments of the present disclosure relate to methods for defect inspection. After pattern features are formed in a structure layer, a dummy filling material having dissimilar optical properties from the structure layer is filled in the pattern features. The dissimilar optical properties between materials in the pattern features and the structure layer increase contrast in images captured by an inspection tool, thus increasing the defect capture rate. 1. A method of inspecting defects after a photolithography process , comprising:etching a structure layer under a patterned photoresist layer to transform pattern features from the patterned photoresist layer to the structure layer, wherein the structure layer comprises a first material;filling the pattern features in the structure layer with a dummy filling material, wherein the first material and the dummy filling material have dissimilar optical properties, and the dummy filling material is to be removed from the pattern feature;exposing a top surface of the structure layer containing the first material and the pattern features containing the dummy filling material; andinspecting the top surface for defective pattern features using an inspection tool.2. The method of claim 1 , wherein the dummy filling material comprises a bottom anti-reflective coating (BARC) material.3. The method of claim 2 , wherein filling the pattern features comprises spin coating the dummy filling material over the structure layer.4. The method of claim 1 , wherein removing excess dummy filling material comprises etching the dummy filling material using a plasma of oxygen.5. The method of claim 3 , wherein the first material comprises silicon oxide claim 3 , and the BARC material is an organic BARC material.6. The method of claim 1 , wherein the first material comprises silicon oxide claim 1 , and the dummy filling material comprises one of a BARC material claim 1 , a silicon based material claim 1 , a metal oxide claim 1 , a metal nitride ...

Подробнее
17-03-2016 дата публикации

METHOD OF MANUFACTURING CERIUM DIOXIDE POWDER AND CERIUM DIOXIDE POWDER

Номер: US20160075564A1
Принадлежит:

A method of manufacturing a cerium dioxide powder is provided. The method includes mixing a cerium salt, an amine and solvent to form a mixed solution, in which the amine includes a secondary amine, a tertiary amine or a combination thereof, and the tertiary amine is selected from the group consisting of hexamethylenetetramine, triethylenediamine and a combination thereof. A solvothermal reaction of the mixed solution is performed to form the cerium dioxide powder. The cerium dioxide powder manufactured by the method is also provided herein. 1. A method of manufacturing a cerium dioxide powder , the method comprising:mixing a cerium salt, an amine and solvent to form a mixed solution, wherein the amine comprises a secondary amine, a tertiary amine or a combination thereof, and the tertiary amine is selected from the group consisting of hexamethylenetetramine, triethylenediamine and a combination thereof; andperforming a solvothermal reaction of the mixed solution to form the cerium dioxide powder.2. The method of claim 1 , wherein performing the solvothermal reaction of the mixed solution is at a temperature in a range of 50° C. to 300° C.3. The method of claim 1 , wherein performing the solvothermal reaction of the mixed solution is at a pressure in a range of 0.1 atm to 100 atm.4. The method of claim 1 , wherein the secondary amine is diethylamine claim 1 , N-ethylpropylamine claim 1 , dipropylamine claim 1 , N-methylethylamine claim 1 , N-propylbutylamine claim 1 , imidazole or a combination thereof.5. The method of claim 1 , wherein the solvent comprises water claim 1 , alcohols claim 1 , esters claim 1 , ethers or a combination thereof.6. The method of claim 1 , wherein a molar ratio of the cerium salt to the secondary amine is in a range of 10:1 to 1:20.7. The method of claim 1 , wherein the cerium salt comprises cerium carboxylate.8. The method of claim 7 , wherein the cerium carboxylate comprises cerium acetate.9. A method of manufacturing a cerium dioxide ...

Подробнее
05-03-2020 дата публикации

DISPLAY PANEL AND PIXEL CIRCUIT

Номер: US20200074946A1
Принадлежит:

A pixel circuit includes a first switch, a storage circuit, a second switch, and a liquid crystal capacitor. The first node of the first switch is configured to receive a data signal, and the second node of the first switch is coupled with a first node point. The storage circuit is coupled with the first node point, and configured to receive a common voltage. The first node of the second switch is coupled with the storage circuit, and the second node of the second switch is configured to receive a boost signal. The liquid crystal capacitor is coupled between the first node point and the storage circuit. In response to the first switch is conducted, the second switch is conducted, or in response to the second switch is conducted, the first switch is conducted. 1. A display panel , comprising: a first switch, comprising a first node, a second node, and a control node, wherein the first node of the first switch is configured to receive a data signal, and the second node of the first switch is coupled with a first node point;', 'a storage circuit, coupled with the first node point, and configured to receive a common voltage;', 'a second switch, comprising a first node, a second node, and a control node, wherein the first node of the second switch is coupled with the storage circuit, and the second node of the second switch is configured to receive a boost signal; and', 'a liquid crystal capacitor, coupled between the first node point and the storage circuit;', 'wherein in response to the first switch is conducted, the second switch is conducted, or', 'in response to the second switch is conducted, the first switch is conducted;, 'a plurality of pixel circuits, configured to form a pixel array, wherein each pixel circuit compriseswherein the pixel array comprises a plurality of rows and a plurality of columns of the pixel circuit, one of the plurality of rows of the pixel circuit comprises a first pixel circuit and a second pixel circuit located in two adjacent columns ...

Подробнее
26-03-2015 дата публикации

ROTARY EUV COLLECTOR

Номер: US20150085264A1
Принадлежит:

An EUV collector is rotated between or during operations of an EUV photolithography system. Rotating the EUV collector causes contamination to distribute more evenly over the collector's surface. This reduces the rate at which the EUV photolithography system loses image fidelity with increasing contamination and thereby increases the collector lifetime. Rotating the collector during operation of the EUV photolithography system can induce convection and reduce the contamination rate. By rotating the collector at sufficient speed, some contaminating debris can be removed through the action of centrifugal force. 1. A method of operating an extreme ultraviolet (EUV) lithography system , comprising:generating EUV light;using a collector to gather and focus the light onto an optical system that illuminates a reticle and projects an image from the reticle onto a target;rotating the collector to a new position; andagain using the collector to gather and focus the light onto the optical system that illuminates a reticle and projects an image from the reticle onto a target.2. The method of claim 1 , wherein the collector is rotated while the collector is gathering and focusing the light.3. The method of claim 2 , wherein the collector is rotated continuously while the collector is gathering and focusing the light.4. The method of claim 1 , wherein the collector is rotated by applying a mechanical force to the collector.5. The method of claim 1 , wherein the collector is rotated by applying an electromagnetic force to the collector.6. The method of claim 1 , wherein the collector is rotated while within an enclosed chamber of the EUV lithography system.7. The method of claim 1 , wherein the collector is rotated while the EUV lithography system is online.8. The method of claim 6 , further comprising:while rotating the collector, controlling the pressure of gas in a chamber that houses the collector.9. The method of claim 8 , further comprising:while rotating the collector, ...

Подробнее
22-03-2018 дата публикации

APPARATUS AND METHODS FOR DIGITAL SIGNAL CONSTELLATION TRANSFORMATION

Номер: US20180083707A1
Автор: FAN Shu Hao
Принадлежит:

Apparatus and method for digital signal constellation transformation are provided herein. In certain configurations, an integrated circuit includes an analog front-end that converts an analog signal vector representing an optical signal into a digital signal vector, and a digital signal processing circuit that processes the digital signal vector to recover data from the optical signal. The digital signal processing circuit generates signal data representing a signal constellation of the digital signal vector. The digital signal processing circuit includes an adaptive gain equalizer that compensates the signal data for distortion of the signal constellation arising from biasing errors of optical modulators used to transmit the optical signal. 1. A method of operating an integrated optical module , the method comprising:receiving, by a coherent optical receiver, an optical signal from an optical cable of the integrated optical module;generating, by the coherent optical receiver, an analog signal representing the optical signal;processing, by a transceiver, the analog vector to generate a digital signal vector, wherein the digital signal vector comprises a digital representation of an in-phase (I) component and a quadrature-phase (Q) component of the optical signal; andgenerating, by the transceiver, signal data representing a signal constellation of the digital signal vector; generating, by an adaptive gain equalizer of the transceiver, transformed signal data by compensating the signal data for distortion of the signal constellation,', 'generating, by the adaptive gain equalizer, an estimate of an angular tilt of the signal constellation, and', 'compensating, by the adaptive gain equalizer, the signal data based on the estimate of the angular tilt., 'wherein generating the signal data comprises'}2. The method of wherein generating the estimate of the angular tilt comprises generating claim 1 , by the adaptive gain equalizer claim 1 , the angular tilt based on a sum ...

Подробнее
29-03-2018 дата публикации

Resin composition suitable for rigid-flex board and use thereof

Номер: US20180086910A1
Автор: Shu-Hao Chang
Принадлежит: Elite Material Co Ltd

The disclosure relates to a resin composition, comprising an epoxy resin, a high molecular weight polyetheramine and an amine-terminated acrylonitrile rubber. Various products can be made from the resin composition, such as prepregs, laminates, printed circuit boards or rigid-flex boards, in which one, multiple or all of the following properties can be met: low resin flow, low dust weight loss, high peel strength at room temperature and at high temperature, low moisture absorption rate, and better varnish stability.

Подробнее
07-04-2016 дата публикации

DISPLAY PANEL

Номер: US20160099260A1
Принадлежит:

A display panel includes a substrate, a plurality of first signal lines, a plurality of second signal lines, a plurality of pixel units, a plurality of transmitting lines, and a driving chip. The transmitting lines are disposed on the substrate and electrically connected to the second signal lines. The driving chip includes a plurality of first pins, a plurality of second pins, and a driving circuit. The first pins are electrically connected to the first signal lines, and the second pins are electrically connected to the transmitting lines. The first pins and the second pins are disposed alternately and evenly, such that the first signal lines and the transmitting lines do not intersect each other. The transmitting lines are disposed on the substrate evenly. 1. A display panel comprising:a plurality of first signal lines disposed parallel to each other;a plurality of second signal lines disposed parallel to each other and crossing over the first signal lines to define a plurality pixel blocks,a plurality of transmission lines disposed parallel to each other and parallel to the first signal lines, and electrically connected to the second signal lines; and a driver circuit configured to generate a first signal and a second signal;', 'a plurality of first pins electrically connected to the first signal lines configured to transmit the first signal to the first signal lines; and', 'a plurality of second pins electrically connected to the transmission lines configured to transmit the second signal to the transmission lines;', 'wherein a first one of the second pins is disposed immediately next to the first one of the first pins, a second one of the second pins is disposed immediately next to the first one of the second pins, and a second one of the first pins is disposed immediately next to the second one of the second pins., 'a driver IC chip comprising2. The display panel as claimed in claim 1 , wherein a third one of the second pins is disposed immediately prior to ...

Подробнее
19-03-2020 дата публикации

DATA PACKET COMPENSATION IN MULTI-DEVICE MEDIA SYSTEMS

Номер: US20200092651A1
Автор: Hsu Shu Hao
Принадлежит:

Various embodiments relate to data packet compensation in multi-device media systems. A primary headphone device may include one or more communication interfaces configured to communicate with an audio source and a secondary headphone device. The primary headphone device may further include communication logic configured to transmit information to the secondary headphone device identifying one or more data packets received by the primary headphone device. The communication logic may also be configured to receive reporting information identifying one or more data packets missed by the secondary headphone device. Also, the communication logic may be configured to transmit compensation information for the one or more data packets missed by the secondary headphone device. 1. A primary headphone device , comprising:one or more communication interfaces configured to communicate with an audio source and a secondary headphone device; and transmit information to the secondary headphone device identifying one or more data packets received by the primary headphone device;', 'receive reporting information identifying one or more data packets missed by the secondary headphone device; and', 'transmit compensation information for the one or more data packets missed by the secondary headphone device., 'communication logic configured to2. The primary headphone device of claim 1 , wherein the communication logic is further configured to transmit setup information to the secondary headphone device to enable the secondary headphone device to capture data packets transmitted from the audio source to the primary headphone device.3. The primary headphone device of claim 2 , wherein the communication logic is further configured to receive information from the secondary headphone device identifying at least one data packet captured by the secondary headphone device.4. The primary headphone device of claim 3 , wherein the communication logic is further configured to compare the information ...

Подробнее
28-03-2019 дата публикации

SEMICONDUCTOR DEVICE HAVING FINS AND METHOD OF FABRICATING THE SAME

Номер: US20190097056A1

A device includes a semiconductor substrate, a first fin arranged over the semiconductor substrate, and an isolation structure. The first fin includes an upper portion, a bottom portion, and an insulator layer between the upper portion and the bottom portion. A top surface of the insulator layer is wider than a bottom surface of the upper portion of the first fin. The isolation structure surrounds the bottom portion of the first fin. 1. A method , comprising:bonding a first semiconductor substrate onto a second semiconductor substrate with an insulator layer between the first semiconductor substrate and the second semiconductor substrate;etching the first semiconductor substrate to formed an upper portion of a fin, wherein a first portion of the insulator layer is exposed by etching the first semiconductor substrate;depositing a protection layer over the upper portion of the fin and over a top surface of the first portion of the insulator layer using an atomic layer deposition process;etching first portions of the protection layer over a top surface of the upper portion of the fin and the top surface of the first portion of the insulator layer, wherein a second portion of the protection layer remains on a side surface of the upper portion of the fin;etching the first portion of the insulator layer, wherein a second portion of the insulator layer remains under the upper portion of the fin; andetching the second semiconductor substrate to form a bottom portion of the fin under the second portion of the insulator layer.2. The method of claim 1 , further comprising:etching the second portion of the protection layer.3. The method of claim 1 , wherein etching the first semiconductor substrate and etching the first portion of the insulator layer use different etchants.4. The method of claim 1 , wherein etching the first semiconductor substrate uses a chlorine-based etchant.5. The method of claim 1 , wherein etching the first portion of the insulator layer uses a fluorine- ...

Подробнее
08-04-2021 дата публикации

PIXEL ARRAY SUBSTRATE

Номер: US20210104192A1
Автор: Huang Shu-Hao, Su Sung-Yu
Принадлежит: AU OPTRONICS CORPORATION

A pixel array substrate including a substrate, a plurality of first signal lines, a plurality of second signal lines, a plurality of pixels, a first multiplexer, a second multiplexer, a first connecting line and a second connecting line is provided. The substrate has a display area. The first signal lines are arranged on the substrate and define a first row region and a second row region of the display area. The pixels are arranged into a first pixel row and a second pixel row which are respectively disposed in the first row region and the second row region. The first multiplexer is disposed in the first row region and electrically connected to a part of the second signal lines. The second multiplexer is disposed in the second row region and electrically connected to another part of the second signal lines. The first connecting line is electrically connected to the first multiplexer. The second connecting line is electrically connected to the second multiplexer. The electrical resistivity of the first connecting line and the second connecting line is greater than the electrically resistivity of the first signal lines and the second signal lines. 1. A pixel array substrate , comprising:a substrate, having a display area;a plurality of first signal lines, arranged on the substrate and defining a first row region and a second row region of the display area;a plurality of second signal lines, intersected with the first signal lines;a plurality of pixels, electrically connected to the corresponding first signal lines and the corresponding second signal lines respectively, wherein the pixels are arranged into a first pixel row and a second pixel row, and the first pixel row and the second pixel row are respectively disposed in the first row region and the second row region;a first multiplexer, disposed in the first row region and electrically connected to a part of the second signal lines;a second multiplexer, disposed in the second row region and electrically connected ...

Подробнее
02-04-2020 дата публикации

WAFER-LEVEL HOMOGENEOUS BONDING OPTICAL STRUCTURE AND METHOD TO FORM THE SAME

Номер: US20200103633A1
Принадлежит:

A wafer-level homogeneous bonding optical structure includes two optical lens sets disposed on an optically transparent wafer and a spacer disposed on the optically transparent wafer and between the two optical lens sets. The spacer is homogeneously bonded to and integrated with the optically transparent wafer in the absence of a heterogeneous adhesive. 1. A wafer-level homogeneous bonding optical structure , comprising:an optically transparent wafer;at least two optical lens sets disposed on said optically transparent wafer, wherein each of said optical lens sets comprising a lens integrated with a residual layer; andat least one spacer disposed on said optically transparent wafer, wherein said spacer is disposed between two adjacent said optical lens sets, wherein said spacers are homogeneously bonded to said optically transparent wafer in the absence of a heterogeneous adhesive.2. The wafer-level homogeneous bonding optical structure of claim 1 , wherein said optically transparent wafer is flat glass.3. The wafer-level homogeneous bonding optical structure of claim 1 , wherein said spacers are made of glass so that said spacers are capable of being integrated with said optically transparent wafer in the absence of said heterogeneous adhesive.4. The wafer-level homogeneous bonding optical structure of claim 1 , wherein each of said optical lens sets comprises an extending shoulder and said extending shoulder is not in contact with one of adjacent said spacers.5. The wafer-level homogeneous bonding optical structure of claim 1 , wherein each of said optical lens sets is isolated so that one of said spacers segregates two of adjacent said optical lens sets.6. The wafer-level homogeneous bonding optical structure of claim 1 , further comprising:an optically transparent substrate disposed on said spacers and in direct contact with said spacers.7. The wafer-level homogeneous bonding optical structure of claim 6 , wherein both said spacers and said optically transparent ...

Подробнее
10-07-2014 дата публикации

ELECTROPHORETIC DISPLAY APPARATUS

Номер: US20140192402A1
Автор: CHANG Shu-Hao, Wu Chi-Ming
Принадлежит: E Ink Holdings Inc.

An electrophoretic display apparatus is suitable for being electrically connected to an external circuit and includes a drive array substrate, an electrophoretic display film and a first optical adhesive layer. The electrophoretic display film is disposed on the drive array substrate and includes a flexible substrate and a display medium layer. The flexible substrate has a disposed region and a bonding region. The external circuit is disposed between the flexible substrate and the drive array substrate, located in the bonding region and extends outside the drive array substrate. The display medium layer is disposed between the flexible substrate and the drive array substrate and located in the disposed region. The first optical adhesive layer is disposed between the display medium layer and the drive array substrate. A thickness of the external circuit is substantially a sum of that of the display medium layer and the first optical adhesive layer. 1. An electrophoretic display apparatus suitable for electrically connecting with an external circuit , the electrophoretic display apparatus comprising:a drive array substrate; a flexible substrate having a disposed region and a bonding region, wherein the disposed region surrounds the bonding region, the external circuit is disposed between the flexible substrate and the drive array substrate, and the external circuit is located in the bonding region and extends outside the drive array substrate; and', 'a display medium layer disposed between the flexible substrate and the drive array substrate and located in the disposed region, and, 'an electrophoretic display film disposed on the drive array substrate, the electrophoretic display film comprisinga first optical adhesive layer disposed between the display medium layer and the drive array substrate, wherein a thickness of the external circuit is substantially a sum of a thickness of the medium layer and a thickness of the first optical adhesive layer.2. The ...

Подробнее
09-06-2022 дата публикации

Mask Cleaning

Номер: US20220179326A1
Принадлежит:

A lithography system includes a first load lock chamber configured to receive a mask, a cleaning module configured to clean the mask, a second load lock chamber configured to receive a wafer, an exposure module configured to expose the wafer to a light source through use of the cleaned mask. A direct path is provided between the first load lock chamber and the exposure module allowing the first load lock chamber to directly couple to the exposure module without through the cleaning module. 1. A lithography system comprising:a first load lock chamber configured to receive a mask;a cleaning module configured to clean the mask;a second load lock chamber configured to receive a wafer; andan exposure module configured to expose the wafer to a light source through use of the cleaned mask,wherein a direct path is provided between the first load lock chamber and the exposure module allowing the first load lock chamber to directly couple to the exposure module without through the cleaning module.2. The lithography system of claim 1 , wherein the cleaning module is configured to clean a carbon buildup on the mask through chemical reaction.3. The lithography system of claim 1 , further comprising:a mask inspection module configured to inspect the cleaned mask.4. The lithography system of claim 3 , wherein a side path is shared by the cleaning module and the mask inspection module to couple to the direct path.5. The lithography system of claim 1 , wherein the lithography system is an Extreme Ultra-Violet (EUV) lithography system claim 1 , the mask is an EUV mask claim 1 , and the light source is an EUV light source.6. The lithography system of claim 1 , wherein the cleaning module is configured to produce radicals to clean the mask through chemical reaction.7. The lithography system of claim 6 , wherein the radicals are hydrogen radicals.8. The lithography system of claim 1 , wherein the cleaning module is configured to produce a plasma gas including at least one of: hydrogen ...

Подробнее
24-07-2014 дата публикации

WAFER LEVEL OPTICAL LENS STRUCTURE

Номер: US20140204467A1
Принадлежит: HIMAX TECHNOLOGIES LIMITED

A wafer level optical lens structure is provided. A stress buffer layer is disposed between a light-transmissive substrate and a lens layer, so as to improve production yield of the wafer level optical lens. 1. A wafer level optical lens structure , comprising:a light-transmissive substrate;a lens layer; andat least one stress buffer layer, disposed between the light-transmissive substrate and the lens layer, wherein the stress buffer layer is suitable for patterning.2. The wafer level optical lens structure as claimed in claim 1 , wherein the lens layer comprises a lens claim 1 , and the stress buffer layer has an opening corresponding to the lens.3. The wafer level optical lens structure as claimed in claim 2 , further comprising:at least one optical layer, disposed between the lens layer and the stress buffer layer and/or between the stress buffer layer and the light-transmissive substrate.4. The wafer level optical lens structure as claimed in claim 3 , wherein the optical layer comprises at least one of a phase retardation film claim 3 , a brightness enhancement film and a viewing angle improvement film.5. The wafer level optical lens structure as claimed in claim 3 , wherein the optical layer has an opening corresponding to the lens.6. The wafer level optical lens structure as claimed in claim 2 , wherein the stress buffer layer is a frame-shaped pattern claim 2 , and a projection region of the frame-shaped pattern on the light-transmissive substrate does not cover a projection region of the lens on the light-transmissive substrate.7. The wafer level optical lens structure as claimed in claim 1 , wherein the stress buffer layer completely covers the light-transmissive substrate.8. A wafer level optical lens structure claim 1 , comprising:a light-transmissive substrate;a lens layer, comprising a plurality of lenses; andat least one stress buffer layer, disposed between the light-transmissive substrate and the lens layer, wherein the stress buffer layer is ...

Подробнее
25-08-2022 дата публикации

ORGANOMETALLIC RADIATION PATTERNABLE COATINGS WITH LOW DEFECTIVITY AND CORRESPONDING METHODS

Номер: US20220269169A1
Принадлежит:

In the context of forming radiation patternable structures especially for EUV patterning, wafer structures are described comprising a substrate having a smooth top surface and a radiation sensitive organometallic coating having an average thickness of no more than 100 nm and no more than about 1 defect per square centimeter with a defect size of greater than 48 nm, evaluated with a 3 mm edge exclusion. Corresponding methods for forming a low defect coating comprise spin coating a purified radiation sensitive organometallic resist solution onto a wafer using a spin coater system comprising a delivery line and a delivery nozzle connected to the delivery line to form a coated wafer, and drying the coated wafer to form a radiation sensitive organometallic coating having no more than about 1 defect per square centimeter with a defect size of greater than 48 nm, evaluated with a 3 mm edge exclusion. Methods are provided for improved filtering for particle removal from radiation patternable organometallic resist compositions. 1. A wafer structure comprising a substrate having a smooth top surface and a radiation sensitive organometallic coating having an average thickness of no more than 100 nm and no more than about 1 defect per square centimeter with a defect size of greater than 48 nm , evaluated with a 3 mm edge exclusion.2. The wafer structure of comprising a silicon wafer claim 1 , a silica substrate claim 1 , a ceramic material claim 1 , a polymer substrate claim 1 , composites thereof and combinations thereof across a surface and/or in layers of the substrate.3. The wafer structure of comprising a silicon wafer.4. The wafer structure of wherein the radiation sensitive organometallic coating comprises a composition represented by the formula RSnO(OH)where 0 Подробнее

23-04-2020 дата публикации

PATTERNED ORGANOMETALLIC PHOTORESISTS AND METHODS OF PATTERNING

Номер: US20200124970A1
Принадлежит:

A rinse process is described for processing an initially patterned structure formed with an organometallic radiation sensitive material, in which the rinse process can remove portions of the composition remaining after pattern development to make the patterned structure more uniform such that a greater fraction of patterned structures can meet specifications. The radiation sensitive material can comprise alkyl tin oxide hydroxide compositions. The rinsing process can be effectively used to improve patterning of fine structures using extreme ultraviolet light. 1. A method for forming a pattern in a radiation sensitive organometallic resist film on a surface of a substrate , the method comprising rinsing an initial patterned structure with a rinse solution to remove a portion of developed photoresist to control pattern dimensions and to form an adjusted patterned structure ,wherein the initial patterned structure was formed by (i) coating the surface of the substrate with an organometallic radiation sensitive resist material to form the radiation sensitive resist film, (ii) exposing the radiation sensitive resist film to patterned radiation to form an exposed film with exposed portions and unexposed portions, and (iii) contacting the exposed film with a developing solution to form a developed photoresist wherein either the exposed portions or the unexposed portions are selectively soluble in the developing solution.2. The method of wherein the rinse solution comprises aqueous quaternary ammonium hydroxide and the developing solution comprises an organic solvent.3. The method of wherein the developing solution comprises aqueous quaternary ammonium hydroxide and the rinse solution comprises an organic solvent.4. The method of wherein the rinse solution is about 0.5 to 30 weight percent aqueous tetramethyl ammonium hydroxide (TMAH).5. The method of wherein the organometallic radiation sensitive resist material comprises an alkyltin oxide hydroxide approximately ...

Подробнее
19-05-2016 дата публикации

MEMORY MAPPING METHOD AND MEMORY MAPPING SYSTEM

Номер: US20160140074A1
Принадлежит:

A memory mapping method for coupling a plurality of servers with a PCI express bus is disclosed. The method comprises: configuring an extended memory address on a management host having a memory address; mapping the extended memory address of the management host corresponding to each of the servers to memory addresses of each of the servers respectively by a plurality of non-transparent bridges of the PCI express bus; configuring an extended memory address on each of the servers; and mapping the extended memory address of each of the servers to the memory address and the extended memory address of the management host by the non-transparent bridges, the extended memory address of each of the servers corresponding to the servers and the management host. 1. A memory mapping method for coupling a plurality of servers with a PCI express bus , comprising:configuring an extended memory address on a management host having a memory address;mapping the extended memory address of the management host corresponding to each of the servers to memory addresses of each of the servers respectively by a plurality of non-transparent bridges of the PCI express bus;configuring an extended memory address on each of the servers; andmapping the extended memory address of each of the servers to the memory address and the extended memory address of the management host by the non-transparent bridges, the extended memory address of each of the servers corresponding to the servers and the management host.2. The method of claim 1 , further comprising:accessing a control and status register (CSR) of a virtual function in the memory address of the management host through the extended memory address of a first server among the servers corresponding to the memory address of the management host.3. The method of claim 2 , further comprising:accessing a RX/TX buffer of the virtual function in the memory address of the first server through the extended memory address of the management host corresponding ...

Подробнее
18-05-2017 дата публикации

Network-Assisted Channel Selection and Power Control for Mobile Devices

Номер: US20170142670A1
Принадлежит:

Facilitation of a network assisted device-decided system can increase throughput of D2D devices and the link reliability of macrocells. In a network assisted device-decided system a macrocell can broadcast resource allocation data to D2D devices. The D2D devices can then select channels and adjust transmission power to offload traffic from the macrocell, thus creating a high spectrum efficiency with low power. 1. An apparatus , comprising:a processor; and initiating sending of preferred network channel data representing a set of preferred network channels of the apparatus used to connect to a set of network devices of a network;', 'receiving resource allocation instruction data comprising power data representing an allowable transmission power of the apparatus;', 'selecting a network channel of the apparatus, from the set of preferred network channels, to increase a data throughput of the apparatus, wherein the selecting comprises determining the network channel, at least in part, based on information received from a network device of the set of network devices; and', 'selecting a transmission power of the apparatus in accordance with the resource allocation instruction data, wherein the selecting the transmission power adjusts an interference of the apparatus contributed to by the set of preferred network channels., 'a memory that stores executable instructions that, when executed by the processor, facilitate performance of operations, comprising2. The apparatus of claim 1 , wherein the network channel of the set of preferred network channels is selected for data transmission based on a randomized input.3. The apparatus of claim 1 , wherein the resource allocation instruction data comprises a predefined allowable transmission power of the network channel.4. The apparatus of claim 1 , wherein the network channel is selected in response to receiving the resource allocation instruction data.5. The apparatus of claim 1 , wherein the network channel is selected based on ...

Подробнее
30-04-2020 дата публикации

WAFER-LEVEL OPTICAL STRUCTURE

Номер: US20200135788A1
Принадлежит:

A wafer-level optical structure includes at least two optical lens sets disposed on an optically transparent wafer, at least one trench disposed between two adjacent optical lens sets to divide the two adjacent optical lens sets, at least one spacer disposed between two adjacent optical lens sets to be correspondingly and partially disposed in the trench, and an adhesive disposed inside the trench. 1. A wafer-level optical structure , comprising:an optically transparent wafer;at least two optical lens sets disposed on said optically transparent wafer, wherein each of said at least two optical lens sets comprising a lens integrated with a residual layer;at least one trench disposed above said optically transparent wafer, wherein said at least one trenches is disposed between two adjacent said at least two optical lens sets to divide adjacent said at least two optical lens sets;at least one spacer disposed on said optically transparent wafer, wherein said at least one spacer is disposed between adjacent said at least two optical lens sets to be correspondingly and partially disposed in one of said at least one trench; andan adhesive disposed inside one of said at least one trench to at least partially fill the trench and in direct contact with one of said at least one spacer.2. The wafer-level optical structure of claim 1 , wherein each one of said at least two optical lens sets further comprises an extending shoulder and said extending shoulder is not in contact with adjacent said at least one spacer.3. The wafer-level optical structure of claim 2 , wherein two of adjacent said extending shoulders define one of said at least one trench.4. The wafer-level optical structure of claim 2 , wherein said adhesive is in direct contact with said extending shoulder.5. The wafer-level optical structure of claim 1 , wherein said adhesive is in direct contact with said optically transparent wafer.6. The wafer-level optical structure of claim 1 , further comprising:a plurality of ...

Подробнее
21-08-2014 дата публикации

ELECTRONIC APPARATUS

Номер: US20140232205A1
Принадлежит: COMPAL ELECTRONICS, INC.

An electronic apparatus is provided. The electronic apparatus includes a controller, a first trigger circuit, a switch unit and a logic circuit. The controller provides a setting signal. The first trigger circuit provides a trigger signal according to at least one of first trigger situations. The switch unit is connected to a power input terminal. The logic circuit adjusts a switching signal according to the setting signal and the trigger signal. The switch unit decides whether to provide an input voltage at the power input terminal to the electronic apparatus according to the switching signal. 1. An electronic apparatus , comprising:a controller, providing a setting signal;a first trigger circuit, providing a trigger signal according to at least one first trigger situation;a switch unit, connected to a power input terminal of the electronic apparatus; anda logic circuit, coupled to the controller, the first trigger circuit and the switch unit, and adjusting a switching signal according to the setting signal and the trigger signal,wherein the switch unit decides whether to provide an input voltage at the power input terminal to the electronic apparatus according to the switching signal.2. The electronic apparatus as claimed in claim 1 , wherein when the electronic apparatus enters a power saving state claim 1 , the controller enables the setting signal claim 1 , the logic circuit enables the switching signal according to the setting signal claim 1 , and the switch unit stops providing the input voltage to the electronic apparatus according to the enabled switching signal.3. The electronic apparatus as claimed in claim 2 , wherein the power saving state comprises a state of system hibernation or shutdown in case that the power input terminal receives the input voltage claim 2 , a state that a battery installed in the electronic apparatus is fully charged or the electronic apparatus doe not have the battery claim 2 , and a state that all functions related to the ...

Подробнее
01-06-2017 дата публикации

pH-ADJUSTER FREE CHEMICAL MECHANICAL PLANARIZATION SLURRY

Номер: US20170152402A1
Принадлежит:

The present disclosure relates to a method of forming a CMP slurry that is free of pH-adjusters (i.e., chemicals added solely for the purpose of adjusting a pH of a CMP slurry), and an associated a pH-adjuster free CMP slurry. In some embodiments, the method is performed by forming a CMP slurry having a first pH value. A desired pH value of the CMP slurry is determined. A chelating agent configured to bond to metallic ions is provided to the CMP slurry. The chelating agent is configured to adjust a pH value of the CMP slurry from the first pH value to the desired pH value. By using the chelating agent to adjust a pH value of the CMP slurry to achieve a desired pH value, the method is able to form a CMP slurry that is free of pH-adjusters, thereby reducing the cost and complexity of the CMP slurry. 1. A method of performing a chemical mechanical planarization (CMP) process , comprising:forming a CMP slurry having a first pH value;determining a desired pH value of the CMP slurry; andproviding a chelating agent to the CMP slurry, wherein the chelating agent is configured to bond to metallic ions and to adjust a pH value of the CMP slurry from the first pH value to the desired pH value.2. The method of claim 1 , wherein the chelating agent is selected to have a chemical composition that depends upon the first pH value and the desired pH value.3. The method of claim 2 , further comprising:providing the chelating agent from a first group of chelating agents that respectively cause the desired pH value of the CMP slurry to be within a first range of pH values between approximately 2 and approximately 5; orproviding the chelating agent from a second group of chelating agents that respectively cause the desired pH value of the CMP slurry to be within a second range of pH values between approximately 9 and approximately 12.4. The method of claim 1 , wherein the chelating agent comprises a carboxylic acid derivative configured to adjust the pH value of the CMP slurry from the ...

Подробнее
24-06-2021 дата публикации

RESIN COMPOSITION AND ARTICLE MADE THEREFROM

Номер: US20210189120A1
Принадлежит:

A resin composition includes a vinyl-containing polyphenylene ether resin and a prepolymer, wherein the prepolymer is prepared by prepolymerization of a mixture which at least includes a divinylbenzene, a triallyl compound and a diallyl isophthalate. An article made from the resin composition is also provided, which includes a prepreg, a resin film, a laminate or a printed circuit board. The article achieves improvements in at least one properties of glass transition temperature, copper foil peeling strength, dissipation factor, inner resin flow, melt viscosity, minimum dynamic viscosity, resin filling property in open area, and water resistance. 1. A resin composition comprising a vinyl-containing polyphenylene ether resin and a prepolymer , wherein the prepolymer is prepared by prepolymerization of a mixture which at least comprises a divinylbenzene , a triallyl compound and a diallyl isophthalate.2. The resin composition of claim 1 , wherein the vinyl-containing polyphenylene ether resin comprises a vinylbenzyl-containing polyphenylene ether resin claim 1 , a methacrylate-containing polyphenylene ether resin claim 1 , an allyl-containing polyphenylene ether resin claim 1 , a vinylbenzyl-modified bisphenol A polyphenylene ether resin claim 1 , a chain-extended vinyl-containing polyphenylene ether resin or a combination thereof.3. The resin composition of claim 1 , wherein the triallyl compound comprises triallyl isocyanurate claim 1 , triallyl cyanurate or a combination thereof.4. The resin composition of claim 1 , comprising 90 parts by weight of the vinyl-containing polyphenylene ether resin and 7 to 35 parts by weight of the prepolymer.5. The resin composition of claim 1 , comprising 90 parts by weight of the vinyl-containing polyphenylene ether resin and 10 to 30 parts by weight of the prepolymer.6. The resin composition of claim 1 , wherein the divinylbenzene claim 1 , the triallyl compound and the diallyl isophthalate are present in a weight ratio of 10-20: ...

Подробнее
11-09-2014 дата публикации

Method and Structure to Improve Process Window for Lithography

Номер: US20140256146A1
Принадлежит:

The present disclosure provides a method for forming resist patterns. The method includes providing a substrate; forming a material layer including a plurality of quenchers on the substrate; forming a resist layer on the material layer; exposing the resist layer; and developing the resist layer to form a structure featuring resist remaining layer on an upper surface of the material layer, and a plurality of resist features on the resist remaining layer to improve the yield of lithography process

Подробнее
13-06-2019 дата публикации

SYSTEMS AND METHODS FOR BIASING OPTICAL MODULATING DEVICES

Номер: US20190181956A1
Принадлежит:

Systems and methods described herein include methods and systems for controlling bias voltage provided to an optical modulating device. The optical modulating device is biased at a bias point that is different from a null point of the device such that an offset to the received optical power due to limited extinction ratio is reduced. 1. An apparatus comprising:a first optical modulating element having a first null point corresponding to a first level of a first bias signal;a second optical modulating element having a second null point corresponding to a second level of a second bias signal; and adjust the first bias signal according to a first error signal responsive to a first dither signal applied to the first bias signal from the first level to a first target level that biases the first modulating element at a first target bias point different from the first null point, and', 'adjust the second bias signal according to a second error signal responsive to a second dither signal applied to the second bias signal from the second level to a second target level that biases the second modulating element at a second target bias point different from the second mill point., 'a bias controller configured to,'}2. An apparatus as in wherein the adjustment of the first bias signal and the adjustment of the second bias signal reduce electric field coupling between the first modulating element and the second modulating element.3. An apparatus as in further comprising an optical receiver.4. An apparatus as in implemented in a router.5. An apparatus as in implemented in a server.6. An apparatus as in implemented in a switch.7. An apparatus as in wherein the bias controller is configured to:adjust the first bias signal by an amount proportional to a first error signal; andadjust the second bias signal by an amount proportional to the second error signal.8. An apparatus as in further comprising a data driver configured to:provide a first data signal to the first modulating element; ...

Подробнее
29-06-2017 дата публикации

PROJECTOR, ELECTRONIC DEVICE HAVING PROJECTOR AND ASSOCIATED MANUFACTURING METHOD

Номер: US20170187997A1
Принадлежит:

A projector includes a laser module for generating a laser beam and a wafer-level optics. The wafer-level optics includes a first substrate, a first collimator lens and a diffractive optical element, wherein the first collimator lens is manufactured on a first surface of the first substrate, and is arranged for receiving the laser beam from the laser module to generate a collimated laser beam; and the collimated laser beam directly passes through the diffractive optical element to generate a projected image of the projector. 1. A projector , comprising:a laser module, for generating a laser beam; and a first substrate;', 'a first collimator lens manufactured on a first surface of the first substrate, for receiving the laser beam from the laser module to generate a collimated laser beam; and', 'a diffractive optical element, wherein the collimated laser beam directly passes through the diffractive optical element to generate a projected image of the projector;, 'a wafer-level optics, comprisingwherein the diffractive optical element is imprinted on a second surface of the first substrate, and the second surface is opposite to the first surface.2. The projector of claim 1 , wherein the collimated laser beam does not directed by any prism or refractive element or reflective element.3. (canceled)4. The projector of claim 1 , wherein the second surface of the first substrate is substantially perpendicular to the collimated laser beam.5. A projector claim 1 , comprising:a laser module, for generating a laser beam; and a first substrate;', 'a first collimator lens manufactured on a first surface of the first substrate, for receiving the laser beam from the laser module to generate a collimated laser beam; and', 'a diffractive optical element, wherein the collimated laser beam directly passes through the diffractive optical element to generate a projected image of the projector;, 'a wafer-level optics, comprisingwherein the wafer-level optics further comprises:a second ...

Подробнее
23-07-2015 дата публикации

PIXEL ARRAY

Номер: US20150206470A1
Принадлежит:

A pixel array including first and second signal lines, an active device, a pixel electrode and selection lines is provided. The second signal lines are intersected with the first signal lines to drive the active device, and the pixel electrode is connected to the active device. The selection lines are electrically insulated to the second signal lines and intersected with the first signal lines, where at least one selective line is disposed between the adjacent two second signal lines. An amount ratio of the first signal lines and the selection lines is a/a, where a≦a, and when a and a are mutually prime numbers, the selection lines are divided into a plurality of groups, and each group includes a selection lines electrically connected to the first signal lines, and (a−a) selection lines not electrically connected to the first signal lines. 1. A pixel array , comprising:a plurality of first signal lines;a plurality of second signal lines, electrically insulated to the first signal lines, and intersected with the first signal lines to define a plurality of pixel regions;a plurality of active devices, located in the pixel regions, and each of the active devices being electrically connected to the corresponding first signal line and the second signal line;a plurality of pixel electrodes, disposed corresponding to the pixel regions, and electrically connected to the active devices; and{'b': 1', '2', '1', '2', '1', '2', '1', '2', '1, 'a plurality of selection lines, electrically insulated to the second signal lines and intersected with the first signal lines, and at least one selection line being disposed between the two neighbouring second signal lines, wherein an amount ratio of the first signal lines and the selection lines is a/a, where a≦a, and when a and a are mutually prime numbers, the selection lines are divided into a plurality of groups, and each group comprises a selection lines electrically connected to the first signal lines, and (a−a) selection lines not ...

Подробнее
11-06-2020 дата публикации

ARRAY SUBSTRATE AND METHOD FOR FABRICATING THEREOF

Номер: US20200185432A1
Принадлежит: AU OPTRONICS CORPORATION

A method for fabricating an array substrate is provided. A gate insulation layer, first and second gates and a first interlayered insulation layer are formed on first and second active layers in order. A photolithography and etching process is performed by using a photo mask to form first to fourth contact holes in the gate insulation layer and the first interlayered insulation layer. First and second sources and first and second drains which are respectively connected to the first and second active layers through the first to fourth contact holes are formed. A second interlayered insulation layer is formed. Another photolithography and etching process is performed by using said photo mask to form first to third openings and a via hole in the second interlayered insulation layer, wherein along a normal direction, the third opening and the first contact hole are overlapped, the via hole and the second contact hole are overlapped, the first opening and the third contact hole are overlapped, and the second opening and the fourth contact hole are overlapped. 1. A method for fabricating an array substrate , the array substrate having a display region and a driving circuit region , the method comprising:forming a first active layer at the display region and a second active layer at the driving circuit region, wherein the first active layer has a first channel predetermined region, a first source doped predetermined region and a first drain doped predetermined region, and the second active layer has a second channel predetermined region, a second source doped predetermined region and a second drain doped predetermined region;forming a gate insulation layer on the first active layer and the second active layer;forming a first gate and a second gate on the gate insulation layer, wherein the first gate and the first channel predetermined region are overlapped along a normal direction, and the second gate and the second channel predetermined region are overlapped along the ...

Подробнее
27-07-2017 дата публикации

Feedback photoplethysmography measuring device and measuring method thereof

Номер: US20170209054A1
Принадлежит: National Central University

A feedback photoplethysmography measuring device is provided, including: a measuring device that measures a human body to obtain a photoplethysmography signal; an analog/digital conversion unit that converts the photoplethysmography signal measured by the measuring device to a photoplethysmography value which is a digital value; a variation-degree calculation unit that calculates a photoplethysmography variation value according to the photoplethysmography value converted by the analog/digital conversion unit; and a feedback emitted light amplitude adjustment unit that controls the measuring device according to a plurality of preset control values or the adjusted photoplethysmography variation value calculated by the variation-degree calculation unit, and adjusts the photoplethysmography variation value to a minimum variation value.

Подробнее
04-08-2016 дата публикации

System And Method For Photomask Particle Detection

Номер: US20160225610A1

The method includes performing a photolithography process which includes using a photomask to pattern a radiation beam. The photolithography process also includes exposing a target substrate to the patterned radiation beam. During the exposing of the target surface, there is a real-time monitoring for particles incident or approximate the photomask.

Подробнее
17-09-2015 дата публикации

Method for Integrated Circuit Patterning

Номер: US20150262836A1

Provided is a method of forming a pattern for an integrated circuit. The method includes forming a first layer over a substrate, wherein the first layer's etch rate is sensitive to a radiation, such as an extreme ultraviolet (EUV) radiation or an electron beam (e-beam). The method further includes forming a resist layer over the first layer and exposing the resist layer to the radiation for patterning. During the exposure, various portions of the first layer change their etch rate in response to an energy dose of the radiation received therein. The method further includes developing the resist layer, etching the first layer, and etching the substrate to form a pattern. The radiation-sensitivity of the first layer serves to reduce critical dimension variance of the pattern. 1. A method of forming a pattern for an integrated circuit (IC) , the method comprising:forming a first material layer over a substrate, wherein the first material layer has a first etch rate;forming a resist layer over the first material layer;exposing the resist layer to a radiation for patterning the resist layer, wherein the radiation reaches the first material layer and alters the first material layer to have a second etch rate, different from the first etch rate;developing the resist layer thereby forming a patterned resist layer; andetching the first material layer with the patterned resist layer as an etch mask thereby forming a patterned first material layer.2. The method of claim 1 , wherein the substrate includes a hard mask layer over which the first material layer is formed.3. The method of claim 1 , wherein:the first material layer is configured such that the second etch rate is less than the first etch rate; andthe resist layer is a positive resist.4. The method of claim 3 , wherein the first material layer includes a polymerization of Ethyl(α-hydroxy)acrylate (EHMA) and methacryl acid (MAA).5. The method of claim 1 , wherein:the first material layer is configured such that the ...

Подробнее
27-11-2014 дата публикации

SYSTEM AND METHOD FOR PERFORMING LITHOGRAPHY PROCESS IN SEMICONDUCTOR DEVICE FABRICATION

Номер: US20140347644A1
Принадлежит:

Systems and methods that include providing for measuring a first topographical height of a substrate at a first coordinate on the substrate and measuring a second topographical height of the substrate at a second coordinate on the substrate are provided. The measured first and second topographical heights may be provided as a wafer map. An exposure process is then performed on the substrate using the wafer map. The exposure process can include using a first focal point when exposing the first coordinate on the substrate and using a second focal plane when exposing the second coordinate on the substrate. The first focal point is determined using the first topographical height and the second focal point is determined using the second topographical height. 1. A method , comprising:measuring a first topographical height at a first coordinate on a substrate;measuring a second topographical height at a second coordinate on the substrate;providing the measured first and second topographical heights as a wafer map; and using a first focal point when exposing the first coordinate on the substrate, wherein the first focal point is determined using the first topographical height; and', 'using a second focal point when exposing the second coordinate on the substrate, wherein the second focal point is determined using the second topographical height., 'performing an exposure process on the substrate using the wafer map, wherein the exposure process includes2. The method of claim 1 , wherein the measuring the first and second topographical heights are performed concurrently using a multi-tip atomic force microscopy (AFM) tool.3. The method of claim 1 , wherein the measuring the second topographical height is performed substantially concurrently with the exposing of the first coordinate.4. The method of claim 1 , wherein the wafer map is generated by determining an offset value between the first topographical height and a third height associated with the first coordinate.5. The ...

Подробнее
07-09-2017 дата публикации

Lithography Patterning with a Gas Phase Resist

Номер: US20170256418A1
Принадлежит:

Disclosed is a method for lithography patterning. The method includes providing a substrate, forming a deposition enhancement layer (DEL) over the substrate, and flowing an organic gas near a surface of the DEL. During the flowing of the organic gas, the method further includes irradiating the DEL and the organic gas with a patterned radiation. Elements of the organic gas polymerize upon the patterned radiation, thereby forming a resist pattern over the DEL. The method further includes etching the DEL with the resist pattern as an etch mask, thereby forming a patterned DEL. 1. A method for lithography patterning , comprising:providing a substrate;forming a deposition enhancement layer (DEL) over the substrate;flowing an organic gas near a surface of the DEL;during the flowing of the organic gas, irradiating the DEL and the organic gas with a patterned radiation, wherein elements of the organic gas polymerize upon the patterned radiation, thereby forming a resist pattern over the DEL; andetching the DEL with the resist pattern as an etch mask, thereby forming a patterned DEL.2. The method of claim 1 , wherein the DEL comprises Ru.3. The method of claim 1 , wherein the DEL comprises one of: Ce claim 1 , La claim 1 , Sb claim 1 , Pb claim 1 , Hf claim 1 , Zr claim 1 , Ti claim 1 , Cr claim 1 , W claim 1 , Mo claim 1 , Fe claim 1 , Os claim 1 , Co claim 1 , Rh claim 1 , Ir claim 1 , Ni claim 1 , Pd claim 1 , Pt claim 1 , Cu claim 1 , Ag claim 1 , Au claim 1 , Zn claim 1 , Cd claim 1 , Al claim 1 , Ga claim 1 , Tl claim 1 , Ge claim 1 , Sn claim 1 , and Bi.4. The method of claim 1 , wherein the DEL comprises a polymer having a functional group selected from a group consisting of: —I claim 1 , —Br claim 1 , and —Cl.5. The method of claim 1 , wherein the DEL comprises a polymer having a functional group selected from a group consisting of: —NH claim 1 , —COOH claim 1 , —OH claim 1 , —SH claim 1 , —N claim 1 , and —S(═O)—.6. The method of claim 1 , wherein the DEL comprises ...

Подробнее
30-07-2020 дата публикации

APPARATUS AND METHODS FOR DIGITAL SIGNAL CONSTELLATION TRANSFORMATION

Номер: US20200244366A1
Автор: FAN Shu Hao
Принадлежит:

Apparatus and method for digital signal constellation transformation are provided herein. In certain configurations, an integrated circuit includes an analog front-end that converts an analog signal vector representing an optical signal into a digital signal vector, and a digital signal processing circuit that processes the digital signal vector to recover data from the optical signal. The digital signal processing circuit generates signal data representing a signal constellation of the digital signal vector. The digital signal processing circuit includes an adaptive gain equalizer that compensates the signal data for distortion of the signal constellation arising from biasing errors of optical modulators used to transmit the optical signal. 1. A method of operating an integrated circuit device , the method comprising:receiving, by a digital signal processing circuit, a digital signal vector; andgenerating, by the digital signal processing circuit, signal data representing a signal constellation of the digital signal vector; and generating, by an adaptive gain equalizer of the digital signal processing circuit, an estimate of an angular tilt of the signal constellation; and', 'generating, by the adaptive gain equalizer, transformed signal data by compensating the signal data for distortion of the signal constellation based on the estimate of the angular tilt., 'wherein generating the signal data comprises'}2. The method of wherein the digital signal vector comprises a digital representation of an in-phase (I) component and a quadrature-phase (Q) component of an optical signal; and wherein the generating the estimate of the angular tilt includes generating claim 1 , by the adaptive gain equalizer claim 1 , the estimate of the angular tilt based on a sum of a plurality of cross-correlations of I and Q components of the signal constellation.3. The method of wherein the sum of the plurality of cross-correlations is computed over a moving window of data samples obtained ...

Подробнее
07-10-2021 дата публикации

RESIN COMPOSITION AND ARTICLE MADE THEREFROM

Номер: US20210309852A1
Принадлежит:

A resin composition includes 100 parts by weight of a vinyl-containing polyphenylene ether resin and 45 parts by weight to 75 parts by weight of an inorganic filler combination, wherein the inorganic filler combination at least includes chemically synthesized silica and silicon nitride, and a weight ratio of the chemically synthesized silica and the silicon nitride is between 1:2 and 5:2. The resin composition or an article made therefrom may achieve improvement in at least one of the following properties: dielectric constant, dissipation factor, peel strength, soldering resistance, T300 thermal resistance, laminate appearance, sedimentation property, water absorption rate, and ratio of thermal expansion. 1. A resin composition , comprising:100 parts by weight of a vinyl-containing polyphenylene ether resin; and45 parts by weight to 75 parts by weight of an inorganic filler combination, the inorganic filler combination at least comprising chemically synthesized silica and silicon nitride, wherein a weight ratio of the chemically synthesized silica and the silicon nitride is between 1:2 and 5:2.2. The resin composition of claim 1 , wherein the vinyl-containing polyphenylene ether resin comprises a vinylbenzyl-containing polyphenylene ether resin claim 1 , a methacrylate-containing polyphenylene ether resin claim 1 , a chain-extended vinyl-containing polyphenylene ether resin claim 1 , an allyl-containing polyphenylene ether resin or a combination thereof.3. The resin composition of claim 1 , wherein the chemically synthesized silica comprises spherical silica having a particle size of between 0.5 and 4.5 μm.4. The resin composition of claim 1 , wherein the chemically synthesized silica comprises microemulsion synthesized silica claim 1 , vaporized metal combustion synthesized silica or a combination thereof.5. The resin composition of claim 1 , wherein the silicon nitride comprises irregular silicon nitride having a particle size of between 0.5 and 12 μm.6. The resin ...

Подробнее
13-09-2018 дата публикации

PROJECTOR, ELECTRONIC DEVICE HAVING PROJECTOR AND ASSOCIATED MANUFACTURING METHOD

Номер: US20180262726A1
Принадлежит:

A projector includes a laser module for generating a laser beam and a wafer-level optics. The wafer-level optics includes a first substrate, a first collimator lens and a diffractive optical element, wherein the first collimator lens is manufactured on a first surface of the first substrate, and is arranged for receiving the laser beam from the laser module to generate a collimated laser beam; and the collimated laser beam directly passes through the diffractive optical element to generate a projected image of the projector. 1. A method for manufacturing a projector , comprising:providing a first substrate;manufacturing a first collimator lens on the first substrate;providing a second substrate;imprinting a diffractive optical element on the second substrate by a working stamp; andassembling the first substrate, the second substrate and a laser module to make a laser beam generated from the laser module directly passes through the first collimator lens and the diffractive optical element to generate a projected image of the projector.2. The method of claim 1 , wherein steps of manufacturing the first collimator lens on the first substrate comprises:cleaning the first substrate before manufacturing the first collimator.3. The method of claim 2 , wherein steps of manufacturing the first collimator lens on the first substrate further comprises:injecting or dispensing an ultraviolet (UV) curable polymer on the first substrate.4. The method of claim 3 , wherein steps of manufacturing the first collimator lens on the first substrate further comprises:using a working stamp to shape the UV curable polymer.5. The method of claim 4 , wherein steps of manufacturing the first collimator lens on the first substrate further comprises:after the UV curable polymer is shaped, using an UV ray to cure the UV curable polymer.6. The method of claim 5 , wherein steps of manufacturing the first collimator lens on the first substrate further comprises:removing the working stamp.7. The ...

Подробнее
11-12-2014 дата публикации

DISPLAY PANEL AND MANUFACTURING METHOD THEREOF

Номер: US20140361301A1
Принадлежит: E Ink Holdings Inc.

A display panel and a manufacturing method thereof are disclosed herein. The display panel includes a substrate, a peripheral circuit, a plurality of pixel electrodes, a plurality of switches, and an insulating layer. The substrate has a display region and a non-display region. At least a portion of the peripheral circuit is located on the display region of the substrate. The pixel electrodes are located on the display region of the substrate. The switches are respectively and electrically connected to the pixel electrodes, configured to be respectively switched on according to a plurality of scan signals, so as to transmit a plurality of data signals to the pixel electrodes. The insulating layer is located between the peripheral circuit and the pixel electrodes, and is configured to prevent the peripheral circuit from interfering with the pixel electrodes. 1. A display panel comprising:a substrate comprising a display region and a non-display region;a peripheral circuit, wherein at least a portion of the peripheral circuit is located on the display region;a plurality of pixel electrodes located on the display region;a plurality of switches respectively and electrically connected to the pixel electrodes, wherein the switches are configured to be switched on according to a plurality of scan signals respectively, so as to transmit a plurality of data signals to the pixel electrodes; andan insulating layer located between the peripheral circuit and the pixel electrodes, wherein the insulating layer is configured to prevent the peripheral circuit from interfering with the pixel electrodes.2. The display panel as claimed in further comprising:a display layer configured to display an image according to the data signals received by the pixel electrodes.3. The display panel as claimed in claim 1 , wherein the peripheral circuit comprises an amorphous silicon array driver (ARD) claim 1 , at least a portion of the ARD is located on the display region claim 1 , and the ARD is ...

Подробнее
21-09-2017 дата публикации

MATERIAL COMPOSITION AND METHODS THEREOF

Номер: US20170271150A1
Принадлежит:

Provided is a material composition and method for that includes providing a substrate and forming a resist layer over the substrate. In various embodiments, the resist layer includes a multi-metal complex including an extreme ultraviolet (EUV) absorption element and a bridging element. By way of example, the EUV absorption element includes a first metal type and the bridging element includes a second metal type. In some embodiments, an exposure process is performed to the resist layer. After performing the exposure process, the exposed resist layer is developed to form a patterned resist layer.

Подробнее
01-10-2015 дата публикации

NETWORK-ASSISTED CHANNEL SELECTION AND POWER CONTROL FOR MOBILE DEVICES

Номер: US20150282184A1
Принадлежит:

Facilitation of a network assisted device-decided system can increase throughput of D2D devices and the link reliability of macrocells. In a network assisted device-decided system a macrocell can broadcast resource allocation data to D2D devices. The D2D devices can then select channels and adjust transmission power to offload traffic from the macrocell, thus creating a high spectrum efficiency with low power. 1. A method , comprising:sending, by a device comprising a processor, preferred sub-channel data representing a set of preferred sub-channels enabling connection of the device to a network device of a network;receiving, by the device, resource allocation instruction data comprising power data representing an allowable transmission power of each preferred sub-channel;selecting, by the device, at least one preferred sub-channel from the set of preferred sub-channels to increase a data throughput of the device, wherein the selecting comprises determining the at least one preferred sub-channel at least in part based on information received from the network device; andselecting, by the device, a transmission power of the device in accordance with the at least one preferred sub-channel.2. The method of claim 1 , wherein the allowable transmission power of the device is a predefined allowable transmission power.3. The method of claim 1 , wherein the resource allocation instruction data comprises a predefined allowable transmission power of at least one sub-channel of the set of preferred sub-channels of the device.4. The method of claim 1 , wherein the selecting the at least one preferred sub-channel comprises randomly or pseudo-randomly selecting the at least one preferred sub-channel for a data transmission.5. The method of claim 1 , wherein the selecting the at least one preferred sub-channel comprises selecting the at least one preferred sub-channel based on data link information of the device.6. The method of claim 1 , wherein the selecting the at least one ...

Подробнее
20-09-2018 дата публикации

SYSTEMS AND METHODS FOR BIASING OPTICAL MODULATING DEVICES

Номер: US20180269978A1
Принадлежит:

Systems and methods described herein include methods and systems for controlling bias voltage provided to an optical modulating device. The optical modulating device is biased at a bias point that is different from a null point of the device such that an offset to the received optical power due to limited extinction ratio is reduced. 1. A method of processing a signal , the method comprising:comprising:generating a light beam from a laser configured to generate the light beam;receiving the light beam with an optical modulator comprising an input optical waveguide configured to receive the light beam;modulating using a plurality of modulating elements configured to modulate the light beam, wherein the plurality of modulating elements comprise a first modulating element having a first null point corresponding to a first level of a first bias signal, and a second modulating element having a second null point corresponding to a second level of a second bias signal;providing a first data signal from a data driver configured to provide the first data signal to the first modulating element;providing a second data signal to the second modulating element; andadjusting the first bias signal using a bias controller configured to adjust the first bias signal by an amount proportional to a first error signal and adjusting the second bias signal by an amount proportional to a second error signal, wherein the first error signal is responsive to a first dither signal applied to the first bias signal from the first level to a first target level that biases the first modulating element at a first target bias point different from the first null point, and the second error signal is responsive to a second dither signal applied to the second bias signal from the second level to a second target level that biases the second modulating element at a second target bias point different from the second null point, whereupon the adjustment of the first bias signal and the adjustment of the ...

Подробнее
08-10-2015 дата публикации

Photoresist Having Improved Extreme-Ultraviolet Lithography Imaging Performance

Номер: US20150286138A1
Принадлежит:

Provided herein is a photoresist compound with improved extreme-ultraviolet lithography image performance. The photoresist includes a polymer that is free of an aromatic group and a photo acid generator (PAG) free of aromatic groups. The PAG includes an anion component and a cation component, wherein the anion component has one of the several specified chemical formulas and the cation component also has a specified chemical formula. The anion component includes a material selected from the group consisting of methyl and ethyl and the cation component includes a material selected from the group consisting of: an alkyl group, an alkenyl group, and an oxoalkyl group. 2. The EUV photoresist of claim 1 , wherein the photoresist is substantially non-absorptive of out-of-band radiation.3. The EUV photoresist of claim 2 , wherein the out-of-band radiation includes radiation having a bandwidth ranging between about 140 nanometers and about 400 nanometers.4. The EUV photoresist of claim 1 , wherein at least two of Rare bonded together to form a ring with S.5. The EUV photoresist of claim 1 , wherein the alkyl group claim 1 , the alkenyl group claim 1 , and the oxoalkyl group are straight.6. The EUV photoresist of claim 1 , wherein the alkyl group claim 1 , the alkenyl group claim 1 , or the oxoalkyl group is branched.9. The photoresist of claim 8 , wherein the photoresist is an EUV photoresist.10. The photoresist of claim 8 , wherein the photoresist is substantially non-absorptive of radiation having a bandwidth ranging from about 140 nanometers to about 400 nanometers.13. The method of claim 12 , wherein the photoresist material is substantially non-absorptive of out-of-band radiation and the lithography process is an EUV lithography process.14. The method of claim 13 , wherein the out-of-band radiation includes radiation having a bandwidth ranging between about 140 nanometers and about 400 nanometers.15. The method of claim 12 , wherein the alkyl group claim 12 , the ...

Подробнее
19-09-2019 дата публикации

TOUCH DISPLAY PANEL

Номер: US20190286268A1
Принадлежит: AU OPTRONICS CORPORATION

A touch display panel includes a pixel array, a touch module, and a multiplexer circuit. The pixel array includes a plurality of pixels, a plurality of gate lines, and a plurality of source lines. The pixels are electrically coupled to the source lines and the gate lines. The touch module and the pixel array are overlapped. The multiplexer circuit is coupled between all of the source lines and a source driver and has a plurality of multiplexers. The multiplexers are respectively coupled to n source lines and respectively include a plurality of switches and a bypass trace. The switches are respectively coupled between the first source line to the (n−1)source line of the n source lines and the source drivers. The bypass trace is coupled between the nsource line of the n source lines and the source driver. 1. A touch display panel comprising: a plurality of pixels arranged in an array;', 'a plurality of gate lines; and', 'a plurality of source lines staggered with the plurality of gate lines, the plurality of pixels being coupled to the plurality of source lines and the plurality of gate lines;, 'a pixel array comprisinga touch module, the touch module and the pixel array are overlapped; and [{'sup': 'th', 'a plurality of switches respectively coupled between a first source line to an (n−1)source line of the n source lines and the source driver; and'}, {'sup': 'th', 'a bypass trace coupled between an nsource line of the n source lines and the source driver.'}], 'a multiplexer circuit coupled between all of the plurality of source lines and a source driver, the multiplexer circuit having a plurality of multiplexers, the plurality of multiplexers being respectively coupled to n source lines of the plurality of source lines and comprising2. The touch display panel according to claim 1 , wherein time periods of providing data voltages of the first source line to the (n−1)source line are longer than a time period of providing a data voltage of the nsource line.3. The ...

Подробнее
10-09-2020 дата публикации

SEMICONDUCTOR DEVICE HAVING FINS

Номер: US20200287047A1

A device includes a semiconductor substrate, a first fin arranged over the semiconductor substrate, and an isolation structure. The first fin includes an upper portion, a bottom portion, and an insulator layer between the upper portion and the bottom portion. A top surface of the insulator layer is wider than a bottom surface of the upper portion of the first fin. The isolation structure surrounds the bottom portion of the first fin. 1. A device , comprising:a semiconductor substrate;a first fin over the semiconductor substrate, the first fin comprising an upper portion, a bottom portion, and an insulator layer between the upper portion and the bottom portion, wherein a top surface of the insulator layer is wider than a bottom surface of the upper portion of the first fin; andan isolation structure surrounding the bottom portion of the first fin.2. The device of claim 1 , wherein the top surface of the insulator layer is in a position higher than a top surface of the isolation structure.3. The device of claim 1 , wherein a difference between a width of the top surface of the insulator layer and a width of the bottom surface of the upper portion of the first fin is in a range from about 2 nm to about 3 nm.4. The device of claim 1 , further comprising:a second fin over the semiconductor substrate, the second fin comprising an upper portion and a bottom portion under the upper portion of the second fin, wherein a top surface of the bottom portion of the second fin is wider than a bottom surface of the upper portion of the second fin.5. The device of claim 4 , wherein an interface between the upper portion and the bottom portion of the second fin is in a position lower than a top surface of the isolation structure.6. The device of claim 4 , wherein the upper portion and the bottom portion of the second fin comprise different materials.7. The device of claim 4 , wherein a difference between a width of the top surface of the bottom portion of the second fin and a width of ...

Подробнее
26-09-2019 дата публикации

PREPOLYMERIZED RESIN, PREPARATION METHOD THEREOF, RESIN COMPOSITION COMPRISING THE SAME, AND ARTICLE MADE THEREFROM

Номер: US20190292326A1
Автор: CHANG Shu-Hao
Принадлежит:

A prepolymerized resin and a preparation method thereof are provided, the method comprising a step of pre-reacting bis(vinylphenyl)ethane and polybutadiene. Bis(vinylphenyl)ethane may include 1,2-bis(4-vinylphenyl)ethane, 1,2-(3-vinylphenyl-4-vinylphenyl)ethane, 1,2-bis(3-vinylphenyl) ethane or a combination thereof. Polybutadiene has a 1,2-vinyl content of 85% or above and a number average molecular weight of less than 3000. A resin composition comprising the prepolymerized resin and an article made from the resin composition are also provided. 1. A prepolymerized resin prepared by pre-reacting a composition , the composition at least comprising bis(vinylphenyl)ethane and polybutadiene , wherein the polybutadiene has a 1 ,2-vinyl content of 85% or above and a number average molecular weight of less than 3000.2. The prepolymerized resin of claim 1 , which is prepared by pre-reacting the composition in the presence of a polymerization inhibitor.3. The prepolymerized resin of claim 1 , wherein the composition further comprises vinyl-containing polyphenylene ether.4. The prepolymerized resin of claim 1 , which has a number average molecular weight of between 4 claim 1 ,000 and 12 claim 1 ,000.5. The prepolymerized resin of claim 1 , which contains a reactive vinyl group.6. A method of preparing the prepolymerized resin of claim 1 , comprising subjecting the composition to a pre-reaction in the presence of a polymerization inhibitor.7. The method of claim 6 , wherein the composition further comprises vinyl-containing polyphenylene ether.8. The method of claim 7 , wherein the vinyl-containing polyphenylene ether comprises vinylbenzyl-terminated polyphenylene ether resin claim 7 , vinylbenzyl-modified bisphenol A polyphenylene ether resin claim 7 , methacrylate-terminated polyphenylene ether resin claim 7 , vinyl-containing chain-extended polyphenylene ether resin or a combination thereof.9. The method of claim 6 , wherein the polymerization inhibitor comprises ...

Подробнее
18-10-2018 дата публикации

Production Management Method and System Using Power Consumption Features

Номер: US20180299944A1
Принадлежит:

A production management method and system using power consumption features are described. An electric meter is connected to an equipment machine for measuring power consumption data of the equipment machine. The power consumption data during a processing cycle of the equipment machine is used as a power consumption sample. The power consumption sample is uploaded to a cloud server and a plurality of feature points is set. The power consumption data of the equipment machine is uploaded to the cloud server in real time and compared with the power consumption sample through feature matching, to obtain a facility utilization rate, a production efficiency and a product yield of the equipment machine, so as to calculate an overall equipment effectiveness.

Подробнее
03-10-2019 дата публикации

PHOSPHORUS-CONTAINING FLAME RETARDANT, PREPARATION METHOD THEREOF, RESIN COMPOSITION COMPRISING THE PHOSPHORUS-CONTAINING FLAME RETARDANT AND ARTICLE MADE THEREFROM

Номер: US20190300556A1
Принадлежит:

A phosphorus-containing flame retardant, a preparation method thereof, a resin composition comprising the phosphorus-containing flame retardant and an article made therefrom are disclosed. The phosphorus-containing flame retardant is characterized by having the advantages of high phosphorus content, high resin compatibility, not increasing gel time of varnish and low water absorption rate, and is therefore suitable for use as a flame retardant of various resin materials. 2. The phosphorus-containing flame retardant of claim 1 , wherein Ar represents benzene claim 1 , naphthalene or biphenyl.6. The phosphorus-containing flame retardant of claim 1 , having a phosphorus content of between 10% and 15%.7. The phosphorus-containing flame retardant of claim 1 , having a water absorption rate of less than 0.20%.8. A method of preparing the phosphorus-containing flame retardant of claim 1 , comprising reacting a phosphorus-containing hydroxyl aromatic compound and a phosphorus-containing halide in the presence of a catalyst.10. A resin composition comprising a resin and at least one phosphorus-containing flame retardant of .11. The resin composition of claim 10 , further comprising a property modifier.12. An article made from the resin composition of claim 10 , comprising a resin film claim 10 , a resin-coated copper claim 10 , a prepreg claim 10 , a laminate or a printed circuit board. This application claims the priority benefit of China Patent Application No. 201810258299.9, filed on Mar. 27, 2018, the entirety of which is hereby incorporated by reference and made a part of this specification.The present disclosure primarily relates to a phosphorus-containing flame retardant and more particularly to a phosphorus-containing flame retardant molecule containing two or more phosphorus atoms.To promote the flame retardancy of a resin material, various flame retardants are generally added to the resin, such as halogen-containing flame retardants or phosphorus-containing flame ...

Подробнее
12-11-2015 дата публикации

Particle removal system and method thereof

Номер: US20150323862A1

A method of removing particles from a surface of a reticle is disclosed. The reticle is placed in a carrier, a source gas is flowed into the carrier, and a plasma is generated within the carrier. Particles are then removed from a surface of the reticle using the generated plasma. A system of removing particles from a surface includes a carrier configured to house a reticle, a reticle stocker including the carrier, a power supply configured to apply a potential between an inner cover and an inner baseplate of the carrier, and a gas source configured to flow a gas into the carrier. A plasma may be generated within the carrier, and particles can be removed from a surface of the reticle using the generated plasma. An acoustic energy source configured to agitate at least one of the source gas and the generated plasma may be provided to facilitate particle removal using an agitated plasma.

Подробнее
25-10-2018 дата публикации

APPARATUS AND METHODS FOR DIGITAL SIGNAL CONSTELLATION TRANSFORMATION

Номер: US20180309520A1
Автор: FAN Shu Hao
Принадлежит:

Apparatus and method for digital signal constellation transformation are provided herein. In certain configurations, an integrated circuit includes an analog front-end that converts an analog signal vector representing an optical signal into a digital signal vector, and a digital signal processing circuit that processes the digital signal vector to recover data from the optical signal. The digital signal processing circuit generates signal data representing a signal constellation of the digital signal vector. The digital signal processing circuit includes an adaptive gain equalizer that compensates the signal data for distortion of the signal constellation arising from biasing errors of optical modulators used to transmit the optical signal. 1. A method of operating a network , the method comprising:in an integrated optical module,receiving, by a coherent optical receiver, an optical signal from an optical cable of the integrated optical module;generating, by the coherent optical receiver, an analog signal representing the optical signal;processing, by a transceiver, the analog vector to generate a digital signal vector, wherein the digital signal vector comprises a digital representation of an in-phase (I) component and a quadrature-phase (Q) component of the optical signal; andgenerating, by the transceiver, signal data representing a signal constellation of the digital signal vector; generating, by an adaptive gain equalizer of the transceiver, transformed signal data by compensating the signal data for distortion of the signal constellation,', 'generating, by the adaptive gain equalizer, an estimate of an angular tilt of the signal constellation, and', 'compensating, by the adaptive gain equalizer, the signal data based on the estimate of the angular tilt;', 'wherein the optical signal comprises a multi-level quadrature amplitude modulation (QAM) signal, a discrete multi-toned (DMT) modulation signal, an orthogonal frequency division multiplexing (OFDM), or a ...

Подробнее
19-11-2015 дата публикации

WAFER LEVEL LENS, LENS SHEET

Номер: US20150331155A1
Принадлежит:

A lens sheet including a first transparent substrate, a first lens film, a second lens film, a second transparent substrate, a plurality of bonding material patterns and a plurality of buffer cavities is provided. The first lens film is disposed on the first transparent substrate, and having a plurality of first lens portions and a plurality of first carrying portions. The second lens film is disposed between the second transparent substrate and the first lens film. The bonding material patterns are disposed between the second lens film and the first carrying portions. The buffer cavities are located between the first carrying portions and the first lens portions.

Подробнее
01-11-2018 дата публикации

Lithography Patterning with a Gas Phase Resist

Номер: US20180314167A1
Принадлежит:

Disclosed is an apparatus for lithography patterning. The apparatus includes a substrate stage configured to hold a substrate coated with a deposition enhancement layer (DEL), a radiation source for generating a patterned radiation towards a surface of the DEL, and a supply pipe for flowing an organic gas near the surface of the DEL, wherein elements of the organic gas polymerize upon the patterned radiation, thereby forming a resist pattern over the DEL. 1. An apparatus , comprising:a substrate stage configured to hold a substrate coated with a deposition enhancement layer (DEL);a radiation source for generating a patterned radiation towards a surface of the DEL; anda supply pipe for flowing an organic gas near the surface of the DEL, wherein elements of the organic gas polymerize upon the patterned radiation, thereby forming a resist pattern over the DEL.2. The apparatus of claim 1 , further comprising:a flushing pipe for flowing a flushing gas that is insensitive to the patterned radiation.3. The apparatus of claim 2 , wherein the flushing pipe is configured to flow the flushing gas when the supply pipe is shut off and to shut off the flowing of the flushing gas when the supply pipe flows the organic gas.4. The apparatus of claim 2 , wherein the flushing gas includes hydrogen.5. The apparatus of claim 1 , wherein the substrate stage is configured to move the substrate and the DEL relative to the patterned radiation such that the patterned radiation scans the surface of the DEL.6. The apparatus of claim 1 , wherein the supply pipe is configured to flow the organic gas while the patterned radiation scans the surface of the DEL and to shut off the flowing of the organic gas before the patterned radiation reaches an edge of the DEL.7. The apparatus of claim 1 , further comprising:a slit sensor configured to monitor an energy level of the patterned radiation.8. The apparatus of claim 1 , further comprising:an exhaust line configured to discharge gaseous wastes.9. The ...

Подробнее
19-11-2015 дата публикации

Semiconductor Integrated Circuit Fabrication With Pattern-Reversing Process

Номер: US20150332922A1

A method of fabricating a semiconductor integrated circuit (IC) is disclosed. An inverse mask is provided. A sacrificial layer is deposited over a substrate. A patterned photoresist layer is formed over the sacrificial layer using the inverse mask. The sacrificial layer is then etched through the patterned photoresist layer to form a patterned sacrificial layer. A hard mask layer is deposited over the patterned sacrificial layer. The patterned sacrificial layer is then removed to form a second pattern on the hard mask layer.

Подробнее
08-11-2018 дата публикации

APPARATUS AND METHODS FOR TIMING TONE BASED TRANSMITTER SKEW ALIGNMENT IN AN OPTICAL COMMUNICATION SYSTEM

Номер: US20180323871A1
Принадлежит:

Apparatus and method for transmitter alignment in an optical communication system are provided. In certain configurations, a method of correcting for transmitter skew is provided. The method includes generating an optical signal using a transmitter based on an in-phase (I) component and a quadrature-phase (Q) component of a transmit signal, the optical signal having a baud rate that is based on a timing tone. The method further includes receiving the optical signal as an input to a receiver, and generating a signal vector representing the optical signal using the receiver. The signal vector includes an I component and a Q component. The method further includes calculating a power of the timing tone based on processing the signal vector using a tone power calculator of the receiver, and correcting for a skew of the transmitter based on the calculated power. 1. A transmitter error compensation device for a digital signal processing circuit in a receiver for an optical communications system , the device comprising:a MIMO equalizer configured to receive a digital signal vector from an analog front-end and to generate a compensated digital vector, wherein the digital signal vector comprises a digital representation of an in-phase (I) component and a quadrature-phase (Q) component of an optical signal received from a transmitter;wherein the MIMO equalizer is configured to compensate for a modulating biasing error of the transmitter;a first SISO equalizer configured to generate an I component of the compensated digital vector;a second SISO equalizer configured to generate a Q component of the compensated digital vector;wherein the first and second SISO equalizers are configured to compensate for a transmit skew error of the transmitter;an I signal path including the MIMO equalizer and the first SISO equalizer; anda Q signal path including the MIMO equalizer and the second SISO equalizer.2. The device of further comprising a slicer configured to generate an output digital ...

Подробнее
23-11-2017 дата публикации

LIQUID CRYSTAL DISPLAY PANEL

Номер: US20170336682A1
Принадлежит:

A liquid crystal display panel includes first and second substrates facing each other, a liquid crystal layer between the first and second substrates, at least one pixel electrode including at least one slit and between the first substrate and the liquid crystal layer, and at least one first stripe electrode and at least one second stripe electrode between the second substrate and the liquid crystal layer. The pixel electrode and the slit extend in a first direction. The first stripe electrodes and the second stripe electrodes extend respectively in a second direction not parallel to the first direction. In a vertical projection direction from the second substrate toward the first substrate, at least a portion of the at least one pixel electrode is disposed between at least one first stripe electrode and at least one second stripe electrode, and the first and second stripe electrodes are separated from each other. 1. A liquid crystal display panel , comprising:a first substrate;a second substrate, disposed facing the first substrate;a liquid crystal layer, disposed between the first substrate and the second substrate;at least one pixel electrode, disposed between the first substrate and the liquid crystal layer, wherein the at least one pixel electrode comprises at least one slit, and the at least one pixel electrode and the at least one slit extend in a first direction; andat least one first stripe electrode and at least one second stripe electrode, disposed between the second substrate and the liquid crystal layer, wherein the at least one first stripe electrode and the at least one second stripe electrode extend in a second direction, the first direction and the second direction are not parallel to each other, and in a vertical projection direction from the second substrate toward the first substrate, at least a portion of the at least one pixel electrode is disposed between the at least one first stripe electrode and the at least one second stripe electrode, and ...

Подробнее
23-11-2017 дата публикации

CHEMICAL MECHANICAL POLISHING SLURRY, METHOD FOR CHEMICAL MECHANICAL POLISHING AND MANUFACTURING METHOD OF SEMICONDUCTOR STRUCTURE

Номер: US20170338123A1
Автор: Huang Shu-Hao
Принадлежит:

A chemical mechanical polishing (CMP) slurry, a method for CMP, and a manufacturing method of a semiconductor structure are provided. The CMP slurry includes a pH-adjustor for providing an alkaline environment in the CMP slurry and a silicon inhibitor for lowering a removal rate of silicon. The CMP slurry is used in a planarization operation to remove portions of a semiconductor region and portions of a silicon region. The semiconductor region comprises at least one semiconductor material different from silicon. The semiconductor region is formed in a recess adjacent to the silicon region. The particle defect condition may be improved by applying the alkaline CMP slurry, and the silicon inhibitor may be used to modify the removal rate selectivity between the semiconductor region and the silicon region in the planarization operation. 19-. (canceled)10. A method for chemical mechanical polishing (CMP) , comprising:receiving a substrate, wherein the substrate comprises a silicon region and a semiconductor region adjacent to the silicon region, and the semiconductor region comprises at least one semiconductor material different from silicon; andperforming a planarization operation with an alkaline CMP slurry to remove a portion of the semiconductor region and a portion of the silicon region.11. The method of claim 10 , wherein the alkaline CMP slurry comprises:a pH-adjustor; anda silicon inhibitor.12. The method of claim 11 , wherein the silicon inhibitor comprises an inhibitor lowering a removal rate of the silicon region in the planarization operation.13. The method of claim 10 , wherein the alkaline CMP slurry has a selectivity claim 10 , with the selectivity being a ratio of a first removal rate of the semiconductor region to a second removal rate of the silicon region claim 10 , and the selectivity is higher than 0.6.14. The method of claim 11 , wherein the silicon inhibitor comprises alkyl carboxylic acid claim 11 , poly ethylene glycol claim 11 , or ...

Подробнее
08-12-2016 дата публикации

Apparatus and method for noninvasive and cuffless blood pressure measurement

Номер: US20160353998A1
Принадлежит: National Central University

An apparatus and a method for noninvasive and caffless blood pressure measurement are provided. The apparatus includes: a photoplethysmography (PPG) amplitude calculation unit, a time difference calculation unit, a velocity calculation unit, and a calculation unit. The method includes the following steps: calculating amplitude differences between characteristic points of a PPG waveform; calculating differences between a time point of a peak of an electrocardiography (ECG) waveform and a time point of each of the characteristic points of the PPG waveform; calculating differences between time points of the characteristic points of the PPG waveform; performing calculation according to the length of a hand and an ECG-PPG time difference to obtain a pulse wave velocity (PWV); and performing calculation according to the PWV, one of the characteristic point amplitude difference, and one of the characteristic point time difference to obtain a systolic/diastolic blood pressure estimate.

Подробнее
07-12-2017 дата публикации

METHOD OF DYNAMIC FREQUENCY SELECTION AND AN ELECTRONIC DEVICE EMPLOYING THE SAME

Номер: US20170353916A1
Автор: CHANG Shu-Hao
Принадлежит:

A method of dynamic frequency selection includes receiving setting information of a WI-FI unit input by an input unit, controlling the WI-FI unit in a STA working mode to switch different channels to scan an available access point AP with dynamic frequency selection within one channel when receiving the setting information of setting the WI-FI unit to work in an AP working mode and the STA working mode simultaneously, controlling the WI-FI unit in the AP working mode to switch to the channel within which the WI-FI unit in the STA working mode scans the available access point AP when the WI-FI unit in the STA working mode scans the available access point AP, and controlling the WI-FI unit in the AP working mode to communicate with a terminal device via the switched access point AP. 1. An electronic device with dynamic frequency selection , comprising:at least one processor;a wireless unit coupled to the at least one processor configured to work in an access point (AP) working mode and a station (STA) working mode;a non-transitory storage medium coupled to the at least one processor and configured to store a plurality of instructions, which cause the device to: (a) control the wireless unit to scan an i-th channel, wherein 1≦i≦N, and N represents a number of preset channels;', '(b) control the wireless unit to establish a network connection with an available hotspot via the i-th channel when the available hotspot is discovered;', '(c) determine the i-th channel is available when the network connection is established;', '(d) if the available hotspot is not discovered, change the value of i, and repeat the steps(a)-(d) until a available channel is discovered; and, 'when the wireless unit in the STA working mode 'control the wireless unit to communicate with a terminal device via the available channel which is discovered by the wireless unit under the STA working mode.', 'when the wireless unit in the AP working mode2. The electronic device as recited in claim 1 , ...

Подробнее
21-12-2017 дата публикации

WHEELED CRANE AND SELF-DEMOUNTING AND SELF-MOUNTING METHODS FOR SUPPORTING LEGS THEREOF

Номер: US20170362065A1
Принадлежит:

A wheeled crane and self-demounting and self-mounting methods for supporting legs thereof is disclosed. The wheeled crane includes a vehicle frame and auxiliary supports, and the auxiliary supports can enable the vehicle frame to keep balance. Since the wheeled crane has auxiliary supports, when the supporting legs are demounted or mounted, the auxiliary supports function as supporting legs, so that the supporting legs can be mounted and demounted by the operation part of the wheeled crane with no need of using an auxiliary crane, and thus the self-mounting and self-demounting of the supporting legs of the wheeled crane are realized. 118-. (canceled)19. A wheeled crane , comprising: a vehicle frame and one or more auxiliary supports , wherein the one or more auxiliary supports are configured to enable the vehicle frame to keep balance.20. The wheeled crane of claim 19 , wherein the one or more auxiliary supports comprise a plurality of auxiliary supports claim 19 , and the plurality of auxiliary supports support the vehicle frame together to enable the vehicle frame to keep balance.21. The wheeled crane of claim 19 , wherein the one or more auxiliary supports are supporting legs in a connected configuration on the wheeled crane claim 19 , and the supporting legs are configured to change positions and/or angles relative to the vehicle frame to enable the vehicle frame to keep balance.22. The wheeled crane of claim 19 , further comprising supporting legs claim 19 , wherein the auxiliary supports and the supporting legs support the vehicle frame together to enable the vehicle frame to keep balance.23. The wheeled crane of claim 22 , wherein the supporting legs are detachably connected with the vehicle frame claim 22 , the auxiliary supports are connected to the vehicle frame claim 22 , and the auxiliary supports are near the supporting legs; and the supporting legs are selected from to-be-mounted supporting legs and to-be-demounted supporting legs on the wheeled crane. ...

Подробнее
22-12-2016 дата публикации

Rotary euv collector

Номер: US20160370705A1

An EUV collector is rotated between or during operations of an EUV photolithography system. Rotating the EUV collector causes contamination to distribute more evenly over the collector's surface. This reduces the rate at which the EUV photolithography system loses image fidelity with increasing contamination and thereby increases the collector lifetime. Rotating the collector during operation of the EUV photolithography system can induce convection and reduce the contamination rate. By rotating the collector at sufficient speed, some contaminating debris can be removed through the action of centrifugal force.

Подробнее
14-11-2019 дата публикации

DATA PACKET COMPENSATION IN MULTI-DEVICE MEDIA SYSTEMS

Номер: US20190349682A1
Автор: Hsu Shu Hao
Принадлежит:

Various embodiments relate to data packet compensation in multi-device media systems. A secondary headphone device may include one or more communication interfaces configured to communicate with a primary headphone device over a first wireless communication link. The secondary headphone device may also include a sniffer configured to capture one or more data packets communicated from a media source to the primary headphone device over a second wireless communication link. Further, the secondary headphone device may include communication logic configured to provide reporting information about the captured one or more data packets to the primary headphone device. The communication logic may also receive one or more reply messages from the primary headphone device via the first wireless communication link. The at least one reply message of the one or more reply messages indicating whether or not the sniffer captured each data packet communicated from the media source to the primary headphone device. 1. A secondary headphone device , comprising:one or more communication interfaces configured to communicate with a primary headphone device over a first wireless communication link;a sniffer configured to capture one or more data packets communicated from a media source to the primary headphone device over a second wireless communication link; and receive information identifying one or more data packets received b the primary headphone device;', 'compare information regarding the one or more data packets captured via the sniffer to the received information identifying one or more data packets received by the primary headphone device to identify whether at least one data packet received by the primary headphone device was missed by the second headphone device; and, 'a communication logic configured toin response to identifying at least one missed data packet, transmit reporting information to the primary headphone device indicating the at least one missed data packet.2. The ...

Подробнее
29-12-2016 дата публикации

Method for forming a pattern

Номер: US20160379836A1
Принадлежит: Nanya Technology Corp

A method for forming a pattern includes steps of forming a patterned core layer on a substrate, conformally forming a spacer layer on the patterned core layer to form first concave portions, performing an etch back process to expose the patterned core layer, removing the exposed patterned core layer to form second concave portions, filling up the first concave portions and the second concave portions with a directed self-assembly material, and activating a directed self-assembly process, so that the directed self-assembly material is diffused to the perimeter of the concave portions to form a hole surrounding by the directed self-assembly material in each concave portions.

Подробнее
27-12-2018 дата публикации

PROJECTION SYSTEM AND METHOD FOR CALIBRATING DISPLAY IMAGE

Номер: US20180376117A1
Принадлежит: CORETRONIC CORPORATION

A projection system and a method for calibrating display image are provided. The method includes: setting a correction image having identification patterns, and establishing first coordinate information of the identification patterns; using a projection device to project the correction image on a display screen; performing an image capturing operation to the correction image and the display screen to obtain a captured image; calculating second coordinate information of the identification patterns in the captured image, and calculating coordinate translation information according to the first coordinate information and the second coordinate information; calculating boundary information of the display screen according to a plurality of boundary coordinate values of the display screen in the captured image and the coordinate translation information, such that the projection device adjusts a size of a projection image for corresponding to the display screen according to the boundary information. 1. A method for calibrating a display image , adapted to a projection system , wherein the projection system comprises a projection device and a display screen , the method for calibrating the display image comprising:projecting a correction image on the display screen by using the projection device, wherein the correction image has N identification patterns, and N is a positive integer greater than 3;performing an image capturing operation on the correction image and the display screen to obtain a captured image;calculating second coordinate information of the identification patterns in the captured image, and calculating coordinate translation information according to first coordinate information of the identification patterns and the second coordinate information;calculating boundary information of the display screen according to a plurality of boundary coordinate values of the display screen in the captured image and the coordinate translation information; andadjusting a ...

Подробнее
27-12-2018 дата публикации

Network-Assisted Channel Selection and Power Control for Mobile Devices

Номер: US20180376435A1
Принадлежит:

Facilitation of a network assisted device-decided system can increase throughput of D2D devices and the link reliability of macrocells. In a network assisted device-decided system a macrocell can broadcast resource allocation data to D2D devices. The D2D devices can then select channels and adjust transmission power to offload traffic from the macrocell, thus creating a high spectrum efficiency with low power. 1. An apparatus , comprising:a processor; and initiating sending of preferred network channel data representing a set of preferred network channels of the apparatus used to connect to a set of network devices of a network;', 'receiving resource allocation instruction data comprising power data representing an allowable transmission power of the apparatus;', 'selecting a network channel of the apparatus, from the set of preferred network channels, to increase a data throughput of the apparatus, wherein the selecting comprises determining the network channel, at least in part, based on information received from a network device of the set of network devices; and', 'selecting a transmission power of the apparatus in accordance with the resource allocation instruction data, wherein the selecting the transmission power adjusts an interference of the apparatus contributed to by the set of preferred network channels., 'a memory that stores executable instructions that, when executed by the processor, facilitate performance of operations, comprising2. The apparatus of claim 1 , wherein the network channel of the set of preferred network channels is selected for data transmission based on a randomized input.3. The apparatus of claim 1 , wherein the resource allocation instruction data comprises a predefined allowable transmission power of the network channel.4. The apparatus of claim 1 , wherein the network channel is selected in response to receiving the resource allocation instruction data.5. The apparatus of claim 1 , wherein the network channel is selected based on ...

Подробнее
05-12-2019 дата публикации

APPARATUS AND METHODS FOR DIGITAL SIGNAL CONSTELLATION TRANSFORMATION

Номер: US20190372673A1
Автор: FAN Shu Hao
Принадлежит:

Apparatus and method for digital signal constellation transformation are provided herein. In certain configurations, an integrated circuit includes an analog front-end that converts an analog signal vector representing an optical signal into a digital signal vector, and a digital signal processing circuit that processes the digital signal vector to recover data from the optical signal. The digital signal processing circuit generates signal data representing a signal constellation of the digital signal vector. The digital signal processing circuit includes an adaptive gain equalizer that compensates the signal data for distortion of the signal constellation arising from biasing errors of optical modulators used to transmit the optical signal. 1. An integrated circuit device , the device comprising:a digital signal processing circuit configured to receive a digital signal vector and to generate signal data representing a signal constellation of the digital signal vector; andwherein the digital signal processing circuit comprises an adaptive gain equalizer configured to generate an estimate of an angular tilt of the signal constellation and to generate transformed signal data by compensating the signal data for distortion of the signal constellation based on the estimate of the angular tilt.2. The device of wherein the digital signal vector comprises a digital representation of an in-phase (I) component and a quadrature-phase (Q) component of the optical signal; and wherein the adaptive gain equalizer is configured to generate the estimate of the angular tilt based on a sum of a plurality of cross-correlations of I and Q components of the signal constellation.3. The device of wherein the sum of the plurality of cross-correlations is computed over a moving window of data samples obtained from the digital signal vector.4. The device of wherein the digital signal vector comprises a digital representation of an in-phase (I) component and a quadrature-phase (Q) component of ...

Подробнее
31-07-2018 дата публикации

pH-adjuster free chemical mechanical planarization slurry

Номер: US10035929B2

The present disclosure relates to a method of forming a CMP slurry that is free of pH-adjusters (i.e., chemicals added solely for the purpose of adjusting a pH of a CMP slurry), and an associated a pH-adjuster free CMP slurry. In some embodiments, the method is performed by forming a CMP slurry having a first pH value. A desired pH value of the CMP slurry is determined. A chelating agent configured to bond to metallic ions is provided to the CMP slurry. The chelating agent is configured to adjust a pH value of the CMP slurry from the first pH value to the desired pH value. By using the chelating agent to adjust a pH value of the CMP slurry to achieve a desired pH value, the method is able to form a CMP slurry that is free of pH-adjusters, thereby reducing the cost and complexity of the CMP slurry.

Подробнее
21-12-2016 дата публикации

Optical inspection apparatus

Номер: TWI563240B
Принадлежит:

Подробнее
12-01-2023 дата публикации

PATTERNED ORGANOMETALLIC PHOTORESISTS AND METHODS OF PATTERNING

Номер: US20230012169A1
Принадлежит:

A rinse process is described for processing an initially patterned structure formed with an organometallic radiation sensitive material, in which the rinse process can remove portions of the composition remaining after pattern development to make the patterned structure more uniform such that a greater fraction of patterned structures can meet specifications. The radiation sensitive material can comprise alkyl tin oxide hydroxide compositions. The rinsing process can be effectively used to improve patterning of fine structures using extreme ultraviolet light.

Подробнее
13-10-2015 дата публикации

Lithography layer with quenchers to prevent pattern collapse

Номер: US9159559B2

The present disclosure provides a method for forming resist patterns. The method includes providing a substrate; forming a material layer including a plurality of quenchers on the substrate; forming a resist layer on the material layer; exposing the resist layer; and developing the resist layer to form a structure featuring resist remaining layer on an upper surface of the material layer, and a plurality of resist features on the resist remaining layer to improve the yield of lithography process.

Подробнее
02-01-2018 дата публикации

wheeled crane and self-disassembly and self-assembly methods

Номер: BR112017012435A2
Принадлежит: Xuzhou Heavy Machinery Co Ltd

a presente invenção refere-se a um guindaste sobre rodas e métodos de autodesmontagem e automontagem para as suas pernas de suporte. o guindaste sobre rodas inclui uma carroceria de veículo (1) e suportes auxiliares (3), e os suportes auxiliares (3) podem permitir que a carroceria de veículo (1) mantenha o equilíbrio. uma vez que o guindaste sobre rodas tem suportes auxiliares, quando as pernas de suporte são desmontadas ou montadas, os suportes auxiliares funcionam como pernas de suporte, de modo que as pernas de suporte podem ser montadas e desmontadas pela parte de operação do guindaste sobre rodas sem necessidade de usar um guindaste auxiliar e, assim, a automontagem e a autodesmontagem das pernas de suporte do guindaste sobre rodas são realizadas. The present invention relates to a wheeled crane and self-disassembly and self-assembly methods for its support legs. the wheeled crane includes a vehicle body (1) and auxiliary mounts (3), and auxiliary mounts (3) may allow the vehicle body (1) to maintain balance. Since the wheeled crane has auxiliary supports, when the support legs are disassembled or mounted, the auxiliary supports act as support legs so that the support legs can be mounted and disassembled by the operating part of the wheeled crane. without the need to use an auxiliary crane and thus self-assembly and self-disassembly of the wheeled crane support legs are performed.

Подробнее
31-08-2022 дата публикации

Organometallic radiation patternable coatings with low defectivity and corresponding methods

Номер: EP4050415A1
Принадлежит: Inpria Corp

In the context of forming radiation patternable structures especially for EUV patterning, wafer structures are described comprising a substrate having a smooth top surface and a radiation sensitive organometallic coating having an average thickness of no more than 100 nm and no more than about 1 defect per square centimeter with a defect size of greater than 48 nm, evaluated with a 3 mm edge exclusion. Corresponding methods for forming a low defect coating comprise spin coating a purified radiation sensitive organometallic resist solution onto a wafer using a spin coater system comprising a delivery line and a delivery nozzle connected to the delivery line to form a coated wafer, and drying the coated wafer to form a radiation sensitive organometallic coating having no more than about 1 defect per square centimeter with a defect size of greater than 48 nm, evaluated with a 3 mm edge exclusion. Methods are provided for improved filtering for particle removal from radiation patternable organometallic resist compositions.

Подробнее
05-10-2011 дата публикации

Portable compound battery unit management system

Номер: EP1684397B1
Автор: Bin-Yen Ma, Ying-Hao Shu
Принадлежит: Wiz Energy Tech Co Ltd

Подробнее
14-07-2015 дата публикации

Photoresist having improved extreme-ultraviolet lithography imaging performance

Номер: US9081280B2

Provided is a photoresist that includes a polymer is free of a aromatic group and a photo acid generator (PAG) that has less than three aromatic groups. In an embodiment, the PAG includes an anion component and a cation component. The anion component has one of the following chemical formulas: R 3 1 C—CR 2 1 —CR 2 1 —CR 2 1 —SO 3 − R 3 1 C—CR 2 1 —CR 2 1 —SO 3 − R 3 1 C—CR 2 1 —SO 3 − R 3 1 C—SO 3 − The cation component has one of the following chemical formulas: Wherein R 1 and R 2 each represent a chemical compound.

Подробнее
11-08-2017 дата публикации

Resin composition suitable for soft and hard bonding plates and application thereof

Номер: TWI595045B
Автор: Shu-Hao Zhang
Принадлежит:

Подробнее
31-12-2009 дата публикации

Alignment treatment method of substrate for liquid crystal display device and manufacturing method thereof

Номер: US20090325453A1
Принадлежит: Chi Mei Optoelectronics Corp

A method for alignment treatment of a substrate for a liquid crystal display (LCD) device includes the steps of: forming an alignment film including a plurality of molecules with curable parts on a substrate; applying an electrical field on the substrate to rotate the curable parts; and curing the curable parts such that the curable parts are cured along a first direction. A manufacturing method of the LCD device is also disclosed.

Подробнее
27-02-2018 дата публикации

Free wheel mechanism and moving apparatus having the same

Номер: US9902198B1

A free wheel mechanism includes a basic assembly, a fastening member, a sleeve, a rotating member, a first elastic member, and a second elastic member. The basic assembly comprises a base, a guiding rod, and a free wheel. A guiding hole is defined in the fastening member to receive the guiding rod. One end of the sleeve is received in the guiding hole. The rotating member is positioned in a through hole of the sleeve. The first elastic member is coiled around the guiding rod, and opposite sides of the first elastic member resist against the base and the sleeve on opposite sides. The second elastic member is received in the through hole, and opposite sides of the second elastic member elastically abuts the rotating member and the guiding rod on opposite sides. Meanwhile, a moving apparatus with the free wheel mechanism is provided.

Подробнее