Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 116650. Отображено 100.
16-01-1998 дата публикации

УСТРОЙСТВО ДЛЯ ПОЛУЧЕНИЯ ПОКРЫТИЙ ИЗ ГАЗОВОЙ ФАЗЫ

Номер: RU0000005806U1

1. Устройство для получения покрытий из газовой фазы на наружной поверхности изделий, содержащее реакционную камеру, систему электронагрева изделия, приспособление-спутник, узел для ввода исходного химсоединения и отвода продуктов реакции, механизм перемещения приспособления-спутника, а также систему вакуумной откачки, отличающееся тем, что узел для ввода исходного химсоединения выполнен в виде расположенного с боку камеры перестраиваемого по форме обрабатываемой поверхности изделия щелевого термостатируемого испарителя с дозаторами, система электронагрева изделия выполнена в виде наружных нагревателей по форме обрабатываемой поверхности изделия и устанавливаемого внутри изделия выдвижного внутреннего нагревателя, а механизм перемещения приспособления-спутника выполнен в виде установленных внутри реакционной камеры спаренных приводных катковых опор. 2. Устройство по п.1, отличающееся тем, что оно снабжено направляющими потока газообразного химсоединения, установленными в испарителе напротив его щели. (19) RU (11) (13) 5 806 U1 (51) МПК C23C 16/00 (1995.01) РОССИЙСКОЕ АГЕНТСТВО ПО ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К СВИДЕТЕЛЬСТВУ (21), (22) Заявка: 96105790/20, 26.03.1996 (46) Опубликовано: 16.01.1998 (71) Заявитель(и): Акционерное общество открытого типа "Техмаш" U 1 5 8 0 6 R U (57) Формула полезной модели 1. Устройство для получения покрытий из газовой фазы на наружной поверхности изделий, содержащее реакционную камеру, систему электронагрева изделия, приспособление-спутник, узел для ввода исходного химсоединения и отвода продуктов реакции, механизм перемещения приспособления-спутника, а также систему вакуумной откачки, отличающееся тем, что узел для ввода исходного химсоединения выполнен в виде расположенного с боку камеры перестраиваемого по форме обрабатываемой поверхности изделия щелевого термостатируемого испарителя с дозаторами, система электронагрева изделия выполнена в виде наружных нагревателей по форме обрабатываемой поверхности ...

Подробнее
05-01-2012 дата публикации

Copper interconnection structure and method for forming copper interconnections

Номер: US20120003390A1
Принадлежит: Advanced Interconnect Materials LLC

A copper interconnection structure includes an insulating layer, an interconnection body including copper in an opening provided on the insulating layer, and a diffusion barrier layer formed between the insulating layer and the interconnection body. The diffusion barrier layer includes an oxide layer including manganese having a compositional ratio of oxygen to manganese (y/x) less than 2.

Подробнее
05-01-2012 дата публикации

Surface-coated cutting tool

Номер: US20120003452A1
Принадлежит: Mitsubishi Materials Corp

A surface-coated cutting tool includes a tool substrate made of tungsten carbide-based cemented carbide or titanium carbonitride-based cermet; and a hard coating layer formed by vapor-depositing in order, a lower layer (a), an intermediate layer (b), and an upper layer (c) on the tool substrate. The lower layer (a) is a Ti layer composed of one or more of a titanium carbide layer, a titanium nitride layer, a titanium carbonitride layer, a titanium carboxide layer, and a titanium oxycarbonitride layer, and having a thickness of 3 to 20 μm. The intermediate layer (b) is an aluminum oxide layer having a thickness of 1 to 5 μm, and having an α-type crystal structure in a chemically vapor-deposited state. The upper layer (c) is an aluminum oxide layer having a thickness of 2 to 15 μm, and containing one or more elements of Ti, Y, Zr, Cr, and B.

Подробнее
27-06-2006 дата публикации

УСТРОЙСТВО ДЛЯ ИМПУЛЬСНОГО ПИРОЛИТИЧЕСКОГО НАСЫЩЕНИЯ ПОРИСТЫХ ЗАГОТОВОК

Номер: RU0000054376U1

Устройство для импульсного пиролитического насыщения пористых заготовок, включающее смеситель насыщающих газов, печь с реактором, впускной, выпускной и контрольный клапаны, установленные соответственно на входе и выходе из печи и на входе в смеситель, контроллер, датчики давления и ресивер, отличающееся тем, что оно дополнительно содержит фильтр, установленный между реактором и выпускным клапаном, при этом все клапаны соединены с контроллером и датчиками давления с обеспечением автоматического переключения клапанов. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 54 376 (13) U1 (51) МПК C23C 16/00 C04B 35/80 (2006.01) (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21), (22) Заявка: 2005136748/22 , 28.11.2005 (24) Дата начала отсчета срока действия патента: 28.11.2005 (45) Опубликовано: 27.06.2006 5 4 3 7 6 R U Формула полезной модели Устройство для импульсного пиролитического насыщения пористых заготовок, включающее смеситель насыщающих газов, печь с реактором, впускной, выпускной и контрольный клапаны, установленные соответственно на входе и выходе из печи и на входе в смеситель, контроллер, датчики давления и ресивер, отличающееся тем, что оно дополнительно содержит фильтр, установленный между реактором и выпускным клапаном, при этом все клапаны соединены с контроллером и датчиками давления с обеспечением автоматического переключения клапанов. Ñòðàíèöà: 1 U 1 U 1 (54) УСТРОЙСТВО ДЛЯ ИМПУЛЬСНОГО ПИРОЛИТИЧЕСКОГО НАСЫЩЕНИЯ ПОРИСТЫХ ЗАГОТОВОК 5 4 3 7 6 (73) Патентообладатель(и): Общество с ограниченной ответственностью "ГраЭН" (ООО "ГраЭН") (RU) R U Адрес для переписки: 119992, Москва, Ленинские горы, 1, стр.75, оф.725, а/я 64, пат. пов. Е.Л. Носыревой (72) Автор(ы): Павлов Александр Алексеевич (RU), Бучнев Леонид Михайлович (RU), Авдеев Виктор Васильевич (RU), Ионов Сергей Геннадьевич (RU), Ступников Владимир Александрович (RU), Шкиров Игорь Сергеевич (RU) U 1 U 1 5 4 3 7 6 5 4 3 7 6 R U R U ...

Подробнее
10-05-2007 дата публикации

ЭЛЕМЕНТ ПАМЯТИ ДЛЯ ЭЛЕКТРИЧЕСКИ ПЕРЕПРОГРАММИРУЕМОГО ПОСТОЯННОГО ЗАПОМИНАЮЩЕГО УСТРОЙСТВА

Номер: RU0000062930U1

1. Элемент памяти для электрически перепрограммируемого постоянного запоминающего устройства, содержащее полупроводниковую подложку, диэлектрический слой, проводящий слой, токоподводящие электроды, отличающийся тем, что диэлектрический слой состоит из первой пленки широкозонного диэлектрика, наносимой на поверность полупроводниковой подложки, пленки узкозонного диэлектрика и второй пленки широкозонного диэлектрика. 2. Элемент памяти по п.1, отличающийся тем, что пленки широкозонного диэлектрика выполнены из материала с относительной диэлектрической проницаемостью 8-15. 3. Элемент памяти по п.2, отличающийся тем, что пленка узкозонного диэлектрика выполнена из материала с относительной диэлектрической проницаемостью 20-40. 4. Элемент памяти по п.3, отличающийся тем, что толщину пленки широкозонного диэлектрика выбирают равной 5-95 нм. 5. Элемент памяти по п.4, отличающийся тем, что толщину пленки узкозонного диэлектрика выбирают равной 1-3 нм. 6. Элемент памяти по п.5, отличающийся тем, что пленки широкозонных диэлектриков и пленка узкозонного диэлектрика изготовлены из оксидов металлов. 7. Элемент памяти по п.6, отличающийся тем, что в качестве оксидов металлов для широкозонных диэлектриков используют оксиды алюминия, гафния, циркония. 8. Элемент памяти по п.7, отличающийся тем, что в качестве оксидов металлов для узкозонного диэлектрика используют оксиды титана, тантала. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 62 930 (13) U1 (51) МПК C23C 16/00 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21), (22) Заявка: 2006143184/22 , 07.12.2006 (24) Дата начала отсчета срока действия патента: 07.12.2006 (45) Опубликовано: 10.05.2007 (73) Патентообладатель(и): Федеральное государственное образовательное учреждение высшего профессионального образования Санкт-Петербургский государственный университет (СПбГУ) (RU) Ñòðàíèöà: 1 U 1 6 2 9 3 0 R U U 1 Формула полезной модели 1. Элемент памяти для ...

Подробнее
27-11-2007 дата публикации

УСТРОЙСТВО ДЛЯ ПОДАЧИ ТВЕРДЫХ МАТЕРИАЛОВ, ОБРАЗУЮЩИХ ЛЕТУЧИЕ СОЕДИНЕНИЯ, В ИСПАРИТЕЛЬ

Номер: RU0000068510U1

1. Устройство для подачи твердых материалов для образования летучих соединений в испаритель, содержащее корпус с отверстием, в котором расположен твердый материал, элемент для подачи твердого материала из отверстия в испаритель и средство для дробления материала, отличающееся тем, что средство для дробления материала выполнено в виде ножа, установленного на выходе отверстия корпуса с возможностью совершения им поступательных перемещений и срезания частиц твердого материала. 2. Устройство по п.1, отличающееся тем, что элемент для подачи твердого материала выполнен в виде штока, размещенного в отверстии корпуса и соединенного с линейным приводом на основе шагового двигателя. 3. Устройство по п.1 или 2, отличающееся тем, что твердый материал выполнен в виде спрессованного из используемого вещества или смеси используемых веществ столбика. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 68 510 (13) U1 (51) МПК C23C 16/00 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21), (22) Заявка: 2007102851/22 , 26.01.2007 (24) Дата начала отсчета срока действия патента: 26.01.2007 (45) Опубликовано: 27.11.2007 6 8 5 1 0 R U Формула полезной модели 1. Устройство для подачи твердых материалов для образования летучих соединений в испаритель, содержащее корпус с отверстием, в котором расположен твердый материал, элемент для подачи твердого материала из отверстия в испаритель и средство для дробления материала, отличающееся тем, что средство для дробления материала выполнено в виде ножа, установленного на выходе отверстия корпуса с возможностью совершения им поступательных перемещений и срезания частиц твердого материала. 2. Устройство по п.1, отличающееся тем, что элемент для подачи твердого материала выполнен в виде штока, размещенного в отверстии корпуса и соединенного с линейным приводом на основе шагового двигателя. 3. Устройство по п.1 или 2, отличающееся тем, что твердый материал выполнен в виде спрессованного ...

Подробнее
10-06-2008 дата публикации

УСТРОЙСТВО ДЛЯ МЕТАЛЛИЗАЦИИ МАЛОГАБАРИТНЫХ И КРУПНОГАБАРИТНЫХ ИЗДЕЛИЙ СЛОЖНОЙ ФОРМЫ

Номер: RU0000073876U1

1. Устройство для нанесения защитных покрытий на изделия сложной формы, включающее камеру металлизации поверхности изделия с предметным столом для размещения покрываемого изделия, патрубками ввода реагента и отвода продуктов реакции, устройство для обогрева и охлаждения различных частей камеры, привод для вращения предметного стола с сальниковым уплотнением в районе ввода привода во внутреннее пространство камеры, систему контроля за температурой различных частей камеры, отличающееся тем, что камера выполнена в виде плоского цилиндра, в основании которого размещен вращающийся предметный стол, на одной из поверхностей имеется загрузочное окно с герметично закрывающейся крышкой, а боковые поверхности цилиндра снабжены обогревателями и холодильниками, патрубки ввода реагента и отвода продуктов реакции размещены в охлаждаемых зонах камеры. 2. Устройство по п.1, отличающееся тем, что предметный стол выполнен в виде крыльчатки, причем ребра крыльчатки установлены перпендикулярно поверхности стола, выполнены съемными, имеют переменную высоту и выполнены с возможностью перемещения в радиальной плоскости. 3. Устройство по п.1 или 2, отличающееся тем, что ребра крыльчатки выполнены в виде нескольких пластинок: одна жестко закреплена на вращающемся столе, а другие выполнены с возможностью перемещения вдоль первой. 4. Устройство по п.1 или 2, отличающееся тем, что камера снабжена приводом перемещения подвижных ребер крыльчатки. 5. Устройство по п.1, отличающееся тем, что на предметном столе размещен секционированный барабан в виде полого цилиндра. 6. Устройство по п.1, отличающееся тем, что ребра крыльчатки или барабан имеют отверстия или щели. 7. Устройство по п.1, или 2, или 5, или 6, отличающееся тем, что цилиндрическая камера вдоль поперечной оси в центре ее тяжести закреплена в стойках с возможностью качания или вращения в вертикальной плоскости через вал вращения. 8. Устройство по п.1, или 2, или 5, или 6, отличающееся тем, что ребра крыльчатки или барабан выполнены из ...

Подробнее
05-01-2012 дата публикации

Methods and apparatus for selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing material

Номер: US20120003819A1

The present invention discloses that under modified chemical vapor deposition (mCVD) conditions an epitaxial silicon film may be formed by exposing a substrate contained within a chamber to a relatively high carrier gas flow rate in combination with a relatively low silicon precursor flow rate at a temperature of less than about 550° C. and a pressure in the range of about 10 mTorr-200 Torr. Furthermore, the crystalline Si may be in situ doped to contain relatively high levels of substitutional carbon by carrying out the deposition at a relatively high flow rate using tetrasilane as a silicon source and a carbon-containing gas such as dodecalmethylcyclohexasilane or tetramethyldisilane under modified CVD conditions.

Подробнее
05-01-2012 дата публикации

Methods for forming tungsten-containing layers

Номер: US20120003833A1
Принадлежит: Applied Materials Inc

Methods for forming tungsten-containing layers on substrates are provided herein. In some embodiments, a method for forming a tungsten-containing layer on a substrate disposed in a process chamber may include mixing hydrogen and a hydride to form a first process gas; introducing the first process gas to the process chamber; exposing the substrate in the process chamber to the first process gas for a first period of time to form a conditioned substrate surface; subsequently purging the process chamber of the first process gas; exposing the substrate to a second process gas comprising a tungsten precursor for a second period of time to form a tungsten-containing nucleation layer atop the conditioned substrate surface; and subsequently purging the process chamber of the second process gas.

Подробнее
05-01-2012 дата публикации

Semiconductor Device Manufacturing Apparatus Capable Of Reducing Particle Contamination

Номер: US20120003837A1
Принадлежит: Individual

A plasma processing method of subjecting a substance to plasma processing by using a semiconductor device manufacturing apparatus including a process chamber, a unit for supplying gas to the process chamber, an exhausting unit to reduce pressure in the process chamber, a high frequency power source for plasma generation, a coil for generating a magnetic field, and a mounted electrode for mounting the substance to be processed. The method includes steps of subjecting the substance to a predetermined plasma processing, changing the magnetic field distribution, so as to make a plasma distribution of the process chamber with respect to the surface of the substance to be processed, in a convex form, at a time of igniting the plasma and after completion of the predetermined plasma processing, as compared with a plasma distribution with respect to the surface of the substance to be processed during the predetermined plasma processing.

Подробнее
10-12-2009 дата публикации

ИСТОЧНИК ВЫСОКОЧАСТОТНЫХ ЭЛЕКТРОМАГНИТНЫХ КОЛЕБАНИЙ ПЛАЗМОХИМИЧЕСКОГО РЕАКТОРА

Номер: RU0000089529U1

Источник высокочастотных электромагнитных колебаний плазмохимического реактора, содержащий высокочастотный генератор электромагнитных колебаний, усилитель мощности электромагнитных колебаний и устройство ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора, отличающийся тем, что высокочастотный генератор электромагнитных колебаний выполнен в виде высокочастотного генератора электромагнитных колебаний со случайными амплитудой и фазой, при этом в устройство введен полосовой фильтр частот электромагнитных колебаний, вход которого соединен с выходом высокочастотного генератора электромагнитных колебаний, а выход - с входом усилителя мощности электромагнитных колебаний, кроме того, введен высокочастотный вентиль, вход которого соединен с выходом усилителя мощности электромагнитных колебаний, а выход - с входом устройства ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора, при этом частотная характеристика f полосового фильтра частот электромагнитных колебаний выбрана из соотношения: где: G - требуемая спектральная характеристика частот электромагнитных колебаний в рабочей камере плазмохимического реактора; G - спектральная характеристика частот высокочастотного генератора электромагнитных колебаний в полосе частот рабочей камеры плазмохимического реактора; f - общая амплитудно-частотная характеристика усилителя мощности электромагнитных колебаний, высокочастотного вентиля и устройства ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 89 529 (13) U1 (51) МПК C23C 16/513 (2006.01) H05H 1/30 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21), (22) Заявка: 2009130916/22, 14.08.2009 (24) Дата начала отсчета срока действия патента: 14.08.2009 (45) Опубликовано: 10.12.2009 8 9 5 2 9 R U Формула полезной модели Источник высокочастотных электромагнитных колебаний плазмохимического ...

Подробнее
10-10-2010 дата публикации

УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ИЗНОСОСТОЙКИХ ПОКРЫТИЙ НА МЕТАЛЛИЧЕСКИЕ ИЗДЕЛИЯ

Номер: RU0000098193U1

1. Устройство для нанесения износостойких покрытий на металлические изделия, состоящее из диэлектрической реакционной камеры для закрепления в ней на диэлектрических опорах обрабатываемого металлического изделия, индуктора, генератора тока высоких частот, отличающееся тем, что оно снабжено системой подачи в реакционную камеру жидкого металлоорганического соединения и инертного газа, включающей емкость с жидким металлорганическим соединением (МОС), баллон с инертным газом, вентили, трубопроводы и диэлектрические распылители МОС. 2. Устройство по п.1, отличающееся тем, что оно снабжено вакуумной системой, содержащей азотную ловушку и вакуумный насос. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 98 193 (13) U1 (51) МПК C23C 16/18 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21), (22) Заявка: 2010114349/02, 13.04.2010 (24) Дата начала отсчета срока действия патента: 13.04.2010 (45) Опубликовано: 10.10.2010 U 1 9 8 1 9 3 R U Формула полезной модели 1. Устройство для нанесения износостойких покрытий на металлические изделия, состоящее из диэлектрической реакционной камеры для закрепления в ней на диэлектрических опорах обрабатываемого металлического изделия, индуктора, генератора тока высоких частот, отличающееся тем, что оно снабжено системой подачи в реакционную камеру жидкого металлоорганического соединения и инертного газа, включающей емкость с жидким металлорганическим соединением (МОС), баллон с инертным газом, вентили, трубопроводы и диэлектрические распылители МОС. 2. Устройство по п.1, отличающееся тем, что оно снабжено вакуумной системой, содержащей азотную ловушку и вакуумный насос. Ñòðàíèöà: 1 ru CL U 1 (54) УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ИЗНОСОСТОЙКИХ ПОКРЫТИЙ НА МЕТАЛЛИЧЕСКИЕ ИЗДЕЛИЯ 9 8 1 9 3 (73) Патентообладатель(и): Государственное образовательное учреждение высшего профессионального образования "МАТИ" - Российский государственный технологический университет имени К.Э. Циолковского (RU ...

Подробнее
10-12-2010 дата публикации

УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ИЗНОСОСТОЙКИХ ПОКРЫТИЙ НА МЕТАЛЛИЧЕСКИЕ ИЗДЕЛИЯ

Номер: RU0000100080U1

Устройство для нанесения износостойких покрытий на металлические изделия, содержащее реакционную камеру для размещения в ней закрепляемого на диэлектрических опорах металлического изделия, выполненного из диэлектрического материала, и заполняемую жидким бис-ареновым соединением хрома, индуктор, генератор токов высокой частоты, отличающееся тем, что реакционная камера снабжена мешалками, выполненными из диэлектрического материала. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 100 080 (13) U1 (51) МПК C23C 16/32 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21), (22) Заявка: 2010107963/02, 05.03.2010 (24) Дата начала отсчета срока действия патента: 05.03.2010 (45) Опубликовано: 10.12.2010 U 1 1 0 0 0 8 0 R U Формула полезной модели Устройство для нанесения износостойких покрытий на металлические изделия, содержащее реакционную камеру для размещения в ней закрепляемого на диэлектрических опорах металлического изделия, выполненного из диэлектрического материала, и заполняемую жидким бис-ареновым соединением хрома, индуктор, генератор токов высокой частоты, отличающееся тем, что реакционная камера снабжена мешалками, выполненными из диэлектрического материала. Ñòðàíèöà: 1 ru CL U 1 (54) УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ИЗНОСОСТОЙКИХ ПОКРЫТИЙ НА МЕТАЛЛИЧЕСКИЕ ИЗДЕЛИЯ 1 0 0 0 8 0 (73) Патентообладатель(и): Государственное образовательное учреждение высшего профессионального образования "МАТИ" - Российский государственный технологический университет имени К.Э.Циолковского (RU), Общество с ограниченной ответственностью "Инновационнотехнологический центр "НАНОМЕР" (RU) R U Адрес для переписки: 142322, Московская обл., Чеховский р-н, с. Новый Быт, ул. НАТИ, 13, ООО "ИТЦ "НАНОМЕР" (72) Автор(ы): Суминов Игорь Вячеславович (RU), Эпельфельд Андрей Валериевич (RU), Людин Валерий Борисович (RU), Крит Борис Львович (RU), Борисов Анатолий Михайлович (RU), Сорокин Владимир Алексеевич (RU), Францкевич Владимир Платонович ...

Подробнее
27-06-2011 дата публикации

ИСТОЧНИК ВЫСОКОЧАСТОТНЫХ ЭЛЕКТРОМАГНИТНЫХ КОЛЕБАНИЙ ПЛАЗМОХИМИЧЕСКОГО РЕАКТОРА

Номер: RU0000105906U1

Источник высокочастотных электромагнитных колебаний плазмохимического реактора, содержащий высокочастотный генератор электромагнитных колебаний со случайными амплитудой и фазой в широком спектре частот, полосовой фильтр частот электромагнитных колебаний, усилитель мощности электромагнитных колебаний и высокочастотный вентиль, которые соединены последовательно, а также устройство ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора, отличающийся тем, что снабжен направленным ответвителем прямой и отраженной волн, измерителем мощности прямой волны и измерителем мощности отраженной волны, вычислителем разности мощностей прямой и отраженной волн и индикатором разности мощностей прямой и отраженной волн, причем выход высокочастотного вентиля соединен через основной канал направленного ответвителя прямой и отраженной волн с входом устройства ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора, при этом выход канала ответвления прямой волны направленного ответвителя прямой и отраженной волн соединен с входом измерителя мощности прямой волны, а выход канала ответвления отраженной волны направленного ответвителя прямой и отраженной волн соединен с входом измерителя мощности отраженной волны, при этом выходы измерителей мощностей прямой и отраженной волн соединены с входами вычислителя разности мощностей прямой и отраженной волн, выход которого соединен с входом индикатора разности мощностей прямой и отраженной волн, кроме того, полосовой фильтр частот электромагнитных колебаний выполнен с возможностью раздельного управления его центральной частотой и шириной полосы рабочих частот. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) 105 906 (13) U1 (51) МПК C23C 16/513 (2006.01) H05H 1/30 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ, ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (21)(22) Заявка: 2011104015/02, 07.02.2011 (24) Дата начала отсчета срока действия патента: 07.02.2011 (45) Опубликовано: 27.06. ...

Подробнее
27-04-2012 дата публикации

УСТРОЙСТВО ВЫСОКОТЕМПЕРАТУРНОЙ ОБРАБОТКИ ПОВЕРХНОСТИ ТРУБ ДЛЯ ТЕХНОЛОГИЧЕСКИХ ТРУБОПРОВОДОВ

Номер: RU0000115604U1

Устройство высокотемпературной обработки поверхности труб для технологических трубопроводов, содержащее механизмы загрузки и выгрузки, систему вакуумирования, уплотнения полости трубы и источник питания, отличающееся тем, что внутри неподвижного уплотнения помещен шток механизма возвратно-поступательного перемещения расходуемого электрода, выполненного из молибдена марки МВП; командоаппарат подключен через преобразователь к коммутатору, который запитан от источника питания и на выходе связан скользящим гибким токоподводом с обрабатываемой трубой и штоком, который жестко связан с плазмотроном, подсоединенным к газовому баллону и устройству в виде барабана подачи материала проволочного типа покрытия наружной поверхности трубы. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 115 604 U1 (51) МПК H05B 7/18 (2006.01) C23C 16/04 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ (21)(22) Заявка: ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ 2011145998/07, 14.11.2011 (24) Дата начала отсчета срока действия патента: 14.11.2011 (45) Опубликовано: 27.04.2012 Бюл. № 12 (73) Патентообладатель(и): Минеев Роберт Викторович (RU) 1 1 5 6 0 4 R U Формула полезной модели Устройство высокотемпературной обработки поверхности труб для технологических трубопроводов, содержащее механизмы загрузки и выгрузки, систему вакуумирования, уплотнения полости трубы и источник питания, отличающееся тем, что внутри неподвижного уплотнения помещен шток механизма возвратно-поступательного перемещения расходуемого электрода, выполненного из молибдена марки МВП; командоаппарат подключен через преобразователь к коммутатору, который запитан от источника питания и на выходе связан скользящим гибким токоподводом с обрабатываемой трубой и штоком, который жестко связан с плазмотроном, подсоединенным к газовому баллону и устройству в виде барабана подачи материала проволочного типа покрытия наружной поверхности трубы. Стр.: 1 U 1 U 1 (54) УСТРОЙСТВО ВЫСОКОТЕМПЕРАТУРНОЙ ОБРАБОТКИ ПОВЕРХНОСТИ ТРУБ ДЛЯ ...

Подробнее
27-06-2012 дата публикации

ИСТОЧНИК ВЫСОКОЧАСТОТНЫХ ЭЛЕКТРОМАГНИТНЫХ КОЛЕБАНИЙ ПЛАЗМОХИМИЧЕСКОГО РЕАКТОРА

Номер: RU0000117440U1

Источник высокочастотных электромагнитных колебаний плазмохимического реактора, содержащий высокочастотный генератор электромагнитных колебаний со случайными амплитудой и фазой в широком спектре частот, полосовой фильтр частот электромагнитных колебаний с перестраиваемыми центральной частотой и шириной спектра рабочих частот, усилитель мощности электромагнитных колебаний, высокочастотный вентиль и устройство ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора, при этом полосовой фильтр частот электромагнитных колебаний входом присоединен к выходу высокочастотного генератора электромагнитных колебаний, а высокочастотный вентиль выходом присоединен к устройству ввода электромагнитных колебаний в рабочую камеру плазмохимического реактора, отличающийся тем, что снабжен направленными ответвителями исходных и усиленных электромагнитных колебаний, дополнительным высокочастотным вентилем, измерителями мощностей исходных и усиленных электромагнитных колебаний, вычислителем и индикатором разности мощностей усиленных и исходных электромагнитных колебаний, а высокочастотный генератор электромагнитных колебаний со случайными амплитудой и фазой в широком спектре частот выполнен с возможностью изменения его выходной мощности электромагнитных колебаний, при этом направленный ответвитель исходных электромагнитных колебаний входом прямого канала присоединен к выходу полосового фильтра частот электромагнитных колебаний, а выходом прямого канала - ко входу дополнительного высокочастотного вентиля, который выходом присоединен к входу усилителя мощности электромагнитных колебаний, кроме того, направленный ответвитель усиленных электромагнитных колебаний входом прямого канала присоединен к выходу усилителя мощности электромагнитных колебаний, а выходом прямого канала - к высокочастотному вентилю, при этом направленные ответвители исходных и усиленных электромагнитных колебаний выходами каналов ответвления присоединены соответственно к входам измерителей мощностей ...

Подробнее
20-03-2013 дата публикации

УСТРОЙСТВО ДЛЯ ОСАЖДЕНИЯ ПОКРЫТИЙ ИЗ ПАРОГАЗОВОЙ ФАЗЫ

Номер: RU0000126005U1

1. Устройство для осаждения покрытий из парогазовой фазы, содержащее горизонтальную цилиндрическую реакционную камеру с нагревателем изделий и введенным через торцевую крышку валом, привод для вращательно-поступательного перемещения обрабатываемых изделий с закрепленным на нем держателем изделий, испаритель для ввода исходных реагентов в верхней части камеры, связанный с системой дозирования, патрубок для отвода продуктов реакции в нижней части камеры, снабженный азотной ловушкой и сборником конденсата и связанный с системой вакуумной откачки, отличающееся тем, что нагреватель изделий вмонтирован по оси камеры в ее торцевой части, противоположной крышке камеры с вводом вала держателя изделий вне зоны размещения испарителя и патрубка отвода продуктов реакции. 2. Устройство по п.1, отличающееся тем, что зона размещения испарителя и патрубка отвода продуктов реакции оборудована тепловыми экранами, выполненными в виде металлических сегментов, закрепленных на стенках камеры. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 126 005 U1 (51) МПК C23C 16/455 (2006.01) C23C 16/458 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ (21)(22) Заявка: ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ 2012143891/02, 12.10.2012 (24) Дата начала отсчета срока действия патента: 12.10.2012 (73) Патентообладатель(и): Костылев Александр Иванович (RU), Покровский Юрий Германович (RU), Брыскин Борис Давидович (US) (45) Опубликовано: 20.03.2013 Бюл. № 8 1 2 6 0 0 5 R U Формула полезной модели 1. Устройство для осаждения покрытий из парогазовой фазы, содержащее горизонтальную цилиндрическую реакционную камеру с нагревателем изделий и введенным через торцевую крышку валом, привод для вращательно-поступательного перемещения обрабатываемых изделий с закрепленным на нем держателем изделий, испаритель для ввода исходных реагентов в верхней части камеры, связанный с системой дозирования, патрубок для отвода продуктов реакции в нижней части камеры, снабженный азотной ловушкой и сборником конденсата и ...

Подробнее
10-06-2015 дата публикации

ПЕРЕНОСНОЕ УСТРОЙСТВО ДЛЯ ВЫЯВЛЕНИЯ И ФИКСАЦИИ СЛЕДОВ, ОСТАВЛЕННЫХ НА НЕОКРАШЕННЫХ МЕТАЛЛИЧЕСКИХ ПОВЕРХНОСТЯХ, ПОКРЫТЫХ ИНДУСТРИАЛЬНЫМ МАСЛОМ

Номер: RU0000152513U1

Переносное устройство для выявления и фиксации следов, оставленных на неокрашенных металлических поверхностях, покрытых индустриальным маслом, включающее основание и закрепленные в нем четыре вертикальные опоры, отличающееся тем, что оно снабжено радиационной панелью с нагревательным элементом, установленной на основании, над которой установлена платформа в виде сетки для исследуемого объекта, с неподвижно закрепленной по периметру масштабной миллиметровой линейкой, жестко закрепленной на вертикальных опорах, на которых закреплены металлические стенки, причем передняя стенка состоит из двух частей, нижней, выполненной неподвижно, и верхней, имеющей возможность вертикального перемещения, причем в верхней части вертикальных опор закреплены два термостойких корпуса с установленными в них осветителями, причем на корпусах размещено смотровое окно, выполненное из термостойкого стекла, в средней части которого закреплена металлическая пластина с размещенной в ней втулкой с резьбой для крепления фотоаппарата. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 152 513 U1 (51) МПК A61B 5/117 (2006.01) C23C 16/00 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ (21)(22) Заявка: ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ 2014144539/14, 05.11.2014 (24) Дата начала отсчета срока действия патента: 05.11.2014 (45) Опубликовано: 10.06.2015 Бюл. № 16 1 5 2 5 1 3 R U Формула полезной модели Переносное устройство для выявления и фиксации следов, оставленных на неокрашенных металлических поверхностях, покрытых индустриальным маслом, включающее основание и закрепленные в нем четыре вертикальные опоры, отличающееся тем, что оно снабжено радиационной панелью с нагревательным элементом, установленной на основании, над которой установлена платформа в виде сетки для исследуемого объекта, с неподвижно закрепленной по периметру масштабной миллиметровой линейкой, жестко закрепленной на вертикальных опорах, на которых закреплены металлические стенки, причем передняя стенка состоит из двух частей, ...

Подробнее
20-12-2015 дата публикации

ДЕРЖАТЕЛЬ ПОДЛОЖЕК

Номер: RU0000157964U1

1. Держатель подложек, содержащий сплошное основание с посадочными площадками для подложек, отличающийся тем, что посадочные площадки выполнены с посадочной поверхностью, соответствующей габаритам подложек и ограниченной по периметру ограничительными бортиками, а в области укладки подложек на посадочной поверхности выполнены углубления с по меньшей мере одним отверстием в дне для фиксации подложек. 2. Держатель подложек по п.1, отличающийся тем, что подложка представляет собой полупроводниковую или диэлектрическую пластину, в частности кремниевую пластину. 3. Держатель подложек по п.1, отличающийся тем, что сплошное основание выполнено из алюминиевых или титановых сплавов, стали или композитных материалов. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 157 964 U1 (51) МПК C23C 16/458 (2006.01) C23C 14/50 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ (21)(22) Заявка: ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ 2015112763/02, 08.04.2015 (24) Дата начала отсчета срока действия патента: 08.04.2015 (45) Опубликовано: 20.12.2015 Бюл. № 35 1 5 7 9 6 4 R U Формула полезной модели 1. Держатель подложек, содержащий сплошное основание с посадочными площадками для подложек, отличающийся тем, что посадочные площадки выполнены с посадочной поверхностью, соответствующей габаритам подложек и ограниченной по периметру ограничительными бортиками, а в области укладки подложек на посадочной поверхности выполнены углубления с по меньшей мере одним отверстием в дне для фиксации подложек. 2. Держатель подложек по п.1, отличающийся тем, что подложка представляет собой полупроводниковую или диэлектрическую пластину, в частности кремниевую пластину. 3. Держатель подложек по п.1, отличающийся тем, что сплошное основание выполнено из алюминиевых или титановых сплавов, стали или композитных материалов. Стр.: 1 U 1 U 1 (54) ДЕРЖАТЕЛЬ ПОДЛОЖЕК 1 5 7 9 6 4 Адрес для переписки: 143026, Москва, Территория инновационного центра "Сколково", ул. Луговая, 4, ООО "Центр интеллектуальной ...

Подробнее
10-10-2016 дата публикации

УСТРОЙСТВО ДЛЯ ПАССИВАЦИИ ПОВЕРХНОСТЕЙ ДЛИННОМЕРНЫХ ТРУБ ПРИ ИНДУКЦИОННОМ НАГРЕВЕ

Номер: RU0000165112U1

1. Устройство для пассивации аморфным кремнием поверхностей длинномерных труб, содержащее индукционный нагреватель с внешним многовитковым спиральным трубчатым элементом, внутренний трубчатый элемент для размещения в нем пакета длинномерных труб, объединенных впускной решеткой, решеткой отвода и, как минимум, одной промежуточной решеткой, и систему трубопроводов подачи и отвода в паровой фазе из инертного газа технологического раствора для пассивации в виде гидрида кремния, причем упомянутый индукционный нагреватель установлен на каретку с возможностью перемещения по продольным направляющим вдоль внутреннего трубчатого элемента, при этом на торцах упомянутого внутреннего трубчатого элемента закреплены посредством цанговых зажимов впускной оголовок и оголовок отвода технологического раствора, упомянутые впускная решетка и решетка отвода выполнены с патрубками, на которые установлены чашка впускного оголовка, образующая совместно с впускной решеткой полость впускного коллектора, и чашка оголовка отвода, образующая совместно с решеткой отвода полость коллектора отвода, к упомянутым патрубкам впускной решетки и решетки отвода чашек впускного оголовка и оголовка отвода присоединена упомянутая система трубопроводов подачи и отвода гидрида кремния. 2. Устройство по п. 1, отличающееся тем, что упомянутые длинномерные трубы размещены в упомянутых впускной решетке, решетке отвода и в промежуточной решетке преимущественно по концентрическим окружностям. 3. Устройство по п. 1, отличающееся тем, что длинномерные трубы закреплены в упомянутых впускной решетке, решетке отвода и промежуточных решетках фиксаторами, выполненными в виде цанговых зажимов. 4. Устройство по п. 1, отличающееся тем, что упомянутая система трубопроводов выполнена с разделителем потока гидрида кремния в упомянутой паровой фазе, обеспечивающим подачу гидрида кремния в линию подачи для поступления во впускной коллектор с обеспечением подачи гидрида кремния в полости упомянутых длинномерных труб, и в линию ...

Подробнее
12-10-2017 дата публикации

Стальное изделие с композиционным износостойким покрытием

Номер: RU0000174415U1

Полезная модель относится к нанесению износостойких покрытий на поверхность стальных изделий, работающих в условиях интенсивного износа при эксплуатации, и может быть использована в машиностроении. Предложено стальное изделие с композиционным износостойким покрытием, содержащим промежуточный слой никеля и основной износостойкий пиролитический карбидохромовый слой. Промежуточный слой никеля имеет твердость, которая больше, чем твердость поверхности стального изделия, и меньше твердости основного износостойкого пиролитического карбидохромового слоя, имеющего микротвердость до 15 ГПа. Промежуточный слой никеля имеет толщину 3-5 мкм. Промежуточный слой никеля имеет твердость 700-1000 МПа. Обеспечивается упрощение процесса нанесения и улучшение качества пиролитического карбидохромового покрытия. 1 з.п. ф-лы, 1 ил., 1 пр. И 1 174415 ко РОССИЙСКАЯ ФЕДЕРАЦИЯ 7 ВУ’? 174 415 91 ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ИЗВЕЩЕНИЯ К ПАТЕНТУ НА ПОЛЕЗНУЮ МОДЕЛЬ ММ9К Досрочное прекращение действия патента из-за неуплаты в установленный срок пошлины за поддержание патента в силе Дата прекращения действия патента: 01.12.2018 Дата внесения записи в Государственный реестр: 02.09.2019 Дата публикации и номер бюллетеня: 02.09.2019 Бюл. №25 Стр.: 1 па ЧУДА ЕП

Подробнее
15-04-2019 дата публикации

Стальное изделие с композиционным износостойким покрытием

Номер: RU0000188450U1

Полезная модель используется при нанесении износостойких покрытий на поверхность стальных изделий, работающих в условиях интенсивного износа и может быть применена в машиностроении. Полезная модель направлена на увеличении срока службы стальных изделий, за счет повышения износостойкости нанесенного на их поверхность пиролитического карбидохромового покрытия (ПКХП) путем формирования в структуре ПКХП твердых фаз карбидов хрома. Указанный технический результат достигается тем, что стальное изделие после стадии осаждения на его поверхности композиционного карбидохромового покрытия методом осаждения из газовой фазы проходит стадию дополнительного отжига при 700°С, давлении 0,1-1,0 Па, в течение 2 часов, приводящему к формированию твердых фаз карбидов хрома в структуре ПКХП. 1 ил. И 1 188450 ко РОССИЙСКАЯ ФЕДЕРАЦИЯ ВУ” 188 450” 94 ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ИЗВЕЩЕНИЯ К ПАТЕНТУ НА ПОЛЕЗНУЮ МОДЕЛЬ ММ9К Досрочное прекращение действия патента из-за неуплаты в установленный срок пошлины за поддержание патента в силе Дата прекращения действия патента: 17.11.2020 Дата внесения записи в Государственный реестр: 27.09.2021 Дата публикации и номер бюллетеня: 27.09.2021 Бюл. №27 Стр.: 1 па ОУЗЗ ЕП

Подробнее
09-09-2019 дата публикации

Вакуумная установка для нанесения тонкопленочных покрытий на подложку

Номер: RU0000192228U1

Полезная модель относится к области технологического оборудования для нанесения покрытий, а именно к вакуумному технологическому оборудованию, предназначенному для нанесения тонкопленочных покрытий с заданными оптическими, электрическими и другими характеристиками.Разработана вакуумная установка для нанесения тонкопленочных покрытий, включающая по меньшей мере одну технологическую камеру, установленную на каркасе и снабженную технологическими устройствами, и по меньшей мере одну шлюзовую камеру, установленную на транспортной системе, выполненной с возможностью обеспечения перемещения шлюзовой камеры из позиции загрузки/выгрузки в рабочую позицию под технологической камерой, подложкодержатель для размещения на нем подложки для нанесения тонкопленочного покрытия, выполненный с возможностью вращения вокруг своей оси, устройство подачи для перемещения подложкодержателя из шлюзовой камеры в технологическую камеру, вакуумный затвор, расположенный между камерами и выполненный с возможностью разделения внутреннего объема шлюзовой и технологической камер, устройство стыковки технологической и шлюзовой камер, при этом в верхней части технологической камеры установлен захват, выполненный с возможностью обеспечения фиксации подложкодержателя во внутреннем объеме технологической камеры и его вращения вокруг своей оси во время обработки поверхности подложки.Таким образом, разработана вакуумная установка для нанесения тонкопленочных покрытий, конструкция которой позволяет обеспечить достижение технического результата, заключающегося в сокращении времени осуществления технологического процесса, а также в повышении качества получаемого тонкопленочного покрытия. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 192 228 U1 (51) МПК C23C 14/24 (2006.01) C23C 14/50 (2006.01) C23C 14/56 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (52) СПК C23C 14/24 (2019.02); C23C 14/50 (2019.02); C23C 14/56 (2019.02) (21)(22) Заявка: 2018142966, 04.12. ...

Подробнее
24-05-2021 дата публикации

УСТРОЙСТВО ДЛЯ АТОМНО-СЛОЕВОГО ОСАЖДЕНИЯ

Номер: RU0000204415U1

Полезная модель относится к устройству атомно-слоевого осаждения покрытия на поверхность образца с фотостимуляцией химических реакций. Устройство атомно-слоевого осаждения содержит реактор с нагревателем и оптическим модулем, связанные с реактором подающую линию реагента и линию откачки реагента, внутри реактора расположено устройство для перемещения образца, причем оптический модуль включает источник электромагнитного излучения, оптическую систему, состоящую из оптического элемента и микроэлектромеханической системы микрозеркал. Технический результат, достигаемый при реализации заявленной полезной модели, заключается в повышении эксплуатационных характеристик устройства за счет снижения трудоемкости при эксплуатации, повышения качества покрытия на образце, повышения точности нанесения покрытия (рисунка) и расширения его функциональных возможностей, а также возможности управления физико-химическими характеристиками наносимого материала. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 204 415 U1 (51) МПК C23C 16/48 (2006.01) C23C 16/52 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (52) СПК C23C 16/48 (2021.02); C23C 16/52 (2021.02) (21)(22) Заявка: 2020141816, 17.12.2020 (24) Дата начала отсчета срока действия патента: (73) Патентообладатель(и): Кузьмичев Дмитрий Сергеевич (RU) Дата регистрации: 24.05.2021 Приоритет(ы): (22) Дата подачи заявки: 17.12.2020 (45) Опубликовано: 24.05.2021 Бюл. № 15 2 0 4 4 1 5 R U (54) УСТРОЙСТВО ДЛЯ АТОМНО-СЛОЕВОГО ОСАЖДЕНИЯ (57) Реферат: Полезная модель относится к устройству микроэлектромеханической системы атомно-слоевого осаждения покрытия на микрозеркал. Технический результат, поверхность образца с фотостимуляцией достигаемый при реализации заявленной полезной химических реакций. Устройство атомно-слоевого модели, заключается в повышении осаждения содержит реактор с нагревателем и эксплуатационных характеристик устройства за оптическим модулем, связанные с реактором счет снижения ...

Подробнее
12-01-2012 дата публикации

Method and apparatus for removing photoresist

Номер: US20120006486A1
Принадлежит: Lam Research Corp

A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.

Подробнее
12-01-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120006489A1
Принадлежит: Panasonic Corp

Substrates are contained in substrate containing holes which penetrate a tray in the thickness direction. A dielectric plate in a chamber is provided with a tray supporting surface which supports the lower surface of the tray and substrate placing sections which protrude upward, and has an electrostatic chuck electrode therein. The substrate supporting section which supports the substrate contained in the substrate containing holes is provided with a plurality of protruding sections formed at intervals in the circumferential direction of the substrate containing holes. The substrates are supported in point-contact mode by means of the protruding sections.

Подробнее
12-01-2012 дата публикации

Heating and cooling of substrate support

Номер: US20120006493A1
Принадлежит: Individual

A process chamber and a method for controlling the temperature of a substrate positioned on a substrate support assembly within the process chamber are provided. The substrate support assembly includes a thermally conductive body, a substrate support surface on the surface of the thermally conductive body and adapted to support a large area substrate thereon, one or more heating elements embedded within the thermally conductive body, and two or more cooling channels embedded within the thermally conductive body to be coplanar with the one or more heating elements. The cooling channels may be branched into two or more equal-length cooling passages being extended from a single point inlet and into a single point outlet to provide equal resistance cooling.

Подробнее
22-03-2022 дата публикации

Устройство для модификации поверхности материалов наночастицами металлов

Номер: RU0000209747U1

Полезная модель относится к устройствам по нанесению покрытий из металлических наночастиц в поровое пространство материалов с высокой удельной поверхностью, которые применяются в энергетике, радиоэлектронной, авиационной и других отраслях промышленности. Устройство для модификации поверхности материалов наночастицами металлов включает заполненный жидкостью электродный модуль электроимпульсного оборудования, в который погружены рабочие диспергируемые электроды. На электродном модуле закреплена оснастка, состоящая из двух симметричных полуцилиндров с отверстиями, расположенными напротив разрядного межэлектродного промежутка. На внешней стороне оснастки расположены металлические зажимы, позволяющие зафиксировать обрабатываемый материал таким образом, что он полностью закрывает отверстия на полуцилиндрах. Техническим результатом является придание поверхности электрофизических характеристик. 1 з.п. ф-лы, 4 ил. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 209 747 U1 (51) МПК C23C 16/50 (2006.01) B22F 9/14 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (52) СПК C23C 16/50 (2022.02); B22F 9/14 (2022.02) (21)(22) Заявка: 2021137168, 15.12.2021 (24) Дата начала отсчета срока действия патента: Дата регистрации: 22.03.2022 (45) Опубликовано: 22.03.2022 Бюл. № 9 Адрес для переписки: 125993, Москва, Волоколамское ш., 4, МАИ, Терентьев Вадим Васильевич (73) Патентообладатель(и): Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский авиационный институт" (национальный исследовательский университет) (RU) U 1 2 0 9 7 4 7 R U (54) Устройство для модификации поверхности материалов наночастицами металлов (57) Реферат: Полезная модель относится к устройствам по состоящая из двух симметричных полуцилиндров нанесению покрытий из металлических с отверстиями, расположенными напротив наночастиц в поровое пространство материалов разрядного межэлектродного промежутка. На с высокой удельной поверхностью, ...

Подробнее
12-01-2012 дата публикации

Method and apparatus for stabilizing a coating

Номер: US20120009355A1
Принадлежит: Exatec LLC

A method and apparatus for stabilizing an incidental coating in a substrate coating apparatus is provided. The method includes defining interior surfaces of a coating zone in the substrate coating apparatus. The method may include preheating interior surfaces to a local preheat temperature that is approximately equal to a local coating temperature attained by the surfaces during coating of a substrate, at least partially defining the interior surfaces with a compliant fabric, or at least partially defining the interior surfaces with a compliant fabric and preheating the interior surfaces.

Подробнее
12-01-2012 дата публикации

Coated cutting tool for metal cutting applications generating high temperatures

Номер: US20120009402A1
Принадлежит: SECO TOOLS AB

A cutting tool insert includes a body of cemented carbide, cermet, ceramics, high speed steel (HSS), polycrystalline diamond (PCD) or polycrystalline cubic boron nitride (PCBN), a hard and wear resistant coating is applied, grown by physical vapour deposition (PVD) such as cathodic are evaporation or magnetron sputtering. The coating includes at least one layer of (Zr x Al 1-x )N with 0.05<x<0.30 with a thickness between 0.5 and 10 μm. The layer has a nanocrystalline columnar microstructure consisting of a single cubic phase or a mixture of hexagonal and cubic phases. The insert is particularly useful in metal cutting applications generating high temperatures with improved edge integrity.

Подробнее
12-01-2012 дата публикации

Chemical vapor deposition apparatus and method of forming semiconductor epitaxial thin film using the same

Номер: US20120009697A1

A chemical vapor deposition apparatus includes: a reaction chamber including an inner tube having a predetermined volume of an inner space, and an outer tube tightly sealing the inner tube; a wafer holder disposed within the inner tube and on which a plurality of wafers are stacked at predetermined intervals; and a gas supply unit including at least one gas line supplying an external reaction gas to the reaction chamber, and a plurality of spray nozzles communicating with the gas line to spray the reaction gas to the wafers, whereby semiconductor epitaxial thin films are grown on the surfaces of the wafers, wherein the semiconductor epitaxial thin film grown on the surface of the wafer includes a light emitting structure in which a first-conductivity-type semiconductor layer, an active layer, and a second-conductivity-type semiconductor layer are sequentially formed.

Подробнее
19-01-2012 дата публикации

Methods for forming low stress dielectric films

Номер: US20120015113A1
Принадлежит: Applied Materials Inc

A method for forming a multi-layer silicon oxide film on a substrate includes performing a deposition cycle that comprises depositing a silicon oxide layer using a thermal chemical vapor deposition (CVD) process and depositing a silicon oxide layer using a plasma enhanced chemical vapor deposition (PECVD) process. The deposition cycle is repeated a specified number of times to form the multi-layer silicon oxide film comprising a plurality of silicon oxide layers formed using the thermal CVD process and a plurality of silicon oxide layers formed using the PECVD process. Each silicon oxide layer formed using the thermal CVD process is adjacent to at least one silicon oxide layer formed using the PECVD process.

Подробнее
26-01-2012 дата публикации

Tellurium Precursors for Film Deposition

Номер: US20120021590A1

Methods and compositions for depositing a tellurium-containing film on a substrate are disclosed. A reactor and at least one substrate disposed in the reactor are provided. A tellurium-containing precursor is provided and introduced into the reactor, which is maintained at a temperature ranging from approximately 20° C. to approximately 100° C. Tellurium is deposited on to the substrate through a deposition process to form a thin film on the substrate.

Подробнее
02-02-2012 дата публикации

Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same

Номер: US20120028410A1
Автор: Eugene P. Marsh
Принадлежит: Micron Technology Inc

A method of forming a material. The method comprises conducting an ALD layer cycle of a first metal, the ALD layer cycle comprising a reactive first metal precursor and a co-reactive first metal precursor. An ALD layer cycle of a second metal is conducted, the ALD layer cycle comprising a reactive second metal precursor and a co-reactive second metal precursor. An ALD layer cycle of a third metal is conducted, the ALD layer cycle comprising a reactive third metal precursor and a co-reactive third metal precursor. The ALD layer cycles of the first metal, the second metal, and the third metal are repeated to form a material, such as a GeSbTe material, having a desired stoichiometry. Additional methods of forming a material, such as a GeSbTe material, are disclosed, as is a method of forming a semiconductor device structure including a GeSbTe material.

Подробнее
02-02-2012 дата публикации

Method of growing electrical conductors

Номер: US20120028474A1
Принадлежит: ASM International NV

A method for forming a conductive thin film includes depositing a metal oxide thin film on a substrate by an atomic layer deposition (ALD) process. The method further includes at least partially reducing the metal oxide thin film by exposing the metal oxide thin film to a reducing agent, thereby forming a seed layer. In one arrangement, the reducing agent comprises one or more organic compounds that contain at least one functional group selected from the group consisting of —OH, —CHO, and —COOH. In another arrangement, the reducing agent comprises an electric current.

Подробнее
09-02-2012 дата публикации

Graded high germanium compound films for strained semiconductor devices

Номер: US20120032265A1
Принадлежит: Individual

Embodiments of an apparatus and methods for providing a graded high germanium compound region are generally described herein. Other embodiments may be described and claimed.

Подробнее
09-02-2012 дата публикации

Semiconductor substrate, semiconductor device, and method of producing semiconductor substrate

Номер: US20120032312A1
Принадлежит: Denso Corp, Sumco Corp

A semiconductor substrate which allows desired electrical characteristics to be more easily acquired, a semiconductor device of the same, and a method of producing the semiconductor substrate. The method of producing this semiconductor substrate is provided with: a first epitaxial layer forming step (S 1 ) of forming a first epitaxial layer; a trench forming step (S 2 ) of forming trenches in the first epitaxial layer; and epitaxial layer forming steps (S 3, S 4, S 5 ) of forming epitaxial layers on the first epitaxial layer and inside the trenches, using a plurality of growth conditions including differing growth rates, so as to fill the trenches, and keeping the concentration of dopant taken into the epitaxial layers constant in the plurality of growth conditions.

Подробнее
09-02-2012 дата публикации

Delivery device and method of use thereof

Номер: US20120034378A1
Принадлежит: Rohm and Haas Electronic Materials LLC

A delivery device comprises an inlet port and an outlet port. The delivery device comprises an inlet chamber and an outlet chamber, with the outlet chamber being opposedly disposed to the inlet chamber and in fluid communication with the inlet chamber via a conical section. The outlet chamber comprises a labyrinth that is operative to prevent solid particles of a solid precursor compound contained in the delivery device from leaving the delivery device while at the same time permitting vapors of the solid precursor compound to leave the delivery device via the outlet port.

Подробнее
09-02-2012 дата публикации

Coating method

Номер: US20120034379A1
Автор: Chung-Pei Wang
Принадлежит: Hon Hai Precision Industry Co Ltd

A coating method includes following steps. A workpiece having a flat surface is provided. The surface includes a coating region and a pattern region. A tape mask having a through hole, whose shape and size conforms to the pattern region, is attached onto the flat surface of workpiece to cover the coating region, thus exposing the pattern region. A screen printing stencil is placed on the tape mask. Ink is spread over the screen printing stencil printing stencil, and squeezed into the through hole over the pattern region. The ink is solidified. The tape mask is removed from the workpiece. A metallic coating is formed on the coating region of the flat surface and the solidified ink on the pattern region is removed.

Подробнее
09-02-2012 дата публикации

Diamond semiconductor element and process for producing the same

Номер: US20120034737A1
Принадлежит: Nippon Telegraph and Telephone Corp

A process of producing a diamond thin-film includes implanting dopant into a diamond by an ion implantation technique, forming a protective layer on at least part of the surface of the ion-implanted diamond, and firing the protected ion-implanted diamond at a firing pressure of no less than 3.5 GPa and a firing temperature of no less than 600° C. A process of producing a diamond semiconductor includes implanting dopant into each of two diamonds by an ion implantation technique and superimposing the two ion-implanted diamonds on each other such that at least part of the surfaces of each of the ion-implanted diamonds makes contact with each other, and firing the ion implanted diamonds at a firing pressure of no less than 3.5 GPa and a firing temperature of no less than 600° C.

Подробнее
09-02-2012 дата публикации

Method of removing contaminants and native oxides from a substrate surface

Номер: US20120034761A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally relate to methods for removing contaminants and native oxides from substrate surfaces. The methods generally include exposing a substrate having an oxide layer thereon to an oxidizing source. The oxidizing source oxidizes an upper portion of the substrate beneath the oxide layer to form an oxide layer having an increased thickness. The oxide layer with the increased thickness is then removed to expose a clean surface of the substrate. The removal of the oxide layer generally includes removal of contaminants present in and on the oxide layer, especially those contaminants present at the interface of the oxide layer and the substrate. An epitaxial layer may then be formed on the clean surface of the substrate.

Подробнее
09-02-2012 дата публикации

Substrate processing apparatus and producing method of semiconductor device

Номер: US20120034788A1
Принадлежит: Hirohisa Yamazaki, Masanori Sakai, Toru Kagaya

A substrate treatment apparatus includes a reaction tube and a heater heating a silicon wafer. Trimethyl aluminum (TMA) and ozone (O 3 ) are alternately fed into the reaction tubeto generate Al 2 O 3 film on the surface of the wafer. The apparatus also includes supply tubes and for flowing the ozone and TMA and a nozzle supplying gas into the reaction tube. The two supply tubes are connected to the nozzle disposed inside the heater in a zone inside the reaction tube where a temperature is lower than a temperature near the wafer, and the ozone and TMA are supplied into the reaction tube through the nozzle.

Подробнее
16-02-2012 дата публикации

Enhanced wafer carrier

Номер: US20120040097A1
Принадлежит: Veeco Instruments Inc

A wafer carrier used in wafer treatments such as chemical vapor deposition has pockets for holding the wafers and support surfaces for supporting the wafers above the floors of the pockets. The carrier is provided with locks for restraining wafers against upward movement away from the support surfaces. Constraining the wafers against upward movement limits the effect of wafer distortion on the spacing between the wafer and the floor surfaces, and thus limits the effects of wafer distortion on heat transfer. The carrier may include a main portion and minor portions having higher thermal conductivity than the main portion, the minor portions being disposed below the pockets.

Подробнее
16-02-2012 дата публикации

Carburetor, carburetor for mocvd using same, center rod for use in the carburetor or carburetor for mocvd, method for dispersing carrier gas, and method for vaporizing carrier gas

Номер: US20120040098A1
Принадлежит: Wacom R&D Corp

Provided is a vaporizer that can efficiently cool a carrier gas, improve the effect of preventing a material from being clogged near the outlet port of a gas passage, contribute to prolonging the timing of maintenance and improving operating efficiency, and exert more uniform dispersing effect. A vaporizer includes: a center rod inserted into a carrier gas introduction hole formed in a disperser to form the gas passage in cooperation with the inner wall of the carrier gas introduction hole; a cooling part disposed on the outer circumferential side of the carrier gas introduction hole in the disperser to cool the inside of the gas passage; a cooling member insertion hole formed across almost the entire length of the center rod along the axial direction of the center rod; and a cooling member disposed in the inside of the cooling member insertion hole to cool the center rod.

Подробнее
16-02-2012 дата публикации

Gas-barrier multilayer film

Номер: US20120040107A1
Принадлежит: Sumitomo Chemical Co Ltd

A gas-barrier multilayer film including: a base member; and at least one thin film layer formed on at least one surface of the base member, wherein at least one layer of the thin film layer(s) satisfies at least one of requirements (A) and (B).

Подробнее
16-02-2012 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20120040518A1

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus inhibits deposition on windows or other microwave transmission elements that couple microwave energy to deposition species. The apparatus includes a microwave applicator with conduits passing therethrough that carry deposition species. The applicator transfers microwave energy to the deposition species to transform them to a reactive state conducive to formation of a thin film material. The conduits physically isolate deposition species that would react to form a thin film material at the point of microwave power transfer. The deposition species are separately energized and swept away from the point of power transfer to prevent thin film deposition. The invention allows for the ultrafast formation of silicon-containing amorphous semiconductors that exhibit high mobility, low porosity, little or no Staebler-Wronski degradation, and low defect concentration.

Подробнее
16-02-2012 дата публикации

Method for forming silicon film having microcrystal structure

Номер: US20120040519A1
Автор: Ching-ting Lee

A method for forming a silicon film having a microcrystal structure is provided. The method includes following steps. A plasma-enhanced chemical vapor deposition system having a reaction chamber, a top electrode and a bottom electrode is provided. The top electrode and the bottom electrode are opposite and disposed in the reaction chamber. A substrate is disposed on the bottom electrode. A silane gas is applied into the reaction chamber. A silicon film having a microcrystal structure is formed by simultaneously irradiating the silane gas in the reaction chamber by a carbon dioxide laser and performing a plasma-enhanced chemical vapor deposition step.

Подробнее
23-02-2012 дата публикации

Coating device

Номер: US20120042824A1
Автор: Chung-Pei Wang
Принадлежит: Hon Hai Precision Industry Co Ltd

A coating device includes a main body, a transport device, at least one loader, a driving device. The main body has a top plate, a bottom plate, and a pair of sidewalls connecting the top plate and bottom plate. The sidewalls respectively define an input gate and an output gate. The transport device includes a transport track passing through the input gate and the output gate, and at least one lifting arm mounted on the transport track. Each loader is configured for loading workpieces and includes a rotary shaft, at least one loading frame rotatably connected with the rotary shaft. The driving device is mounted on the top plate. Each lifting arm is configured for clamping a corresponding loader and transporting the corresponding loader to engage with the driving device. The driving device rotates the corresponding loader rotary shaftthrough the rotary shaft of the corresponding loader.

Подробнее
23-02-2012 дата публикации

Tailoring the band gap of solar cells made of liquid silane by adding germanium

Номер: US20120042951A1
Принадлежит: EVONIK DEGUSSA GmbH

The present invention relates to a method for decreasing or increasing the band gap shift in the production of photovoltaic devices by means of coating a substrate with a formulation containing a silicon compound, e.g., in the production of a solar cell comprising a step in which a substrate is coated with a liquid-silane formulation, the invention being characterized in that the formulation also contains at least one germanium compound. The invention further relates to the method for producing such a photovoltaic device.

Подробнее
23-02-2012 дата публикации

Plasma processing apparatus, deposition method, method of manufacturing metal plate having dlc film, method of manufacturing separator, and method of manufacturing article

Номер: US20120045591A1
Автор: Ge Xu
Принадлежит: Canon Anelva Corp

A plasma processing apparatus includes a holder holding an object to be processed in a vacuum chamber while being electrically connected to the object, a first take-up portion configured to take up an electrically conductive sheet and set at a potential different from that of the object at the time of plasma processing, and a second take-up portion configured to take up the electrically conductive sheet which is fed from the first take-up portion and passes through a position facing a processing surface of the object held by the holder.

Подробнее
01-03-2012 дата публикации

Interconnect Structure for Semiconductor Devices

Номер: US20120049371A1

A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, a conductive layer is located within a dielectric layer and a top surface of the conductive layer has either a recess, a convex surface, or is planar. An alloy layer overlies the conductive layer and is a silicide alloy having a first material from the conductive layer and a second material of germanium, arsenic, tungsten, or gallium.

Подробнее
01-03-2012 дата публикации

Wafer Chucking System for Advanced Plasma Ion Energy Processing Systems

Номер: US20120052599A1
Принадлежит: Advanced Energy Industries Inc

Systems, methods and apparatus for regulating ion energies in a plasma chamber and chucking a substrate to a substrate support are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.

Подробнее
01-03-2012 дата публикации

Methods of selectively forming a material

Номер: US20120052681A1
Автор: Eugene P. Marsh
Принадлежит: Micron Technology Inc

Methods for depositing a material, such as a metal or a transition metal oxide, using an ALD (atomic layer deposition) process and resulting structures are disclosed. Such methods include treating a surface of a semiconductor structure periodically throughout the ALD process to regenerate a blocking material or to coat a blocking material that enables selective deposition of the material on a surface of a substrate. The surface treatment may reactivate a surface of the substrate toward the blocking material, may restore the blocking material after degradation occurs during the ALD process, and/or may coat the blocking material to prevent further degradation during the ALD process. For example, the surface treatment may be applied after performing one or more ALD cycles. Accordingly, the presently disclosed methods enable in situ restoration of blocking materials in ALD process that are generally incompatible with the blocking material and also enables selective deposition in recessed structures.

Подробнее
15-03-2012 дата публикации

Plasma processing apparatus, plasma processing method and storage medium for storing program for executing the method

Номер: US20120061351A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma processing apparatus including a susceptor 114 , having a substrate mounting portion for mounting thereon a substrate, to which a high frequency power is applied; a focus ring 210 , disposed to surround the substrate mounted on the substrate mounting portion, including an outer ring 214 having a top surface higher than a top surface of the substrate and an inner ring 212 extending inwardly from the outer ring so as to allow at least a part of the inner ring to be positioned below a periphery of the substrate, the outer ring and the inner ring being formed as a single member; a dielectric ring 220 positioned between the focus ring and the susceptor; a dielectric constant varying device 250 for varying a dielectric constant of the dielectric ring.

Подробнее
15-03-2012 дата публикации

Multiple section showerhead assembly

Номер: US20120064698A1
Автор: Donald J.K. Olgado
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally provide a method and apparatus that may be utilized for deposition of Group III-nitride films using MOCVD and/or HVPE hardware. In one embodiment, the apparatus is a showerhead assembly made of multiple sections that are isolated from one another and attached to a top plate. Each showerhead section has separate inlets and passages for delivering separate processing gases into a processing volume of a processing chamber without mixing the gases prior to entering the processing volume. In one embodiment, each showerhead section includes a temperature control manifold for flowing a cooling fluid through the respective showerhead section. By providing multiple, isolated showerhead sections, manufacturing complexity and costs are significantly reduced as compared to conventionally manufacturing the entire showerhead from a single block or stack of plates.

Подробнее
15-03-2012 дата публикации

Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Номер: US20120064726A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma etching apparatus provided for performing an etching in a desirable shape. The plasma etching apparatus includes a processing chamber 12 for performing a plasma process on a target substrate W; a gas supply unit 13 for supplying a plasma processing gas into the processing chamber 12; a supporting table positioned within the processing chamber 12 and configured to support the target substrate thereon; a microwave generator 15 for generating a microwave for plasma excitation; a plasma generation unit for generating plasma within the processing chamber 12 by using the generated microwave; a pressure control unit for controlling a pressure within the processing chamber 12; a bias power supply unit for supplying AC bias power to the supporting table 14; and a control unit for controlling the AC bias power by alternately repeating supply and stop of the AC bias power.

Подробнее
15-03-2012 дата публикации

Producing method of semiconductor device and substrate processing apparatus

Номер: US20120064730A1
Принадлежит: Individual

Disclosed is a method for manufacturing a semiconductor device which comprises a step for carrying a plurality of substrates ( 1 ) in a process chamber ( 4 ), a step for supplying an oxygen-containing gas from the upstream side of the substrates ( 1 ) carried in the process chamber ( 4 ), a step for supplying a hydrogen-containing gas from at least one location corresponding to a position within the region where substrates ( 1 ) are placed in the process chamber ( 4 ), a step for oxidizing the substrates ( 1 ) by reacting the oxygen-containing gas with the hydrogen-containing gas in the process chamber ( 4 ), and a step for carrying the thus-processed substrates ( 1 ) out of the process chamber ( 4 ).

Подробнее
22-03-2012 дата публикации

Surface wave plasma cvd apparatus and film forming method

Номер: US20120067281A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus includes a waveguide that is connected to a microwave source and formed of a plurality of slot antennae; a dielectric member that introduces microwaves emitted from the plurality of slot antennae into a plasma processing chamber to generate surface wave plasma; a moving device that reciprocatory moves a substrate-like subject of film formation such that the subject of film formation passes a film formation processing region that faces the dielectric member; and a control device that controls the reciprocatory movement of the subject of film formation by the moving device depending on film forming conditions to perform film formation on the subject of film formation.

Подробнее
22-03-2012 дата публикации

Vacuum processing system

Номер: US20120067521A1
Принадлежит: Hitachi High Technologies Corp

A vacuum processing system including a cassette holder for setting up cassettes in which samples are stored, an air-transfer chamber for transferring the samples, lock chambers for storing the samples transferred from the air-transfer chamber, the lock chambers being capable of switching between air atmosphere and vacuum atmosphere in their inside, a vacuum transfer chamber connected to the lock chambers, vacuum containers for processing the samples transferred via the vacuum transfer chamber, a cooling chamber for cooling the samples down to a first temperature, the samples being processed in at least one of the vacuum containers, and a cooling unit for cooling the samples down to a second temperature, the samples being cooled in the cooling chamber. The cooling unit is deployed in the air transfer chamber, and has a cooling part for cooling the samples, being cooled in the cooling chamber, down to the second temperature.

Подробнее
22-03-2012 дата публикации

Conductive layers for hafnium silicon oxynitride

Номер: US20120068272A1
Автор: Kie Y. Ahn, Leonard Forbes
Принадлежит: Individual

Electronic apparatus and methods of forming the electronic apparatus include HfSiON for use in a variety of electronic systems. In various embodiments, conductive material is coupled to a dielectric containing HfSiON, where such conductive material may include one or more monolayers of titanium nitride, tantalum, or combinations of titanium nitride and tantalum.

Подробнее
22-03-2012 дата публикации

Method for fabricating wafer product and method for fabricating gallium nitride based semiconductor optical device

Номер: US20120070929A1

Provided is a method for fabricating a wafer product including an active layer grown on a gallium oxide substrate and allowing an improvement in emission intensity. In step S 105 , a buffer layer 13 comprised of a Group III nitride such as GaN, AlGaN, or AlN is grown at 600 Celsius degrees on a primary surface 11 a of a gallium oxide substrate 11 . After the growth of the buffer layer 13 , while supplying a gas G 2 , which contains hydrogen and nitrogen, into a growth reactor 10 , the gallium oxide substrate 11 and the buffer layer 13 are exposed to an atmosphere in the growth reactor 11 at 1050 Celsius degrees. A Group III nitride semiconductor layer 15 is grown on the modified buffer layer. The modified buffer layer includes, for example, voids. The Group III nitride semiconductor layer 15 can be comprised of GaN and AlGaN. When the Group III nitride semiconductor layer 15 is formed of these materials, excellent crystal quality is obtained on the modified buffer layer 14.

Подробнее
22-03-2012 дата публикации

Atomic layer deposition of a copper-containing seed layer

Номер: US20120070981A1
Принадлежит: Intel Corp

The present disclosure relates to the field of microelectronic device fabrication and, more particularly, to the formation of copper-containing seed layers for the fabrication of interconnects in integrated circuits. The copper-containing seed layers may be formed in an atomic layer deposition process with a copper pre-cursor and organometallic co-reagent.

Подробнее
29-03-2012 дата публикации

Heater with liquid heating element

Номер: US20120073502A1
Автор: Boris Volf, Eric A. Armour
Принадлежит: Veeco Instruments Inc

A heater for a heating system of a chemical vapor deposition process includes a relatively highly emissive body and an electrically conductive heating element disposed within a passageway in the body. The heating element is constructed to melt below an operating temperature of the heater. The passageway is constructed to retain the melted heating element in a continuous path, so that an electrical current along the heating element may be maintained during operation of the heater. Various shapes and arrangements of the passageway within the body may be used, and the heating system may be constructed to provide multiple, independently controllable temperature zones.

Подробнее
29-03-2012 дата публикации

Adapter Ring For Silicon Electrode

Номер: US20120073752A1
Автор: Terry Parde
Принадлежит: SunEdison Inc

Methods and systems are provided for retrofitting wafer etching systems. The methods and systems use an adapter ring to retrofit wafer etching systems designed for use with multiple piece electrodes such that single piece electrodes can be used in the etching systems. A portion of the adapter ring is disposed in a receptacle formed in a thermal coupled plate in the wafer etching system. Another portion of the adapter ring is positioned in a channel formed in an upper electrode.

Подробнее
29-03-2012 дата публикации

Electrode plate for plasma etching and plasma etching apparatus

Номер: US20120073753A1
Принадлежит: Tokyo Electron Ltd

An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.

Подробнее
29-03-2012 дата публикации

Electrode and plasma processing apparatus

Номер: US20120073755A1
Автор: Daisuke Hayashi
Принадлежит: Tokyo Electron Ltd

Electric field intensity distribution of a high frequency power for plasma generation can be controlled without generating abnormal electric discharge. There is provided an electrode for a plasma processing apparatus capable of supplying a gas. The electrode may include a base member 105 a made of a dielectric material and having therein a certain space U; a cover 107 for airtightly sealing the space U and isolating the space U from a plasma generation space when the electrode is installed at the plasma processing apparatus; and multiple gas hole tubes 105 e passing through the cover member 107 , the space U and the base member 105 a . Each gas hole tube has a gas hole isolated from the space U.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
29-03-2012 дата публикации

Method and apparatus for multiple-channel pulse gas delivery system

Номер: US20120076935A1
Принадлежит: Individual

A pulse gas delivery system for delivering a sequence of pulses of prescribed amounts of gases to a process tool, comprises: (a) a plurality of channels, each including (i) a gas delivery chamber; (ii) an inlet valve connected so as to control gas flowing into the corresponding gas delivery chamber; and (iii) an outlet valve connected so as to control the amount of gas flowing out of the corresponding gas delivery chamber; and (b) a dedicated multiple channel controller configured so as to control the inlet and outlet valves of each of the channels so that pulses of gases in prescribed amounts can be provided to the process tool in a predetermined sequence in accordance with a pulse gas delivery process.

Подробнее
29-03-2012 дата публикации

Systems and methods for selective tungsten deposition in vias

Номер: US20120077342A1
Принадлежит: Novellus Systems Inc

A method for processing a substrate includes providing a substrate including a metal layer, a dielectric layer arranged on the metal layer, and at least one of a via and a trench formed in the dielectric layer; depositing a metal using chemical vapor deposition (CVD) during a first deposition period, wherein the first deposition period is longer than a first nucleation period that is required to deposit the metal on the metal layer; stopping the first deposition period prior to a second nucleation delay period, wherein the second nucleation period is required to deposit the metal on the dielectric layer; performing the depositing and the stopping N times, where N is an integer greater than or equal to one; and after the performing, depositing the metal using CVD during a second deposition period that is longer than the second nucleation delay period.

Подробнее
12-04-2012 дата публикации

ATOMIC LAYER DEPOSITION OF CRYSTALLINE PrCaMnO (PCMO) AND RELATED STRUCTURES AND METHODS

Номер: US20120086104A1
Автор: Eugene P. Marsh
Принадлежит: Micron Technology Inc

Methods of forming a PrCaMnO (PCMO) material by atomic layer deposition. The methods include separately exposing a surface of a substrate to a manganese-containing precursor, an oxygen-containing precursor, a praseodymium-containing precursor and a calcium-containing precursor. The resulting PCMO material is crystalline. A semiconductor device structure including the PCMO material, and related methods, are also disclosed.

Подробнее
19-04-2012 дата публикации

Antenna unit for generating plasma and substrate processing apparatus including the same

Номер: US20120090785A1
Автор: Yong-Jun Jang
Принадлежит: Jusung Engineering Co Ltd

An antenna unit for generating a plasma includes: a first antenna including a first incoming portion and a plurality of first sub-antennas divided from the first incoming portion; and a second antenna including a second incoming portion and a plurality of second sub-antennas divided from the second incoming portion, the first and second incoming portions constituting a coaxial line.

Подробнее
19-04-2012 дата публикации

Method of treating the surface of a soda lime silica glass substrate, surface-treated glass substrate, and device incorporating the same

Номер: US20120091475A1
Автор: Scott V. Thomsen
Принадлежит: Guardian Industries Corp

Certain example embodiments of this invention relate to methods of treating the surface of a soda lime silica glass substrate, e.g., a soda lime silica alkali ion glass substrate, and the resulting surface-treated glass articles. More particularly, certain example embodiments of this invention relate to methods of removing a top surface portion of a glass substrate using ion sources. During or after removal of this portion, the glass may then be coated with another layer, to be used as a capping layer. In certain example embodiments, the glass substrate coated with a capping layer may be used as a color filter and/or TFT substrate in an electronic device. In other example embodiments, the glass substrate with the capping layer thereon may be used in a variety of display devices.

Подробнее
19-04-2012 дата публикации

Solvent resistant printhead

Номер: US20120092410A1
Автор: David Graham, Sean Weaver
Принадлежит: Individual

A solvent resistant printhead having a barrier deposited and intercalating into the various polymeric materials on the printhead is disclosed. The deposition process may be performed at the various level of production depending on what material or surface requires protection from the solvent. The barrier may include a base coating and an outer coating. The base coating may include an intercalate layer deposited on the printhead and intercalating into the various polymeric materials and a tie layer deposited on the intercalate layer. The outer coating may be a self-assembled monolayer deposited on the base coating.

Подробнее
26-04-2012 дата публикации

Apparatus for forming a magnetic field and methods of use thereof

Номер: US20120097870A1
Принадлежит: Applied Materials Inc

Apparatus for forming a magnetic field and methods of use thereof are provided herein. In some embodiments, a plurality of coils having substantially similar dimensions disposed about a process chamber in a symmetric pattern centered about a central axis of the process chamber, wherein the plurality of coils are configured to produce a magnetic field having a plurality of magnetic field lines that are substantially planar and substantially parallel. In some embodiments, the plurality of coils comprises eight coils disposed about the process chamber, wherein each of the eight coils is offset by an angle of about 45 degrees from respective adjacent coils of the eight coils.

Подробнее
26-04-2012 дата публикации

Photo-patterned carbon electronics

Номер: US20120098101A1

A system is provided for the manufacture of carbon based electrical components including, an ultraviolet light source; a substrate receiving unit whereby a substrate bearing a first layer of carbon based semiconductor is received and disposed beneath the ultraviolet light source; a mask disposed between the ultraviolet light source and the carbon based semiconductor layer; a doping agent precursor source; and environmental chemical controls, configured such that light from the ultraviolet light source irradiates a doping agent precursor and the first carbon layer.

Подробнее
03-05-2012 дата публикации

Pre-heat ring designs to increase deposition uniformity and substrate throughput

Номер: US20120103263A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally relates to apparatus for use in film depositions. The apparatus generally include pre-heat rings adapted to be positioned in a processing chamber. In one embodiment, a pre-heat ring includes a ring having an inner edge and an outer edge. The outer edge has a constant radius. The inner edge is oblong-shaped and may have a first portion having a constant radius measured from a center of a circle defined by an outer circumference of the ring. A second portion may have a constant radius measured from a location other than the center of the outer circumference. In another embodiment, a processing chamber includes a pre-heat ring positioned around the periphery of a substrate support. The pre-heat ring includes an inner edge having a first portion, a second portion, and one or more linear portions positioned between the first portion and the second portion.

Подробнее
03-05-2012 дата публикации

Smoothing Agents to Enhance Nucleation Density in Thin Film Chemical Vapor Deposition

Номер: US20120107503A1
Принадлежит: University of Illinois

The present invention provides methods for making structures, including nanosized and microsized thin film structures that exhibit a high degree of smoothness useful for applications in microelectronics. Deposition processing of the invention utilize smoothing agents capable of selectively adjusting the relative rates of processes involved in thin film formation and growth to access enhanced nucleation densities resulting in smooth thin film structures, including ultrathin (e.g., <10 nm) smooth films.

Подробнее
03-05-2012 дата публикации

Thin-film manufacturing method and apparatus

Номер: US20120107524A1
Принадлежит: Fuji Electric Co Ltd, Kyushu University NUC

A thin-film manufacturing method includes the steps of: generating a plasma from source gas; extracting ions from the plasma; and depositing a thin film on one side or both sides of a substrate to be deposited with the ions. The method is performed in an apparatus including: a plasma chamber generating the plasma; a film deposition chamber accommodating the substrate to be deposited; an ion transfer path for transferring the ions from the plasma chamber to the film deposition chamber; a branch pipe branching from the ion transfer path; and an exhaust system connected to the branch pipe. The thin film is formed while the source gas except the ions is exhausted from the branch pipe.

Подробнее
03-05-2012 дата публикации

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер: US20120108062A1
Принадлежит: Applied Materials Inc

Methods for deposition of elemental metal films on surfaces using metal coordination complexes comprising nitrogen-containing ligands are provided. Also provided are nitrogen-containing ligands useful in the methods of the invention and metal coordination complexes comprising these ligands.

Подробнее
03-05-2012 дата публикации

Showerhead for cvd depositions

Номер: US20120108076A1
Принадлежит: Texas Instruments Inc

A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.

Подробнее
10-05-2012 дата публикации

View port device for plasma process and process observation device of plasma apparatus

Номер: US20120111269A1

A view port device for a plasma process and a process observation device of a plasma apparatus are provided. The view port device for a plasma process comprises a first substrate portion, a second substrate portion, and a connecting portion. The first substrate portion has a first through hole. The second substrate portion has a second through hole and a second diffusion space. A cross-sectional area of the second diffusion space is larger than that of the second through hole. The connecting portion is disposed between the first substrate portion and the second substrate portion.

Подробнее
10-05-2012 дата публикации

Deposition apparatus

Номер: US20120114856A1
Принадлежит: Genitech Co Ltd

A deposition apparatus configured to form a thin film on a substrate includes: a reactor wall; a substrate support positioned under the reactor wall; and a showerhead plate positioned above the substrate support. The showerhead plate defines a reaction space together with the substrate support. The apparatus also includes one or more gas conduits configured to open to a periphery of the reaction space at least while an inert gas is supplied therethrough. The one or more gas conduits are configured to supply the inert gas inwardly toward the periphery of the substrate support around the reaction space. This configuration prevents reactant gases from flowing between a substrate and the substrate support during a deposition process, thereby preventing deposition of an undesired thin film and impurity particles on the back side of the substrate.

Подробнее
10-05-2012 дата публикации

Film forming method

Номер: US20120114869A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a film-forming method wherein a manganese-containing film is formed on a substrate having a surface to which an insulating film and a copper wiring line are exposed. The film-forming method includes forming a manganese-containing film on the copper wiring line by a CVD method which uses a manganese compound.

Подробнее
10-05-2012 дата публикации

Method of depositing dielectric films using microwave plasma

Номер: US20120115334A1
Автор: Hiroyuki Takaba
Принадлежит: Tokyo Electron Ltd

Embodiments of the invention describe a method for forming dielectric films for semiconductor devices. The method includes providing a substrate in a process chamber containing a microwave plasma source, introducing into the process chamber a non-metal-containing process gas including a deposition gas having a carbon-nitrogen intermolecular bond, forming a plasma from the process gas, and exposing the substrate to the plasma to deposit carbon-nitrogen-containing film on the substrate. In some embodiments, the carbon-nitrogen-containing film can include a CN film, a CNO film, a Si-doped CN film, or a Si-doped CNO film.

Подробнее
17-05-2012 дата публикации

Film deposition system and method and gas supplying apparatus being used therein

Номер: US20120121807A1

The present invention provides a film deposition system and method by combining a plurality of gas supplying apparatuses and a deposition apparatus being in communication with the plurality of gas supplying apparatuses. By means of respectively providing different types of vapor precursors with high concentration and high capacity into a process chamber of the deposition apparatus through the plurality of gas supplying apparatus, the deposition reaction is accelerated so as to improve the efficiency of film deposition. In an embodiment of the gas supplying apparatus, it utilizes a first gas for providing high pressure toward on a liquid surface of the precursor, thereby transporting the precursor into an atomizing and heating unit whereby the precursor is atomized and then is heated so as to form a high-concentration and high capacity vapor precursor transported by another carrier gas.

Подробнее
17-05-2012 дата публикации

Method for producing diamond-like carbon film body

Номер: US20120121817A1
Принадлежит: NGK Insulators Ltd

Provided is a method of manufacturing a DLC film formed body in which peeling-off of a DLC film is suppressed. In manufacturing a DLC film formed body having a film hardness of 10 GPa or more, prior to the formation of the DLC film, a surface of a base is pretreated with a discharge plasma and a silicon carbide film being an interlayer is formed on the surface of the base. The surface of the base is pretreated by supplying an inside of the chamber with a gas mixture obtained by mixing 1 part by volume or more and 10 parts by volume or less of argon gas into 100 parts by volume of helium gas while adjusting a pressure inside of the chamber in which the base is housed to 20 hPa or higher and an atmospheric pressure or lower, and generating a discharge plasma in the mixed.

Подробнее
17-05-2012 дата публикации

Apparatus and method of aligning and positioning a cold substrate on a hot surface

Номер: US20120122253A1
Принадлежит: Applied Materials Inc

Embodiments of the invention contemplate a method, apparatus and system that are used to support and position a substrate on a surface that is at a different temperature than the initial, or incoming, substrate temperature. Embodiments of the invention may also include a method of controlling the transfer of heat between a substrate and substrate support positioned in a processing chamber. The apparatus and methods described herein generally may also provide an inexpensive and simple way of accurately positioning a substrate on a substrate support that is positioned in a semiconductor processing chamber. Substrate processing chambers that can benefit from the various embodiments described herein include, but are not limited to RTP, CVD, PVD, ALD, plasma etching, and/or laser annealing chambers.

Подробнее
17-05-2012 дата публикации

Substrate processing apparatus and method for manufacturing semiconductor device

Номер: US20120122318A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

The substrate processing apparatus includes: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; at least one processing gas supply nozzle which extends running along an inner wall of the processing chamber in the stacking direction of the substrates and supplies a processing gas to the inside of the processing chamber; a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich the processing gas supply nozzle from both sides thereof along the circumferential direction of the substrates and which supply the inactive gas to the inside of the processing chamber; and an exhaust line for exhausting the inside of the processing chamber.

Подробнее
24-05-2012 дата публикации

Method of manufacturing semiconductor device, semiconductor device and substrate processing apparatus

Номер: US20120126355A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film.

Подробнее
24-05-2012 дата публикации

Antifuse structure for in line circuit modification

Номер: US20120126366A1
Принадлежит: International Business Machines Corp

An antifuse structure and methods of forming contacts within the antifuse structure. The antifuse structure includes a substrate having an overlying metal layer, a dielectric layer formed on an upper surface of the metal layer, and a contact formed of contact material within a contact via etched through the dielectric layer into the metal layer. The contact via includes a metal material at a bottom surface of the contact via and an untreated or partially treated metal precursor on top of the metal material.

Подробнее
24-05-2012 дата публикации

Antifuse structure for in line circuit modification

Номер: US20120126367A1
Принадлежит: International Business Machines Corp

An antifuse structure and methods of forming contacts within the antifuse structure. The antifuse structure includes a substrate having an overlying metal layer, a dielectric layer formed on an upper surface of the metal layer, and a contact formed of contact material within a contact via etched through the dielectric layer into the metal layer. The contact via includes a metal material at a bottom surface of the contact via and an untreated or partially treated metal precursor on top of the metal material.

Подробнее
31-05-2012 дата публикации

Plasma treatment apparatus

Номер: US20120132368A1
Принадлежит: HITACHI LTD

To improve durability of an electric discharge part of a dielectric barrier discharge system, a plasma treatment apparatus is configured so that a plasma source of a corona discharge system is installed in the vicinity of a plasma source of the dielectric barrier discharge system, a plasma generated by corona discharge is used as an auxiliary plasma, and a discharge sustaining voltage of a main plasma generated by the dielectric barrier discharge is reduced.

Подробнее
31-05-2012 дата публикации

Coating device and coating method

Номер: US20120135144A1

A coating installation includes at least one recipient which can be evacuated and which is provided to receive a substrate, at least one gas supply device which can introduce at least one gaseous precursor into the recipient, and at least one activation device which contains at least one heatable activation element, the end thereof being secured to a securing point on a support element. A shielding element which can protect at least the securing point at least partially against the effect of the gaseous precursor is provided. The shielding element has a longitudinal extension having a first side and a second side, the first side being arranged on the support element and a locking element being arranged on the second side of the shielding element, the locking element having at least one outlet. At least one separation wall is arranged inside the shielding element, the wall separating the inner volume of the shielding element into a first partial volume and into a second partial volume.

Подробнее
31-05-2012 дата публикации

Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates

Номер: US20120135145A1
Принадлежит: Eugene Technology Co Ltd

According to one embodiment of the present invention, a substrate-processing apparatus comprises: a lower chamber with an open top; an upper chamber which covers the top of the lower chamber, and which cooperates with the lower chamber to form an internal space for substrate-processing; a shower head arranged in a lower portion of the upper chamber to supply reaction gas to the internal space, and forming a buffer space between the shower head and the upper chamber; a gas supply port formed in the upper chamber to supply reaction gas to the buffer space; and a diffusion unit arranged in the buffer space to diffuse the reaction gas supplied through the gas supply port. The diffusion unit includes: a plurality of diffusion areas which are blocked from each other, in order to enable the reaction gas to be diffused therein; a plurality of diffusion holes for placing the gas supply port and the diffusion areas in communication; and one or more diffusion plates, the shapes of which correspond to the shapes of the diffusion areas, and which are selectively inserted into the respective diffusion areas.

Подробнее
31-05-2012 дата публикации

Method of Fabricating Semiconductor Device and Apparatus for Fabricating the Same

Номер: US20120135544A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided is a method of fabricating a semiconductor device. The method of fabricating a semiconductor device includes forming a plurality of magnetic memory patterns spaced apart from each other on a substrate, with each of the magnetic memory patterns including a free pattern, a tunnel barrier pattern, and a reference pattern which are stacked on the substrate, performing a magnetic thermal treatment process on the magnetic memory patterns, and forming a passivation layer on the magnetic memory patterns. The magnetic thermal treatment process and the forming of the passivation layer are simultaneously performed in one reactor.

Подробнее
31-05-2012 дата публикации

Apparatus and Process for Atomic Layer Deposition

Номер: US20120135609A1
Принадлежит: Applied Materials Inc

Provided are gas distribution plates (showerheads) for use in an apparatus configured to form a film during, for example, an atomic layer deposition (ALD) process. The gas distribution plate comprises a body defining a thickness and a peripheral edge and has a front surface for facing the substrate. The front surface has a central region with a plurality of openings configured to distribute process gases over the substrate and a focus ring with a sloped region. The focus ring is concentric to the central region such that the thickness at the focus ring is greater than the thickness at the central region.

Подробнее
31-05-2012 дата публикации

Method of manufacturing porous insulating film

Номер: US20120135611A1
Принадлежит: Renesas Electronics Corp

A method includes forming an insulating film over a substrate by introducing a cyclic siloxane compound having a cyclic siloxane as a skeleton and having at least one volatile hydrocarbon group bonded to a side chain, and a silicon-containing compound into a plasma, and converting the insulating film to a porous insulating film by adding energy to the insulating film. The silicon-containing compound is decomposed using less energy as compared with the skeleton of the cyclic siloxane compound, the volatile hydrocarbon group, and the bond between the cyclic siloxane compound and the volatile hydrocarbon group.

Подробнее
07-06-2012 дата публикации

Semiconductor device and method for forming the same

Номер: US20120139016A1
Автор: Youfeng He

A semiconductor device and a method for forming the same are provided. The method includes: providing a substrate having a gate structure and first spacers on both sidewalls of the gate structure formed on a top surface of the substrate; forming first openings in the substrate by using the first spacers as a mask, wherein the first openings are located on both sides of the gate structure; forming second openings by etching the first openings with an etching gas, wherein each of the second openings is an expansion of a corresponding one of the first openings toward the gate structure and extends to underneath an adjacent first spacer; and forming epitaxial layers in the first openings and the second openings.

Подробнее
14-06-2012 дата публикации

Showerhead integrating intake and exhaust

Номер: US20120145078A1

A showerhead integrating intake and exhaust is provided for showering a gas. The showerhead at least includes a showerhead body that has a gas-active surface and a plurality of intake bores thereon. The showerhead body further includes a central exhaust vent disposed on the gas-active surface. The central exhaust vent may exhaust standing gas and further pre-exhaust byproduct from reaction process.

Подробнее
14-06-2012 дата публикации

Insulating region for a semiconductor substrate

Номер: US20120146175A1

An insulating region for a semiconductor wafer and a method of forming same. The insulating region can include a tri-layer structure of silicon oxide, boron nitride and silicon oxide. The insulating region may be used to insulate a semiconductor device layer from an underlying bulk semiconductor substrate. The insulating region can be formed by coating the sides of a very thin cavity with silicon oxide, and filling the remainder of the cavity between the silicon oxide regions with boron nitride.

Подробнее