Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 2562. Отображено 198.
10-01-2017 дата публикации

ВОДНАЯ ПОЛИРУЮЩАЯ КОМПОЗИЦИЯ И СПОСОБ ХИМИКО-МЕХАНИЧЕСКОГО ПОЛИРОВАНИЯ ПОДЛОЖЕК ДЛЯ ЭЛЕКТРИЧЕСКИХ, МЕХАНИЧЕСКИХ И ОПТИЧЕСКИХ УСТРОЙСТВ

Номер: RU2607214C2
Принадлежит: БАСФ СЕ (DE)

Изобретение относится к водной полирующей композиции, имеющей pH от 3 до 11. Композиция содержит (А) по меньшей мере один тип абразивных частиц, которые положительно заряжены при диспергировании в водной среде, свободной от компонента (В) и имеющей pH в интервале от 3 до 9, что подтверждается электрофоретической подвижностью. Абразивные частицы (А) являются неорганическими частицами, содержащими или состоящими из диоксида церия. Композиция содержит (B) по меньшей мере один анионный фосфатный диспергирующий агент, выбранный из группы растворимых в воде конденсированных фосфатов, причем растворимый в воде конденсированный фосфат выбран из группы, состоящей из метафосфатов общей формулы I:и полифосфатов общей формулы II и III:где М является аммонием, натрием и калием и индекс n равен от 2 до 10000. Композиция содержит (C) по меньшей мере один компонент на основе многоатомного спирта, выбранный из группы (с1) многоатомных спиртов, причем многоатомный спирт (с1) содержится в количествах от 0,005 ...

Подробнее
26-01-2017 дата публикации

ВОДНЫЕ ПОЛИРУЮЩИЕ КОМПОЗИЦИИ, СОДЕРЖАЩИЕ N-ЗАМЕЩЕННЫЕ ДИАЗЕНИЙ ДИОКСИДЫ И/ИЛИ СОЛИ N -ЗАМЕЩЕННЫХ N'-ГИДРОКСИ-ДИАЗЕНИЙ ОКСИДОВ

Номер: RU2608890C2
Принадлежит: БАСФ СЕ (DE)

Изобретение относится к водным полирующим композициям для полирования материалов подложек электрических, высокой точности механических и оптических устройств. Водная полирующая композиция содержит (A) по меньшей мере одно растворимое в воде или диспергируемое в воде соединение, выбранное из солей N-замещенных N'-гидрокси-диазений-оксидов, и (В) абразивные частицы, содержащие оксид церия или состоящие из него. Описывается также способ полирования материалов до достижения желательной плоскостности с использованием указанной композиции. Предложенная полирующая композиция обеспечивает повышенную оксид/нитрид-селективность и улучшенную глобальную и локальную плоскостность отполированных материалов электрических, механических и оптических устройств. 3 н. и 6 з. п. ф-лы, 2 табл., 6 пр.

Подробнее
27-11-2012 дата публикации

ЖИДКАЯ КОМПОЗИЦИЯ, СПОСОБ ПОЛУЧЕНИЯ КРЕМНИЕВОЙ ПОДЛОЖКИ И СПОСОБ ПОЛУЧЕНИЯ ПОДЛОЖКИ ДЛЯ ГОЛОВКИ ДЛЯ ВЫБРОСА ЖИДКОСТИ

Номер: RU2468467C2

Изобретение относится к жидкой композиции, способу получения кремниевой подложки и к способу получения подложки для головки для выброса жидкости. Сущность изобретения: жидкая композиция, используемая для проведения анизотропного травления кристалла кремниевой подложки, снабженной маской для травления, формируемой из пленки оксида кремния, включает гидроксид цезия, щелочное органическое соединение и воду, в которой отношение по массе гидроксида цезия к массе жидкой композиции составляет 1% мас. до 40% мас. включительно. Изобретение обеспечивает повышение скорости анизотропного травления кремния при снижении травления пленки оксида кремния, используемой в качестве маски. 3 н. и 4 з.п. ф-лы, 4 ил., 2 табл., 8 пр.

Подробнее
10-08-2012 дата публикации

ЖИДКАЯ КОМПОЗИЦИЯ, СПОСОБ ПОЛУЧЕНИЯ КРЕМНИЕВОЙ ПОДЛОЖКИ И СПОСОБ ПОЛУЧЕНИЯ ПОДЛОЖКИ ДЛЯ ГОЛОВКИ ДЛЯ ВЫБРОСА ЖИДКОСТИ

Номер: RU2011103060A
Принадлежит:

... 1. Жидкая композиция, используемая для проведения анизотропного травления кристалла кремниевой подложки, снабженной маской для травления, сформированной из пленки оксида кремния, с пленкой оксида кремния, используемой в качестве маски, причем жидкая композиция содержит: ! гидроксид цезия; ! щелочное органическое соединение; и ! воду. ! 2. Жидкая композиция по п.1, в которой щелочное органическое соединение включает гидроксид тетраметиламмония. ! 3. Жидкая композиция по п.1, в которой отношение по массе гидроксида цезия к массе жидкой композиции составляет от 1 мас.% до 40 мас.% включительно. ! 4. Жидкая композиция по п.2, в которой отношение по массе гидроксида тетраметиламмония к массе жидкой композиции составляет от 5 мас.% до 25 мас.% включительно. ! 5. Способ получения кремниевой подложки, причем способ включает: ! получение кремниевой подложки, на которой пленку оксида кремния, формируемую с отверстием, формируют, по меньшей мере, на одной поверхности подложки; и ! травление подложки ...

Подробнее
20-10-2014 дата публикации

ВОДНАЯ ПОЛИРУЮЩАЯ КОМПОЗИЦИЯ И СПОСОБ ХИМИКО-МЕХАНИЧЕСКОГО ПОЛИРОВАНИЯ ПОДЛОЖЕК, СОДЕРЖАЩИХ ПЛЕНКИ ДИЭЛЕКТРИКА ОКСИДА КРЕМНИЯ И ПОЛИКРЕМНИЯ

Номер: RU2013115237A
Принадлежит:

... 1. Водная полирующая композиция, содержащая(A) по меньшей мере, один тип абразивных частиц, содержащих или состоящих из диоксида церия, которые положительно заряжены при диспергировании в водной среде, свободной от компонента (C) и имеющей pH в интервале от 3 до 9, что подтверждается электрофоретической подвижностью;(B) по меньшей мере, один растворимый в воде полимер, выбранный из группы, состоящей из линейных и разветвленных гомополимеров и сополимеров алкиленоксидов; и(C) по меньшей мере, один анионный фосфатный диспергирующий агент,где композиция содержит растворимый в воде полимер (В) в количествах от 0,001 до 5 мас.%.2. Водная полирующая композиция по п.1, отличающаяся тем, что абразивные частицы (А) состоят из диоксида церия.3. Водная полирующая композиция по п.1, отличающаяся тем, что она содержит, в пересчете на полную массу указанной полирующей композиции, от 0,005 до 10 мас.% абразивных частиц (A).4. Водная полирующая композиция по п.1, отличающаяся тем, что линейные и разветвленные ...

Подробнее
27-05-2014 дата публикации

УСТРОЙСТВО ДЛЯ ОБРАБОТКИ ПОДЛОЖКИ И СООТВЕТСТВУЮЩИЙ СПОСОБ

Номер: RU2012148429A
Принадлежит:

... 1. Устройство для обработки, в частности для травления и/или проявления подложек (17), в частности пластин, содержащее вращательную тарелку (1), отличающееся тем, что вращательная тарелка (1) имеет зазор (3) Вентури.2. Устройство по п.1, отличающееся тем, что оно содержит источник среды под давлением.3. Устройство по п.1 или 2, отличающееся тем, что оно имеет по меньшей мере одно отверстие (13) для выпуска среды под давлением.4. Устройство по п.1 или 2, отличающееся тем, что вращательная тарелка (1) имеет чашеобразную форму.5. Устройство по п.1 или 2, отличающееся тем, что оно содержит диффузор (5), который вставлен во вращательную тарелку (1) и образует зазор (3) Вентури.6. Устройство по п.1 или 2, отличающееся тем, что вращательная тарелка (26) имеет на своем наружном крае (25) множество пазов (18, 19, 20).7. Устройство по п.1 или 2, отличающееся тем, что оно содержит захват (21), имеющий множество подъемных штырей (22, 23, 24).8. Устройство по п.1 или 2, отличающееся тем, что вращательная ...

Подробнее
23-01-1975 дата публикации

VERWENDUNG QUATERNIERTER BENZOFURANYLBENZIMIDAZOLE ALS OPTISCHE AUFHELLMITTEL

Номер: DE0002166632A1
Принадлежит:

Подробнее
12-10-2011 дата публикации

A method of fabricating structured particles composed of silicon or a silicon-based material and their use in lithium rechargeable batteries.

Номер: GB0002479465A
Принадлежит:

A method for treating silicon to form pillars (see Figure 2), for use as the active anode material in Li-ion batteries is described. The solution used in the process comprises: 0.01 to 5M hydrogen fluoride (HF), 0.002 to 0.2M of metal ions capable of nucleating on and forming a porous layer comprising regions of elemental metal on the silicon surface, such as silver (Ag); 0.001 to 0.7M of an oxidant selected from the group oxygen (02), ozone (03), hydrogen peroxide (H202) the acid, ammonium or alkali metal salt of nitrate (NO3-), peroxodisulfate (S2O82-), nitrite (NO2-), borate (B4072-) and perchlorate (C104-) or a mixture thereof. The process may proceed via two steps; a nucleation phase followed by an etching phase where a different solution composition of HF can be used. Further additions of nitrate and HF can also be made during the course of the process. The silicon used in the process may comprise powdered silicon or granulated silicon.

Подробнее
16-11-1988 дата публикации

PROCESS FOR TREATING MATERIAL

Номер: GB0008823477D0
Автор:
Принадлежит:

Подробнее
15-12-2009 дата публикации

ELECTROLYTICALLY REGENERATE-CASH ETCHING SOLUTION

Номер: AT0000450633T
Автор: NEHDI SADOK, NEHDI, SADOK
Принадлежит:

Подробнее
21-02-2013 дата публикации

Fluorinated ethoxylated polyurethanes

Номер: AU2011293133A1
Принадлежит:

The present invention comprises fluorinated ethoxylated polyurethanes of formula [R ...

Подробнее
23-05-1989 дата публикации

HIGH CONCENTRATION SODIUM PERMANGANATE ETCH BATH AND ITS USE IN DESMEARING AND/OR ETCHING PRINTED CIRCUIT BOARDS

Номер: CA1254493A
Принадлежит: THIOKOL MORTON INC, MORTON THIOKOL, INC.

An a? alkaline liquid solution is disclosed consisting essentially of water, at least about 75 grams/ liter of NaMnO4 and NaOH in an amount sufficient so that removal of substantially all of the manganese residues from the surface of a material contacted with the solution can be accomplished by acid neutralization only. The solution disclosed is useful for preparing resinous substrates for metallization.

Подробнее
04-08-2015 дата публикации

POTASSIUM MONOPERSULFATE SOLUTIONS

Номер: CA0002652151C
Принадлежит: E.I. DU PONT DE NEMOURS AND COMPANY, DU PONT

A composition comprising a solution of potassium monopersulfate having an active oxygen content of from about 3.4% to about 6.8% and a process for its preparation including neutralization with an alkaline material is disclosed.

Подробнее
30-07-1976 дата публикации

Номер: CH0000577998A5
Автор:
Принадлежит: CIBA GEIGY AG, CIBA-GEIGY AG

Подробнее
14-03-1997 дата публикации

Alkyd or poly:methane paint stripper biodegradable formulation

Номер: CH0000687878A5
Принадлежит: LAMBIOTTE & CIE, LAMBIOTTE & CIE S.A.

A paint stripper compsn., for brush or rinse application, comprises methylal or dioxolan or a mixt. of both.

Подробнее
15-03-2017 дата публикации

A method of manufacturing a micromechanical timepiece piece and said micromechanical timepiece.

Номер: CH0000711498A2
Автор: PHILIPPE DUBOIS
Принадлежит:

L’invention se rapporte à un procédé de fabrication d’une pièce micromécanique horlogère à partir d’un substrat à base de silicium (1), comprenant, dans l’ordre, les étapes de: a) former des pores (2) à la surface d’au moins une partie d’une surface dudit substrat à base de silicium (1) d’une profondeur déterminée, b) remplir entièrement lesdits pores (2) d’un matériau choisi parmi le diamant, le carbone-diamant (DLC), l’oxyde de silicium, le nitrure de silicium, des céramiques, des polymères et leurs mélanges, afin de former dans les pores (2) une couche dudit matériau d’une épaisseur au moins égale à la profondeur des pores (2). L’invention concerne également une pièce micromécanique horlogère comprenant un substrat à base de silicium (1) qui présente, à la surface d’au moins une partie d’une de ses surfaces, des pores (2) d’une profondeur déterminée, lesdits pores (2) étant entièrement remplis d’une couche d’un matériau choisi parmi le diamant, le carbone-diamant (DLC), l’oxyde de silicium ...

Подробнее
13-03-2020 дата публикации

A method of manufacturing a micromechanical timepiece piece and said micromechanical timepiece.

Номер: CH0000711498B1
Принадлежит: NIVAROX SA, Nivarox-FAR S.A.

L’invention se rapporte à un procédé de fabrication d’une pièce micromécanique horlogère comprenant un substrat à base de silicium (1), comprenant, dans l’ordre, les étapes de: a) former des pores (2) à la surface d’au moins une partie d’une surface dudit substrat à base de silicium (1) d’une profondeur déterminée, b) remplir entièrement lesdits pores (2) d’un matériau choisi parmi le diamant, le carbone-diamant (DLC), l’oxyde de silicium, le nitrure de silicium, des céramiques, des polymères et leurs mélanges, afin de former dans les pores (2) une couche dudit matériau d’une épaisseur au moins égale à la profondeur des pores (2), la forme finale du substrat à base de silicium (1) en fonction de la pièce micromécanique horlogère à fabriquer étant donnée avant l’étape a) ou après l’étape b). L’invention concerne également une pièce micromécanique horlogère comprenant un substrat à base de silicium (1) qui présente, à la surface d’au moins une partie d’une de ses surfaces, des pores (2) d ...

Подробнее
30-04-1973 дата публикации

Номер: CH0001825170A4
Автор:
Принадлежит:

Подробнее
31-03-2014 дата публикации

SEPARATING LIQUID ST, METHOD AND INSTALLATION FOR SEPARATION OF

Номер: EA0201300852A1
Автор:
Принадлежит:

Подробнее
23-06-2004 дата публикации

硅化钌湿法蚀刻

Номер: CN0001507655A
Принадлежит:

... 一种从基片表面除去硅化钌的方法,该方法包括将硅化钌表面暴露在含有氯和氟的化学品溶液中。具体而言,所述的溶液是用于与所述的硅化钌膜进行反应,以便形成水溶性的反应产物。 ...

Подробнее
20-07-2011 дата публикации

Cleaning solution formulations for substrates

Номер: CN0102131911A
Принадлежит:

One embodiment of the present invention provides a cleaning solution including a corrosion inhibitor, a solubilizing agent, an oxygen scavenger, and a complexing agent also capable as a pH adjustor. Another embodiment of the present invention includes cleaning solutions that include a pH adjustor, an optional complexing agent, and a corrosion inhibitor. The cleaning solutions may have a solubilizing agent optionally present, may have a surfactant optionally present, and may have a dielectric etching agent optionally present.

Подробнее
17-10-1980 дата публикации

PROCESS OF SELECTIVE SCOURING Of a SUBSTRATE CONTAINING ALUMINUM OXIDE

Номер: FR0002337115B1
Автор:
Принадлежит:

Подробнее
13-08-2020 дата публикации

SILICON ETCHING SOLUTION AND METHOD FOR PRODUCING SILICON DEVICE USING THE ETCHING SOLUTION

Номер: KR1020200096740A
Автор:
Принадлежит:

Подробнее
08-03-2017 дата публикации

구리 배리어 화학적-기계적 연마 조성물

Номер: KR1020170026492A
Принадлежит:

... 화학적-기계적 연마 조성물은 화학적 화합물이 그 안에 혼입된 콜로이드성 실리카 연마제 입자를 포함한다. 화학적 화합물은 질소-함유 화합물, 예컨대 아미노실란 또는 인-함유 화합물을 포함할 수 있다. 상기와 같은 조성물을 사용하는 방법은, 조성물을 반도체 기판에 적용하여 구리, 구리 배리어, 및 유전체 층 중 적어도 하나의 적어도 일부를 제거하는 것을 포함한다.

Подробнее
14-03-2005 дата публикации

COMPOSITIONS FOR REMOVING ETCHING RESIDUE AND USE THEREOF

Номер: KR1020050025316A
Принадлежит:

Compositions containing water, an organic dicarboxylic acid, a buffering agent and fluorine source and optionally a water miscible organic solvent are capable of removing etching residue. © KIPO & WIPO 2007 ...

Подробнее
01-04-2013 дата публикации

Dry ethcing agent

Номер: TW0201313878A
Принадлежит:

To provide a dry etching agent having little effect on the global environment but having the required performance. Provided is a dry etching agent comprising, each at a specific vol%, (A) a fluorine-containing unsaturated hydrocarbon represented by the formula CaFbHc (where a, b and c are each positive integers and satisfy the correlation of 2 a 5, c > 1, 2a + 2 > b + c, b a + c, excluding the case where a = 3, b = 4, or c = 2), (B) at least one gas selected from the group consisting of O2, O3, CO, CO2, COCl2, COF2, F2, NF3, Cl2, Br2, I2, and YFn (where Y is Cl, Br or I and n is an integer between 1 and 5), and (C) at least one gas selected from the group consisting of N2, He, Ar, Ne, Xe, and Kr.

Подробнее
01-07-2020 дата публикации

Dry etching method

Номер: TW0202025290A
Принадлежит:

This dry etching method comprises: performing, on a laminated film which is formed on a substrate and which consists of silicon oxide layers and silicon nitride layers, etching in which a dry etching agent is turned into plasma and a negative direct-current self-bias voltage of 500 V or higher in terms of absolute value is applied, via a mask that has a predetermined opening pattern and that is formed on the laminated film; and forming a through hole in the vertical direction in the laminated film. The method is characterized in that: the dry etching agent contains at least C3F6, hydrogen-containing saturated fluorocarbons represented by CxHyFz, and oxidized gas; and the volume of the hydrogen-containing saturated fluorocarbons contained in the dry etching agent is in the range of 0.1 to 10 times the volume of the C3F6 contained in the dry etching agent.

Подробнее
30-04-2013 дата публикации

AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES FOR ELECTRICAL, MECHANICAL AND OPTICAL DEVICES

Номер: SG0000188206A1
Принадлежит: BASF SE

An aqueous polishing composition having a pH of 3 to 11 and comprising (A) abrasive particles which are positively charged when dispersed in an aqueous medium free from component (B) and of a pH of 3 to 9 as evidenced by the electrophoretic mobility; (B) anionic phosphate dispersing agents; and (C) a polyhydric alcohol component selected from the group consisting of (c1) water-soluble and water-dispersible, aliphatic and cycloaliphatic, monomeric, dimeric and oligomeric polyols having at least 4 hydroxy groups; (c2) a mixture consisting of (c21) water-soluble and water-dispersible, aliphatic and cycloaliphatic polyols having at least 2 hydroxy groups; and (c22) water-soluble or water-dispersible polymers selected from linear and branched alkylene oxide homopolymers and copolymers (c221 ); and linear and branched, aliphatic and cycloaliphatic poly(N-vinylamide) homopolymers and copolymers (c222); and (c3) mixtures of (c1) and (c2); and a process for polishing substrates for electrical, mechanical ...

Подробнее
27-08-2019 дата публикации

CHAMBER CLEANING AND SEMICONDUCTOR ETCHING GASES

Номер: SG10201906117XA
Принадлежит:

OF THE DISCLOSURE The present invention relates to fluoroolefin compositions useful as gases for CVD semiconductor manufacture, particularly for etching applications including methods for removing surface deposits from the interior of a chemical vapor deposition chamber by using an activated gas mixture, and methods for etching the surface of a semiconductor. [NO FIGURE] ...

Подробнее
14-02-2013 дата публикации

AQUEOUS ALKALINE COMPOSITIONS AND METHOD FOR TREATING THE SURFACE OF SILICON SUBSTRATES

Номер: WO2013021296A1
Автор: FERSTL, Berthold
Принадлежит:

An aqueous alkaline composition for treating the surface of silicon substrates, the said composition comprising: (A) a quaternary ammonium hydroxide; and (B) a component selected from the group consisting of water-soluble acids and their water-soluble salts of the general formulas (I) to (V): (R1-S03-)nXn+ (I), R-P032- (Xn+)3-n (II); (RO-S03-)nXn+ (III), RO-P032- (Xn+)3-n (IV), and [(RO)2P02-]nXn+ (V); wherein the n = 1 or 2; X is hydrogen, ammonium, or alkaline or alkaline-earth metal; the variable R1 is an olefinically unsaturated aliphatic or cycloaliphatic moiety and R is R1 or an alkylaryl moiety; and (C) a buffer system, wherein at least one component other than water is volatile; the use of the composition for treating silicon substrates, a method for treating the surface of silicon substrates, and methods for manufacturing devices generating electricity upon the exposure to electromagnetic radiation.

Подробнее
29-04-2021 дата публикации

SUBSTRATE PROCESSING APPARATUS AND METHOD

Номер: US20210121979A1
Принадлежит: Samsung Display Co., LTD.

A method of processing a substrate includes placing a mask on a top surface of a processing substrate, the mask including openings, placing a cover substrate on the mask, the cover substrate overlapping the openings of the mask, placing the processing substrate on a vessel that accommodates an etching solution, and irradiating a beam onto the top surface of the processing substrate to form processing holes in the processing substrate, where a bottom surface of the processing substrate contacts the etching solution.

Подробнее
29-01-2015 дата публикации

COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE

Номер: US2015027978A1
Принадлежит:

Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity.

Подробнее
22-05-2003 дата публикации

Passivation for cleaning a material

Номер: US2003094434A1
Автор:
Принадлежит:

A contact is defined by an opening etched into borophosphosilicate glass (BPSG) down to a silicon substrate. In a contact cleaning process designed to remove native oxide at the bottom of the contact with little effect on the BPSG, the contact is dipped in an etch retardant before being dipped in a cleaning solution containing both the etch retardant and an etchant. The dip in etch retardant modifies the surface of the BPSG, thereby lessening the enhanced etching experienced during the initiation of the dip into the etchant/etch retardant cleaning solution. Results of a etchant/etch retardant clean, both with and without the prepassivation, can be illustrated on a graph depicting the change in contact diameter as a function of dip time. Specifically, the results define "best fit" lines on that graph. For a given dip time, a first line representing the prepassivation+etchant/etch retardant clean is 30 to 40 Angstroms lower than a second line representing the prior art clean using the etchant ...

Подробнее
14-01-1975 дата публикации

ETCHING SOLUTION FOR SILVER

Номер: US0003860423A1
Автор: Okamoto Fumio
Принадлежит: RCA CORPORATION

Подробнее
10-02-2022 дата публикации

Silicon Etching Liquid

Номер: US20220041931A1
Принадлежит: Tokuyama Corporation

An etching solution contains a quaternary ammonium compound as a main component, by which an etching rate for silicon is improved, no adhered substances are formed on an etching surface during etching, and the etching rate does not decrease even after continuous use for a long time. The silicon etching solution contains a phenol compound represented by the following Formula (1), a quaternary ammonium compound, and water, and has a pH of 12.5 or more. 2. The silicon etching solution according to claim 1 , wherein a concentration of the quaternary ammonium compound is 1 mass % to 50 mass % claim 1 , and a concentration of the phenol compound represented by the Formula (1) is 0.05 mass % to 20 mass %.3. A method for manufacturing a silicon device claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'etching a silicon wafer, a polysilicon film, or an amorphous silicon film, wherein the etching is performed using the silicon etching solution according to .'} The present invention relates to a silicon etching solution used in surface processing and etching steps when manufacturing various silicon devices.Silicon has been applied to various fields by utilizing excellent mechanical properties, and electrical properties including a low resistance, relatively high stability compared with other metals, and less restrictions on a post-treatment thereof. By utilizing the mechanical properties, silicon has been applied to valves, nozzles, printer heads, and semiconductor sensors for detecting various physical quantities such as a flow rate, a pressure, and an acceleration (for example, a diaphragm of a semiconductor pressure sensor or a cantilever of a semiconductor acceleration sensor). By utilizing the electrical properties, silicon has been applied to various devices as a material for a part of a metal wiring, a gate electrode, and the like. Such various silicon devices are required to be highly integrated, miniaturized, highly sensitive, and highly ...

Подробнее
13-01-2015 дата публикации

Control over ammonium fluoride levels in oxide etchant

Номер: US0008932874B2
Принадлежит: Nalco Company, NALCO CO, NALCO COMPANY

The invention is directed towards methods and compositions for identifying the amount of ammonium acid in a buffered oxide etching composition. In buffered oxide etching compositions it is very difficult to measure the amount of ammonium acid because it has varying equilibriums and it is toxic so it hard to handle and sample. When used to manufacture microchips however, incorrect amounts of ammonium acid will ruin those chips. The invention utilizes a unique method of spectrographically measuring the ammonium acid when in contact with added chromogenic agents to obtain exact measurements that are accurate, immediate, and safe.

Подробнее
06-10-2016 дата публикации

METHOD FOR ATOMIC LAYER ETCHING

Номер: US20160293432A1
Принадлежит:

A method of etching a layer on a substrate includes disposing a substrate in a plasma processing system configured to facilitate an etching process, performing an atomic layer etching process cycle to etch a monolayer of an exposed surface of the substrate, and repeating the atomic layer etching process cycle until a target depth is reached. Each process cycle etches the monolayer from the exposed surface. The atomic layer etching process cycle sequentially includes forming an adsorption monolayer comprising an etchant on an exposed surface of the substrate by introducing the etchant while concurrently coupling electromagnetic power to the plasma processing system at a power level targeted to achieve an etchant radical flux at the substrate greater than a total ion flux at the substrate, which power level is less than or equal to 50 W, purging the plasma processing system to remove any excess etchant, desorbing the adsorption monolayer by exposing the adsorption monolayer to gas ions to ...

Подробнее
27-04-2023 дата публикации

TREATMENT LIQUID FOR SEMICONDUCTORS AND METHOD FOR PRODUCING SAME

Номер: US20230126771A1
Принадлежит: TOKUYAMA CORPORATION

A treatment liquid for a semiconductor containing a group 6 metal, the treatment liquid containing hypobromite ions. Also provided is a treatment liquid for a semiconductor containing a group 6 metal, the treatment liquid characterized by being formed by adding and mixing at least a bromine-containing compound, an oxidizing agent, a base compound, and water, wherein relative to a total mass, an added amount of the bromine-containing compound is 0.008 mass % or more and less than 10 mass % as an amount of bromine element, and an added amount of the oxidizing agent is 0.1 mass ppm or more and 20 mass % or less; and pH at 25° C. is 8 or higher and 14 or lower. Further provided is a method for producing the treatment liquid for a semiconductor.

Подробнее
19-04-2022 дата публикации

Semiconductor device and method of manufacture

Номер: US0011309190B2

In a wet etching process to pattern a metal layer such as a p-metal work function layer over a dielectric layer such as a high-k gate dielectric layer, a selectivity of the wet etching solution between the metal layer and the dielectric layer is increased utilizing an inhibitor. The inhibitor includes such inhibitors as a phosphoric acid, a carboxylic acid, an amino acid, or a hydroxyl group.

Подробнее
17-10-2012 дата публикации

DRY ETCHING AGENT AND DRY ETCHING METHOD USING THE SAME

Номер: EP2511948A1
Принадлежит:

A dry etching agent according to the present invention contains (A) a fluorinated propyne represented by the chemical formula: CF3C≡CX where X is H, F, Cl, Br, I, CH3, CFH2 or CF2H; and either of: (B) at least one kind of gas selected from the group consisting of O2, O3, CO, CO2, COCl2 and COF2; (C) at least one kind of gas selected from the group consisting of F2, NF3, Cl2, Br2, I2 and YFn where Y is Cl, Br or I; and n is an integer of 1 to 5; and (D) at least one kind of gas selected from the group consisting of CF4, CHF3, C2F6, C2F5H, C2F4H2, C3F8, C3F4H2, C3ClF3H and C4F8. This dry etching agent has a small environmental load and a wide process window and can be applied for high-aspect-ratio processing without special operations such as substrate excitation.

Подробнее
20-09-2016 дата публикации

КОМПОЗИЦИЯ ДЛЯ ХИМИКО-МЕХАНИЧЕСКОГО ПОЛИРОВАНИЯ, СОДЕРЖАЩАЯ ПОЛИВИНИЛФОСФОНОВУЮ КИСЛОТУ И ЕЕ ПРОИЗВОДНЫЕ

Номер: RU2598046C2
Принадлежит: БАСФ СЕ (DE)

Изобретение главным образом относится к композиции для химико-механического полирования (ХМП) и ее применению в полирующих субстратах полупроводниковой промышленности. Композиция содержит (A) неорганические частицы, органические частицы или их смесь, или их композит, (B) по меньшей мере один тип поливинилфосфоновой кислоты или ее соль в качестве диспергирующего агента или агента для обращения заряда, (C) водную среду и (D) сахарный спирт в качестве подавителя SiN. Изобретение также относится к способу получения полупроводниковых устройств, включающему полирование субстрата в присутствии указанной композиции, и применению композиции для полирования субстрата, содержащего нитрид кремния и/или поликремний. Композиция проявляет улучшенные полирующие характеристики. 3 н. и 6 з.п. ф-лы, 3 табл.

Подробнее
29-05-2017 дата публикации

Устройство для обработки подложки и соответствующий способ

Номер: RU2620788C2
Принадлежит: золар-земи ГмбХ (DE)

В устройстве для обработки, в частности для травления и/или проявления подложек (17), в частности пластин, содержащем вращательную тарелку (1), вращательная тарелка (1) имеет зазор (3) Вентури. Устройство содержит источник среды под давлением и имеет по меньшей мере одно отверстие (13) для выпуска среды под давлением, причем указанное по меньшей мере одно отверстие расположено в средней области вращательной тарелки. Изобретение позволяет избежать повреждения и/или загрязнения задней стороны пластины. 3 н. и 13 з.п. ф-лы, 6 ил.

Подробнее
22-10-2019 дата публикации

СОСУД ПОД ДАВЛЕНИЕМ, ИМЕЮЩИЙ ВЕНТИЛЯЦИОННЫЙ КАНАЛ, (ВАРИАНТЫ) И СПОСОБ ЕГО ИЗГОТОВЛЕНИЯ

Номер: RU2703869C1

Изобретение относится к хранению сжиженных газов. Сосуд под давлением имеет первый конец с первым штуцером, причем первый штуцер имеет первую внешнюю поверхность. Сосуд включает в себя футеровку, имеющую вторую внешнюю поверхность, оболочку, обеспеченную на второй внешней поверхности, и первый вентиляционный канал, образованный на участке первой внешней поверхности и на наноструктурированном участке второй внешней поверхности. Структура поверхности наноструктурированного участка является достаточно мелкой, чтобы материал оболочки не полностью проникал в наноструктурированный участок второй внешней поверхности, а плавал на выступах наноструктурированного участка второй внешней поверхности, тем самым оставляя пористый вентиляционный путь для газа во впадинах наноструктурированного участка второй внешней поверхности. В соответствии с другим аспектом сосуд (10') под давлением имеет первый конец (14a') и второй конец (14b'), множество первых продольных вентиляционных каналов (22) и множество ...

Подробнее
08-11-2021 дата публикации

Номер: RU2020106897A3
Автор:
Принадлежит:

Подробнее
06-03-2025 дата публикации

СПОСОБ ПОЛУЧЕНИЯ ФТОРАЛКИНОВОГО СОЕДИНЕНИЯ

Номер: RU2835968C2

Изобретение относится к одностадийному способу получения фторалкинового соединения, представленного формулой R1C≡CR2 (1), где R1 и R2 являются одинаковыми или различными и каждый представляет собой атом фтора или фторалкильную группу, причем способ предусматривает подвергание фторалканового соединения, представленного формулой R1CHX1CFX2R2 (2), где R1 и R2 являются такими, как определено выше, X1 представляет собой атом фтора и X2 представляет собой атом водорода или X1 представляет собой атом водорода и X2 представляет собой атом фтора, реакции дегидрофторирования в присутствии растворителя, содержащего простой эфир, и основания, содержащего гидроксид и/или алкоксид щелочного металла и/или щелочноземельного металла. Причем способ удовлетворяет по меньшей мере одному требованию, выбранному из группы, состоящей из следующего: (I) фторалкильная группа представляет собой C1-C4 фторалкильную группу и (II) простой эфир представляет собой простой цепочечный эфир. Также изобретение относится к ...

Подробнее
22-07-1982 дата публикации

Etching soln. based on potassium ferricyanide and soda - for selective removal of photo:lacquer or metal films obtd. by vapour deposition, esp. in mfg. thin film circuits

Номер: DE0003047588A1
Принадлежит:

The etchant consists of an aq. soin. contg. Na 2CO3; potassium ferricyanide; potassium peroxydisulphate; tri-potassium citrate; and aluminium hydroxyacetate or sodium acetate. The pref. soln. uses 1 litre of water in which are dissolved 0.5-1120 g Na 2CO3;0.5-420 g potassium ferricyanide; 0.5-50 g potassium peroxydisulphate; 0.5-45 g tri-potassium citrate; and 0.01-2 g aluminium hydroxyacetate of sodium acetate. The etchant is pref. used to create thin film structures, the etching temp. being varied to increase the selectivity of the etchant. Used for mfr. of resistance- or RC- networks; liq. crystal displays; thin film microcircuits etc.

Подробнее
20-05-1998 дата публикации

Sheet Metal Deformation Brightener Composition

Номер: GB2319255A
Принадлежит:

An aqueous sheet metal deformation brightener composition comprising a compound of formula (I): R 1 - 0 - (AO) m - R 2 ```(I) (wherein: ```R 1 represents a C 1-9 -alkyl group, a C 1-9 -alkenyl group, an alkylphenyl group having 1 to 9 carbon atoms, or a functional group of formula (II): Ph - (CH 2 ) n -```(II) ```(wherein: Ph represents a phenyl group and n represents an integer in the range 0 to 3); ```A represents a C 2-4 -alkylene group; m is in the range 1 to 20 and represents an average adduct molar number of alkylene oxide moieties wherein the alkylene oxide moieties may be a single type or a mixture of two or more types of alkylene oxide moiety; and ```R 2 represents a C 1-9 -alkyl group, a C 1-9 -alkenyl group or hydrogen) ```and a surfactant.

Подробнее
06-12-2017 дата публикации

Etching gas composition for silicon compound, and etching method

Номер: GB0002551017A
Принадлежит:

Provided are an etching gas composition and an etching method which enable an object, such as a substrate to be etched, to be efficiently precision processed during thin film formation, and which enable efficient removal of an accumulated or adhered silicon-based compound, other than the object such as the substrate to be etched, by means of plasma etching. The etching gas composition is characterized by containing: (1) a fluorinated halogen compound represented by XF (X is Cl, Br or I) as a primary component;(2) F2;(3) a fluorinated halogen compound represented by XFn (X is Cl, Br or I, and n is an integer of 3 or higher); (4) HF; (5) O2; and (6) at least one type of halogen gas molecule selected from among Cl2, Br2 and I2.

Подробнее
25-11-1987 дата публикации

PROCESS FOR TREATING MATERIAL

Номер: GB0008724423D0
Автор:
Принадлежит:

Подробнее
27-01-2003 дата публикации

VERFAHREN ZUM PLANARISIEREN VON HALBLEITERSUBSTRATEN

Номер: AT0000410043B
Принадлежит:

Подробнее
15-05-2002 дата публикации

VERFAHREN ZUM PLANARISIEREN VON HALBLEITERSUBSTRATEN

Номер: ATA165897A
Автор:
Принадлежит:

Подробнее
15-07-2009 дата публикации

PROCEDURE FOR THE PLANARISIEREN

Номер: AT0000434830T
Принадлежит:

Подробнее
10-01-1975 дата публикации

Optical clarification of organic materials

Номер: AT0000319885B
Автор:
Принадлежит:

Подробнее
12-03-1991 дата публикации

METHOD OF PLASMA ETCHING A SUBSTRATE WITH A GASEOUS ORGANOHALIDE COMPOUND

Номер: CA0001281307C

A plasma etching process is disclosed wherein the substrate to be etched is first exposed to an etchant gas containing a volatile organohalide. When the etch rate is stabilized, the organohalide in the etchant gas is replaced by oxygen whereby the etch rate of the substrate is immediately increased to a substantially higher value.

Подробнее
01-06-2021 дата публикации

INK BASED ON NANOPARTICLES OF SILVER

Номер: CA2985883C
Принадлежит: GENESINK SA, GENES'INK SA

INK BASED ON NANOPARTICLES OF SILVER ABSTRACT OF THE DISCLOSURE The present invention relates to formulations for ink based on nanoparticles of silver. In particular, the present invention concerns formulations of ink based on nanoparticles of silver, which inks are stable, have an improved conductivity and are adapted for the area of serigraphy. Date Recue/Date Received 2020-10-27 ...

Подробнее
17-08-1999 дата публикации

METHODS OF FABRICATING DUAL ANODE, FLAT PANEL ELECTROPHORETIC DISPLAYS

Номер: CA0002110297C
Принадлежит:

There is disclosed methods for fabricating electrophoretic displays (10). Essentially the methods employ selective materials such as different metals (15, 18, 19, 20) which are capable of being etched by different etchants. In this manner, a laminate is provided to form a grid matrix which is insulated from a cathode matrix (41, 42, 43, 44) which grid matrix is also insulated from a second anode matrix (54, 55). The entire display utilizes a local or second anode and a remote anode (28) to further control pigment particle migration. The display (10) is fabricated by two methods both of which employ selective etching of the parallel line type of display electrodes which constitute a cathode, a grid and a local anode.

Подробнее
27-09-1994 дата публикации

METAL CLEANER COMPOSITION AND METHOD

Номер: CA0002110364A1
Принадлежит:

A composition of citric acid, a hydroxycarboxylic acid salt, a nonionic surfactant and a coupling agent is used for cleaning metal surfaces and methods of using the same.

Подробнее
18-12-2013 дата публикации

Method for producing abrasive grains, method for producing slurry, and method for producing polishing liquid

Номер: CN103450847A
Принадлежит:

In the production method for abrasive grains according to the invention, an aqueous solution of a salt of a tetravalent metal element is mixed with an alkali solution, under conditions such that a prescribed parameter is 5.00 or greater, to obtain abrasive grains including a hydroxide of the tetravalent metal element.

Подробнее
24-09-2014 дата публикации

Fine unevenness structure body, dry etching thermo-reactive resist material, mold fabrication method, and mold

Номер: CN104067171A
Автор: MITAMURA YOSHIMICHI
Принадлежит:

Provided is a fine unevenness structure body (10), comprising an etching layer (11), and a resist layer (12) which is configured of a dry etching thermo-reactive resist material which is disposed upon the etching layer (11). An unevenness structure which corresponds to opening parts (12a) which are formed in the resist layer (12) is formed in the etching layer (11). The pattern pitch (P) of the fine pattern of the unevenness structure is 1-10nm. The pattern depth (H) of the fine pattern is 1-10nm. The pattern cross-section shapes of the fine pattern are trapezoids, triangles, or mixtures of these. The dry etching thermo-reactive resist material has at least one primary constituent element selected from a group consisting of copper, niobium, tin, manganese, oxides and nitrides of these, and nickel bismuth.

Подробнее
25-11-2015 дата публикации

A mixed acid solution of the potentiometric titration method

Номер: CN0103604856B
Автор:
Принадлежит:

Подробнее
06-12-1985 дата публикации

COMPOSITIONS AUTOEMULSIONNABLES A BASE DE FLUOROCHLOROHYDROCARBURES ET D'ESTERS PHOSPHORIQUES

Номер: FR0002565125A
Принадлежит:

COMPOSITIONS A BASE DE FLUOROCHLOROHYDROCARBURES ET D'ESTERS PHOSPHORIQUES. LES COMPOSITIONS SE PRESENTENT SOUS FORME D'EMULSIONS AQUEUSES DU TYPE "EAU DANS L'HUILE". ELLES CONVIENNENT POUR LE NETTOYAGE DE PIECES EN MATERIAUX DIVERS PAR ELIMINATION DE POUSSIERES, EMPREINTES DIGITALES, GRAISSES.

Подробнее
29-07-1983 дата публикации

COMPOSITION POUR NETTOYER DES SURFACES ENCRASSEES

Номер: FR0002520373A
Принадлежит:

L'INVENTION CONCERNE UNE COMPOSITION POUR NETTOYER DES SURFACES ENCRASSEES, EN ELIMINANT LES INCRUSTATIONS CALCAIRES, MAGNESIENNES, FERREUSES ET LES CRASSES ORGANIQUES. LA COMPOSITION RENFERME A AU MOINS UN DES COMPOSES SUIVANTS: UN PHOSPHATE DIACIDE DE METAL ALCALIN OU D'AMMONIUM, UN PHOSPHATE NEUTRE DE METAL ALCALIN OU D'AMMONIUM ET L'ACIDE PHOSPHORIQUE, B AU MOINS UN ACIDE CHOISI PARMI L'ACIDE CITRIQUE, L'ACIDE MALIQUE ET L'ACIDE SULFAMIQUE, ET C UN DETERGENT NON IONIQUE NON MOUSSANT. LA COMPOSITION BIODEGRADABLE ET SANS DANGER CONVIENT PARTICULIEREMENT BIEN POUR LE NETTOYAGE DES MEMBRANES D'OSMOSE INVERSE 12 ENCRASSEES OU D'AUTRES SURFACES ENCRASSEES SEMBLABLES.

Подробнее
13-12-2019 дата публикации

ETCHING COMPOSITIONS AND METHODS FOR USING SAME

Номер: KR0102055788B1
Автор:
Принадлежит:

Подробнее
22-02-2017 дата публикации

전자 부품 및 그의 제조 방법

Номер: KR1020170020442A
Принадлежит:

... 본 발명의 목적은, 금속 자성분을 포함하는 절연체를 사용한 전자 부품이며, 해당 절연체 위에 수지의 코팅막을 갖는 전자 부품 및 그의 제조 방법을 제공하는 것이다. 전자 부품(1)은, 절연체를 포함하는 본체(10)와, 본체(10)를 덮는 코팅막(9)과, 본체(10)의 내부에 위치하는 회로 소자(30)와, 외부 전극(20, 25)을 구비하고 있다. 절연체는 금속 자성분을 포함하고 있다. 코팅막(9)은 수지 및 절연체에 포함되는 양이온성의 원소에 의해 구성되어 있다.

Подробнее
01-07-2019 дата публикации

Номер: TWI664317B

Подробнее
03-05-2007 дата публикации

PALLADIUM-SELECTIVE ETCHING SOLUTION AND METHOD FOR CONTROLLING ETCHING SELECTIVITY

Номер: WO000002007049750A1
Автор: TAKAHASHI, Hideki
Принадлежит:

Disclosed is an iodine-based etching solution for etching a material wherein palladium and gold coexist. This etching solution contains at least one additive selected from the group consisting of nitrogen-containing five-membered ring compounds, alcohol compounds, amide compounds, ketone compounds, thiocyanic acid compounds, amine compounds and imide compounds. The etching rate ratio between palladium and gold (etching rate of palladium/etching rate of gold) is not less than 1.

Подробнее
02-07-2019 дата публикации

Ni:NiGe:Ge selective etch formulations and method of using same

Номер: US0010340150B2

Compositions and methods for selectively removing unreacted metal material (e.g., unreacted nickel) relative to metal germanide (e.g., NiGe), metal-III-V materials, and germanium from microelectronic devices having same thereon. The compositions are substantially compatible with other materials present on the microelectronic device such as low-k dielectrics and silicon nitride.

Подробнее
15-05-1984 дата публикации

Etching method of conductive film

Номер: US0004448637A1
Принадлежит: Daicel Chemical Industries, Ltd.

A conductive film formed on a substrate can be etched by coating an etching solution containing 0 to 30 wt % of polyhydric alcohol and tackified to a viscosity of at least 10 cps.

Подробнее
12-10-2006 дата публикации

Selective wet etching of metal nitrides

Номер: US20060226122A1
Принадлежит:

In one embodiment, the present invention relates to a wet etching composition including hydrogen peroxide; an organic onium hydroxide; and an acid. In another embodiment, the invention relates to a method of wet etching metal nitride selectively to surrounding structures comprising one or more of silicon, silicon oxides, glass, PSG, BPSG, BSG, silicon oxynitride, silicon nitride and silicon oxycarbide and combinations and mixtures thereof and/or photoresist materials, including steps of providing a wet etching composition including hydrogen peroxide, an organic onium hydroxide, and an organic acid; and exposing a metal nitride to be etched with the wet etching composition for a time and at a temperature effective to etch the metal nitride selectively to the surrounding structures.

Подробнее
06-10-2005 дата публикации

Etching methods

Номер: US2005218373A1
Автор: KO KEI-YU
Принадлежит:

Processes, etchants, and apparatus useful for etching an insulating oxide layer of a substrate without damaging underlying nitride features or field oxide regions. The processes exhibit good selectivity to both nitrides and field oxides. Integrated circuits produced utilizing etching processes of the present invention are much less likely to be defective due to photoresist mask misalignment. Etchants used in processes of the present invention comprise a carrier gas, one or more C2+F gases, CH2F2, and a gas selected from the group consisting of CHF3, CF4, and mixtures thereof. The processes can be performed at power levels lower than what is currently utilized in the prior art.

Подробнее
02-03-2017 дата публикации

SELF-ORGANIZATION MATERIAL AND PATTERN FORMATION METHOD

Номер: US20170062206A1
Принадлежит:

A pattern formation method according to an embodiment includes providing a substrate in which protrusions each having a tapered shape are provided on a main surface. The method further includes supplying the main surface with spherical particles equal in diameter to make the spherical particles arrange in a triangular lattice form such that each of the protrusions is at least partially positioned within a region surrounded by the main surface and three of the spherical particles adjacent to one another.

Подробнее
30-09-2003 дата публикации

Process for removing contaminant from a surface and composition useful therefor

Номер: US0006627546B2
Принадлежит: Ashland Inc., ASHLAND INC, ASHLAND INC.

Particulate and metal ion contamination is removed from a surface, such as a semiconductor wafer containing copper damascene or dual damascene features, employing a fluoride-free aqueous composition comprising a dicarboxylic acid and/or salt thereof; and a hydroxycarboxylic acid and/or salt thereof or amine group containing acid.

Подробнее
16-07-2002 дата публикации

Fixed-abrasive chemical-mechanical planarization of titanium nitride

Номер: US0006419554B2

Planarizing solutions, and their methods of use, for removing titanium nitride from the surface of a substrate using a fixed-abrasive planarizing pad. The planarizing solutions take the form of an etchant solution or an oxidizing solution. The etchant solutions are aqueous solutions containing an etchant and a buffer. The etchant contains one or more etching agents selective to titanium nitride. The oxidizing solutions are aqueous solutions containing an oxidizer and a buffer. The oxidizer contains one or more oxidizing agents selective to titanium nitride. In either solution, i.e., etchant or oxidizing solution, the buffer contains one or more buffering agents. Titanium nitride layers planarized in accordance with the invention may be utilized in the production of integrated circuits, and various apparatus utilizing such integrated circuits.

Подробнее
12-01-2017 дата публикации

SLURRY COMPOSITION FOR POLISHING TUNGSTEN

Номер: US20170009353A1
Принадлежит: K.C. Tech Co., Ltd.

A slurry composition for polishing tungsten is provided. The slurry composition for polishing tungsten may include a water-soluble polymer, abrasive particles and an etching adjuster.

Подробнее
16-01-2014 дата публикации

ETCHING LIQUID COMPOSITION FOR MULTILAYER CONTAINING COPPER AND MOLYBDENUM AND PROCESS FOR ETCHING THEREOF

Номер: US20140014615A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

There is provided an etching liquid composition for a multilayer film containing copper and molybdenum. The etching liquid composition comprises: (A) a peroxosulfate ion source; (B) a copper ion source; and (C) at least one nitrogen compound source selected from the group consisting of ammonia, ammonium ions, amines, and alkyl ammonium ions and has pH 3.5 to 9. 1. An etching liquid composition for a multilayer film containing copper and molybdenum , the liquid composition comprising:(A) a peroxosulfate ion source;(B) a copper ion source; and(C) at least one nitrogen compound source selected from the group consisting of ammonia, ammonium ions, amines, and alkyl ammonium ions,the liquid composition having pH 3.5 to 9.2. The liquid composition according to claim 1 , wherein the peroxosulfate ion source (A) is at least one compound selected from the group consisting of ammonium peroxodisulfate claim 1 , potassium peroxodisulfate claim 1 , sodium peroxodisulfate claim 1 , and potassium hydrogen peroxomonosulfate.3. The liquid composition according to claim 1 , wherein the mixing ratio of the peroxosulfate ion source (A) to the copper ion source (B) is 0.01 to 20 on a molar basis.4. The liquid composition according to claim 1 , wherein the copper ion source (B) is at least one compound selected from the group consisting of copper claim 1 , copper sulfate claim 1 , copper nitrate claim 1 , and copper acetate.5. The liquid composition according to claim 1 , wherein the nitrogen compound source (C) is at least one compound selected from the group consisting of ammonia claim 1 , ammonium sulfate claim 1 , ammonium nitrate claim 1 , ammonium acetate claim 1 , ammonium peroxodisulfate claim 1 , and tetramethylammonium hydroxide.6. The liquid composition according to claim 1 , wherein the mixing ratio of the nitrogen compound source (C) to the copper ion source (B) is 4 to 100 on a molar basis.7. The liquid composition according to claim 1 , which further comprises (D) a ...

Подробнее
22-12-2022 дата публикации

Silicon Etching Solution and Method for Producing Silicon Device Using the Etching Solution

Номер: US20220403242A1

A silicon etching solution includes a mixed solution comprising a quaternary alkylammonium hydroxide and water and further comprises a compound represented by the following formula (1): R1O—(CmH2mO)n—R2 (1) wherein R1is a hydrogen atom or an alkyl group having 1 to 3 carbon atoms, R2is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms, m is an integer of 2 to 6, and n is 1 or 2.

Подробнее
26-04-2022 дата публикации

Method for forming circuits using seed layer and etchant composition for selective etching of seed layer

Номер: US0011317514B2
Принадлежит: InkTec Co., Ltd.

The present invention relates to a method for forming a circuit using a seed layer. The method for forming a circuit using a seed layer according to the present invention, may realize a fine pitch, increase the adhesion of the circuit, and prevent the migration phenomenon.

Подробнее
29-03-2022 дата публикации

Chemical mechanical polishing composition, chemical mechanical polishing slurry and method for polishing substrate

Номер: US0011286403B2
Принадлежит: DONGJIN SEMICHEM CO., LTD

There are provided a chemical mechanical polishing composition, a chemical mechanical polishing slurry and a method for polishing a substrate that can realize a polishing rate equivalent to or higher than that of the existing polishing agent even if total metal content is decreased, or can realize remarkably higher polishing rate than that of the existing polishing agent when using total metal content identical as before. The chemical mechanical polishing composition comprises an iron-based metal catalyst, and a magnesium-based metal catalyst, wherein the metal content of the iron-based metal catalyst is equal to or greater than the metal content of the magnesium-based metal catalyst.

Подробнее
19-07-2012 дата публикации

Processing liquid for suppressing pattern collapse of fine metal structure and method for producing fine metal structure using same

Номер: US20120181249A1
Принадлежит: Mitsubishi Gas Chemical Co Inc

There are provided a processing liquid for suppressing pattern collapse of a fine metal structure, containing at least one member selected from an imidazolium halide having an alkyl group containing 12, 14 or 16 carbon atoms, a pyridinium halide having an alkyl group containing 14 or 16 carbon atoms, an ammonium halide having an alkyl group containing 14, 16 or 18 carbon atoms, a betaine compound having an alkyl group containing 12, 14 or 16 carbon atoms, and an amine oxide compound having an alkyl group containing 14, 16 or 18 carbon atoms, and a method for producing a fine metal structure using the same.

Подробнее
13-09-2012 дата публикации

Novel Etching Composition

Номер: US20120231632A1

This disclosure relates to an etching composition containing at least one sulfonic acid, at least one compound containing a halide anion, the halide being chloride or bromide, at least one compound containing a nitrate or nitrosyl ion, and water. The at least one sulfonic acid can be from about 25% by weight to about 95% by weight of the composition. The halide anion can be chloride or bromide, and can be from about 0.01% by weight to about 0.5% by weight of the composition. The nitrate or nitrosyl ion can be from about 0.1% by weight to about 20% by weight of the composition. The water can be at least about 3% by weight of the composition.

Подробнее
20-09-2012 дата публикации

Methods for etch of sin films

Номер: US20120238102A1
Принадлежит: Applied Materials Inc

A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

Подробнее
14-02-2013 дата публикации

Sapphire polishing slurry and sapphire polishing method

Номер: US20130037515A1
Принадлежит: BAIKOWSKI JAPAN CO Ltd

Disclosed is a polishing slurry for sapphire polishing that is capable of obtaining polishing speeds and smooth surfaces during the polishing of sapphire substrates that are equivalent to or better than in prior polishing processes even if the number of polishers and polishing hours are reduced. Also disclosed is a sapphire substrate polishing method. The slurry includes alumina abrasives and has a pH adjusted to the range of 10.0 to 14.0, and the sapphire is polished by means of the CMP technique by applying said slurry. The aforementioned alumina abrasives more preferably include at least α-alumina, and the content thereof is more preferably 0.01 to 50 wt %. The mean particle size of the aforementioned alumina abrasives is preferably 0.05 to 10 μm.

Подробнее
28-03-2013 дата публикации

AQUEOUS ALKALINE ETCHING AND CLEANING COMPOSITION AND METHOD FOR TREATING THE SURFACE OF SILICON SUBSTRATES

Номер: US20130078756A1
Принадлежит: BASF SE

An aqueous alkaline etching and cleaning composition for treating the surface of silicon substrates, the said composition comprising: (A) a quaternary ammonium hydroxide; and (B) a component selected from the group consisting of water-soluble acids and their water-soluble salts of the general formulas (I) to (V): (R—S0-)X (I), R—P0(X)(II); (RO—S0)X (III), RO—P0(X), (IV), and [(RO)P0]X (V); wherein the n=1 or 2; X is hydrogen or alkaline or alkaline-earth metal; the variable R1 is an olefinically unsaturated aliphatic or cycloaliphatic moiety and R is Ror an alkylaryl moiety; the use of the composition for treating silicon substrates, a method for treating the surface of silicon substrates, and methods for manufacturing devices generating electricity upon the exposure to electromagnetic radiation. 2. The composition according to claim 1 , wherein the quaternary ammonium hydroxide is at least one selected from the group consisting of a tetramethylammonium hydroxide and a tetraethylammonium hydroxide.3. The composition according to claim 1 , wherein Ris a vinyl claim 1 , a prop-1-en-1-yl claim 1 , a prop-2-en-1-yl and an alpha-methyl-vinyl claim 1 , and R is selected from a vinyl claim 1 , a prop-1-en-1-yl claim 1 , a prop-2-en-1-yl claim 1 , an alpha-methyl-vinyl and a benzyl.4. The composition according to claim 1 , further comprising at least one acid selected from the group consisting of an inorganic mineral acid and a water-soluble carboxylic acid.5. The composition according to claim 1 , further comprising at least one base selected from the group consisting of a volatile inorganic base comprising a nitrogen atom and an organic base comprising a nitrogen atom.6. The composition according to claim 1 , further comprising at least one oxidizing agent selected from the group consisting of a water-soluble organic peroxide and a water-soluble inorganic peroxide.7. The composition according to claim 1 , further comprising a metal chelating agent.8. The composition ...

Подробнее
18-04-2013 дата публикации

COMPOSITION FOR POLISHING SILICON CARBIDE SUBSTRATE AND METHOD FOR POLISHING SILICON CARBIDE SUBSTRATE

Номер: US20130092871A1
Принадлежит: NISSAN CHEMICAL INDUSTRIES, LTD.

A silicon carbide substrate polishing composition for polishing a surface of a silicon carbide substrate contains water and colloidal silica particles having a true specific gravity of 2.10 to 2.30, and has a free alkali metal ion concentration of 1 ppm to 150 ppm. 1. A silicon carbide substrate polishing composition for polishing a surface of a silicon carbide substrate , wherein the composition comprises water and colloidal silica particles having a true specific gravity of 2.10 to 2.30 , and has a free alkali metal ion concentration of 1 ppm to 150 ppm.2. A silicon carbide substrate polishing composition according to claim 1 , wherein the colloidal silica particles contain colloidal silica particles having a mean primary particle size of 20 nm to 500 nm.3. A silicon carbide substrate polishing composition according to claim 2 , wherein the colloidal silica particles further contain colloidal silica particles having a mean primary particle size of 5 nm or more and less than 20 nm.4. A silicon carbide substrate polishing composition according to claim 3 , which has a ratio by mass of colloidal silica particles having a mean primary particle size of 20 nm to 500 nm to colloidal silica particles having a mean primary particle size of 5 nm or more and less than 20 nm of 50/50 to 90/10.5. A silicon carbide substrate polishing composition according to claim 1 , which has a pH lower than 4.6. A silicon carbide substrate polishing composition according to claim 1 , which further contains an oxidizing agent.7. A silicon carbide substrate polishing composition according to claim 1 , which is employed with an oxidizing agent in polishing a silicon carbide substrate.8. A silicon carbide substrate polishing composition according to claim 6 , wherein the oxidizing agent is at least one species selected from the group consisting of hydrogen peroxide claim 6 , chloric acid claim 6 , perchloric acid claim 6 , perbromic acid claim 6 , iodic acid claim 6 , periodic acid claim 6 , ...

Подробнее
02-05-2013 дата публикации

Dry Etching Agent and Dry Etching Method

Номер: US20130105728A1
Принадлежит: CENTRAL GLASS COMPANY, LIMITED

A dry etching agent according to the present invention preferably contains: (A) 1,3,3,3-tetrafluoropropene; (B) at least one kind of additive gas selected from the group consisting of H, O, O, CO, CO, COCl, COF, CFOF, NO, F, NF, Cl, Br, I, CH, CH, CH, CH, CH, CH, CH, HF, HI, HBr, HCl, NO, NHand YFn (where Y represents Cl, Br or I; and n represents an integer satisfying 1≦n≦7); and (C) an inert gas. This dry etching agent has less effect on the global environment and can obtain a significant improvement in process window and address processing requirements such as low side etching ratio and high aspect ratio even without any special substrate excitation operation. 1. A dry etching agent comprising 1 ,3 ,3 ,3-tetrafluoropropene , an additive gas and an inert gas.2. The dry etching agent according to claim 1 , wherein the additive gas is an oxidizing gas or reducing gas.3. The dry etching agent according to claim 2 , wherein the oxidizing gas or reducing gas is at least one kind of gas selected from the group consisting of H claim 2 , O claim 2 , O claim 2 , CO claim 2 , CO claim 2 , COCl claim 2 , COF claim 2 , CFOF claim 2 , NO claim 2 , F claim 2 , NF claim 2 , Cl claim 2 , Br claim 2 , I claim 2 , CH claim 2 , CH claim 2 , CH claim 2 , CH claim 2 , CH claim 2 , CH claim 2 , CH claim 2 , HF claim 2 , HI claim 2 , HBr claim 2 , HCl claim 2 , NO claim 2 , NHand YFn (where Y represents Cl claim 2 , Br or I; and n represents an integer satisfying 1≦n≦7).4. The dry etching agent according to claim 1 , wherein the inert gas is at least one kind of gas selected from the group consisting of N claim 1 , He claim 1 , Ar claim 1 , Ne and Kr.5. The dry etching agent according to claim 1 , wherein the 1 claim 1 ,3 claim 1 ,3 claim 1 ,3-tetrafluoropropene is contained in an amount of 1 to 45 volume %.6. The dry etching agent according to claim 1 , further comprising at least one kind of gas selected from the group consisting of CF claim 1 , CFH claim 1 , CFH claim 1 , CFH claim 1 ...

Подробнее
16-05-2013 дата публикации

METHOD AND COMPOSITIONS FOR PRODUCING OPTICALLY CLEAR PHOTOCATALYTIC COATINGS

Номер: US20130119305A1
Принадлежит: Photocat A/S

The invention relates to a method and compositions for producing a hydrophilic coating on a surface of a solid material. The method comprises a cleaning step and a coating step. The cleaning step may be preceded by an initial cleaning step and it may optionally be succeded by a preconditioning step prior to the coating step. The cleaning step comprises cleaning and preconditioning a surface of a material by use of a first cleaning fluid composition comprising ceria (CeO) particles. The coating step comprises treatment by use of a coating fluid composition comprising photocatalytically active nanoparticles of titania (TiO). An advantage of the method of the invention is that the method may be carried out at temperatures in the range 5 to 50° C. No further heating is required. Thereby, the method may easily be used for treating materials such as windows, furniture, tiles, walls, etc. 1{'sub': 2', '2', '2, 'ceria (CeO) particles suspended in water, wherein said ceria (CeO2) particles have a primary particle size below 30 nm, wherein the average particle size of said ceria (CeO) particles in suspension is below 500 nm, wherein the concentration of said ceria (CeO) particles in said cleaning fluid composition are in the range 0.3-20.0 w/w %, wherein said cleaning fluid composition has a zeta potential above 30 mV, the pH value of said cleaning fluid composition is in the range 2-6, wherein said cleaning fluid composition further comprises a buffer to maintain said cleaning composition in a specific pH range, and wherein said buffer comprises acetic acid, citric acid, or nitric acid.'}. A cleaning fluid composition comprising at least one component resulting in a chemical mechanical polishing, the cleaning fluid composition, comprising: This application is a continuation of U.S. patent application Ser. No. 12/191,995, filed Aug. 14, 2008, which claims the benefit of priority to Danish Patent Application No. PA 2007 01161, filed Aug. 14, 2007, both of which are hereby ...

Подробнее
23-05-2013 дата публикации

SYNTHETIC QUARTZ GLASS SUBSTRATE POLISHING SLURRY AND MANUFACTURE OF SYNTHETIC QUARTZ GLASS SUBSTRATE USING THE SAME

Номер: US20130130596A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

In polishing of synthetic quartz glass substrates, a polishing slurry is used comprising (i) an oligopeptide comprising recurring units of pentapeptide: -[valine-proline-glycine-valine-glycine]- and having a molecular weight of 800-150,000 or a copolymer of the pentapeptide with another monomer, and (ii) a colloidal solution. 1. A polishing slurry for synthetic quartz glass substrates , comprising(i) an oligopeptide comprising recurring units of pentapeptide: -[valine-proline-glycine-valine-glycine]- and having a molecular weight of 800 to 150,000 or a copolymer of the pentapeptide with another monomer copolymerizable therewith, and(ii) a colloidal solution.2. The polishing slurry of wherein component (i) comprises 2 to 20 recurring units of pentapeptide.3. The polishing slurry of wherein the colloidal solution is a colloidal silica water dispersion.4. The polishing slurry of claim 1 , having pH 8 to 11.5. The polishing slurry of claim 1 , further comprising at least one member selected from the group consisting of alkali metal hydroxides claim 1 , alkaline earth metal hydroxides claim 1 , basic salts claim 1 , organic amines claim 1 , ammonia and ammonium salts.6. A method of manufacturing a synthetic quartz glass substrate through rough polishing and final polishing claim 1 , wherein the final polishing step uses the polishing slurry of .7. The method of wherein the polishing slurry of is kept at a temperature below the phase transition temperature of said oligopeptide or copolymer and then fed to the final polishing step so that said oligopeptide or copolymer may absorb the polishing heat of the final polishing step. This non-provisional application claims priority under 35 U.S.C. §119(a) on Patent Application No. 2011-252633 filed in Japan on Nov. 18, 2011, the entire contents of which are hereby incorporated by reference.This invention relates to a polishing slurry for use in polishing of synthetic quartz glass substrates useful as the microelectronic material ...

Подробнее
06-06-2013 дата публикации

METHOD FOR PRODUCING ABRASIVE GRAINS, METHOD FOR PRODUCING SLURRY, AND METHOD FOR PRODUCING POLISHING LIQUID

Номер: US20130140485A1
Принадлежит:

In the production method for abrasive grains according to the invention, an aqueous solution of a salt of a tetravalent metal element is mixed with an alkali solution, under conditions such that a prescribed parameter is 5.00 or greater, to obtain abrasive grains including a hydroxide of the tetravalent metal element. 115-. (canceled)17. The production method according to claim 16 , wherein the ΔpH is not greater than 5.00.18. The production method according to claim 16 , wherein the cycle count N is 1.00 minor greater.19. The production method according to claim 16 , wherein the substitution count M is not greater than 1.0 min.20. The production method according to claim 16 , wherein a base of the alkali solution is a nitrogen-containing heterocyclic organic base.21. The production method according to claim 16 , wherein the mixing rate v is not greater than 1.00×10m/min.22. The production method according to claim 16 , wherein the temperature T is not higher than 60° C.23. The production method according to claim 16 , wherein a concentration of the salt of a tetravalent metal element is the first liquid is 0.01 mol/L or greater.24. The production method according to claim 16 , wherein an alkaline concentration of the second liquid is not greater than 15.0 mol/L.25. The production method according to claim 16 , wherein a pH of the liquid mixture is 2.0 to 7.0.26. The production method according to claim 16 , wherein the tetravalent metal element is tetravalent cerium.27. A production method for a slurry claim 16 , wherein abrasive grains obtained by the production method according to are mixed with water to obtain a slurry.28. A production method for a polishing liquid claim 27 , wherein a slurry obtained by the production method according to is mixed with an additive to obtain a polishing liquid.29. A production method for a polishing liquid claim 16 , wherein abrasive grains obtained by the production method according to claim 16 , an additive and water are mixed ...

Подробнее
20-06-2013 дата публикации

Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material

Номер: US20130157472A1
Автор: Hua Cui
Принадлежит: EKC Technology Inc

A semiconductor processing composition and method for removing photoresist, polymeric materials, etching residues and copper oxide from a substrate comprising copper, low-k dielectric material and TiN, TiNxOy or W wherein the composition includes water, at least one halide anion selected from Cl − or Br − , and, where the metal hard mask comprises only TiN or TiNxOy, optionally at least one hydroxide source.

Подробнее
04-07-2013 дата публикации

AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES CONTAINING SILICON OXIDE DIELECTRIC AND POLYSILICON FILMS

Номер: US20130168348A1
Принадлежит: BASF SE

An aqueous polishing composition has been found, the said aqueous polishing composition comprising (A) at least one type of abrasive particles which are positively charged when dispersed in an aqueous medium free from component (B) and having a pH in the range of from 3 to 9 as evidenced by the electrophoretic mobility; (B) at least one water-soluble polymer selected from the group consisting of linear and branched alkylene oxide homopolymers and copolymers; and (C) at least one anionic phosphate dispersing agent; and a process for polishing substrate materials for electrical, mechanical and optical devices making use of the aqueous polishing composition. 119-. (canceled)20. An aqueous polishing composition comprising:an abrasive particle comprising ceria;at least one water-soluble polymer selected from the group comprising a linear or branched alkylene oxide homopolymer and a linear or branched alkylene oxide copolymer; andan anionic phosphate dispersing agent,wherein the aqueous polishing composition comprises the at least one water-soluble polymer in an of from 0.001 to 5% by weight based on a total weight of the aqueous polishing composition andwherein the abrasive particle is positively charged, when dispersed in an aqueous medium which is free from the anionic phosphate dispersing agent and has a pH value of from 3 to 9, as evidenced by an electrohoretic mobility measurement.21. The aqueous polishing composition according to claim 20 , wherein the abrasive particle consists of ceria.22. The aqueous polishing composition according to claim 20 , comprising the abrasive particle in an amount of from 0.005 to 10% by weight based on the total weight of the aqueous polishing composition.23. The aqueous polishing composition according to claim 20 , wherein the at least one water-soluble polymer comprises at least one homopolymer or copolymer of ethylene oxide or propylene oxide.24. The aqueous polishing composition according to claim 20 , wherein the at least one ...

Подробнее
11-07-2013 дата публикации

POLISHING SLURRY AND CHEMICAL MECHANICAL PLANARIZATION METHOD USING THE SAME

Номер: US20130178064A1
Принадлежит:

A polishing slurry for a chemical mechanical planarization process includes polishing particles and polyhedral nanoscale particles having a smaller size than the polishing particles and including a bond of silicon (Si) and oxygen (O). 1. A polishing slurry for planarizing a thin film containing two or more different kinds of metal or metalloid elements , the polishing slurry comprising:polishing particles; andnanoscale particles of polyhedral structure having a smaller size than the polishing particles, each of the nanoscale particles including at least one silicon (Si) atom bonded to an oxygen (O) atom.2. The polishing slurry of claim 1 , wherein the polishing particles includes alumina (AlO) claim 1 , ceria (CeO) claim 1 , zirconia (ZrO) claim 1 , titania (TiO) claim 1 , germania (GeO) claim 1 , chromium oxide (CrO) claim 1 , manganese oxide (MnO) claim 1 , silica (SiO) or a combination thereof.3. The polishing slurry of claim 1 , wherein the polishing particles have an average size of about 10 nm to about 150 nm.4. The polishing slurry of claim 1 , wherein the polishing particles have an average size of about 10 nm to about 100 nm.5. The polishing slurry of claim 1 , wherein the nanoscale particles of polyhedral structure include a polyhedral oligomeric silsesquioxane (POSS) compound.6. The polishing slurry of claim 1 , wherein the POSS compound includes a compound having a formula (RSiO)(R′SiO)(XSiO) claim 1 , wherein R and R′ are organic substituents claim 1 , X is a functional group claim 1 , each of m claim 1 , n claim 1 , and l is an integer equal to or greater than 0 (zero) claim 1 , and m+n+l≧6.7. The polishing slurry of claim 6 , wherein the organic substituents includes hydrogen claim 6 , an acid claim 6 , an alcohol-based functional group claim 6 , a carboxyl-based functional group claim 6 , an ester-based functional group claim 6 , an ether-based functional group claim 6 , an amine-based functional group claim 6 , a thiol-based functional group claim 6 ...

Подробнее
11-07-2013 дата публикации

Silicon etching fluid and method for producing transistor using same

Номер: US20130178069A1
Принадлежит: Mitsubishi Gas Chemical Co Inc

The present invention relates to a silicon etching solution which is used for selectively etching a dummy gate made of silicon in a process for producing a transistor including a laminate formed of at least a high dielectric material film and a metal gate containing hafnium, zirconium, titanium, tantalum or tungsten by the method of removing the dummy gate made of silicon to replace the dummy gate with the metal gate and which includes 0.1 to 40% by weight of at least one alkali compound selected from the group consisting of ammonia, a diamine and a polyamine represented by the general formula (1), 0.01 to 40% by weight of at least one polyhydric alcohol selected from the group consisting of specific polyhydric alcohols and a non-reducing sugar, and 40 to 99.89% by weight of water, and a process for producing a transistor using the silicon etching solution.

Подробнее
18-07-2013 дата публикации

SURFACE TREATMENT COMPOSITION AND SURFACE TREATMENT METHOD USING SAME

Номер: US20130181159A1
Принадлежит:

A surface treatment composition of the present invention contains a first surfactant, a second surfactant, a basic compound, and water. The surface treatment composition has a pH of 8 or more. The second surfactant has a weight-average molecular weight one-half or less that of the first surfactant. The sum of the content of the first surfactant and the content of the second surfactant is 0.00001 to 0.1% by mass. 1. A surface treatment composition comprising a first surfactant , a second surfactant , a basic compound , and water , the surface treatment composition having a pH of 8 or more ,wherein the second surfactant has a weight-average molecular weight ½ times or less that of the first surfactant, and the sum of a content of the first surfactant and a content of the second surfactant is 0.00001 to 0.1% by mass.2. The surface treatment composition according to claim 1 , wherein a ratio of a total number of carbon atoms of the second surfactant to a sum of a total number of carbon atoms of the first surfactant and the total number of carbon atoms of the second surfactant is 1 to 90%.3. The surface treatment composition according to claim 1 , wherein the first surfactant has a weight-average molecular weight of 500 to 20 claim 1 ,000.4. The surface treatment composition according to claim 1 , wherein both the first surfactant and the second surfactant are nonionic surfactants.5. The surface treatment composition according to claim 1 , further comprising a particle component.6. The surface treatment composition according to claim 5 , wherein the particle component is silicon dioxide.7. The surface treatment composition according to claim 1 , further comprising a wetting agent.8. The surface treatment composition according to claim 1 , wherein the surface treatment composition is used in an application of subjecting a silicon wafer to surface treatment.9. The surface treatment composition according to claim 1 , wherein the surface treatment composition is used in an ...

Подробнее
18-07-2013 дата публикации

Composition for polishing and composition for rinsing

Номер: US20130183826A1
Принадлежит: Fujimi Inc

A polishing composition for a silicon wafer and a rinsing composition for a silicon wafer according to the present invention contain a nonionic surfactant of a polyoxyethylene adduct. The HLB value of the polyoxyethylene adduct is 8 to 15. The weight-average molecular weight of the polyoxyethylene adduct is 1400 or less. The average number of moles of oxyethylene added in the polyoxyethylene adduct is 13 or less. The content of the polyoxyethylene adduct in each of the polishing composition and the rinsing composition is 0.00001 to 0.1% by mass.

Подробнее
08-08-2013 дата публикации

AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES FOR ELECTRICAL, MECHANICAL AND OPTICAL DEVICES

Номер: US20130200038A1
Принадлежит: BASF SE

An aqueous polishing composition having a pH of 3 to 11 and comprising (A) abrasive particles which are positively charged when dispersed in an aqueous medium free from component (B) and of a pH of 3 to 9 as evidenced by the electrophoretic mobility; (B) anionic phosphate dispersing agents; and (C) a polyhydric alcohol component selected from the group consisting of (c1) water-soluble and water-dispersible, aliphatic and cycloaliphatic, monomeric, dimeric and oligomeric polyols having at least 4 hydroxy groups; (c2) a mixture consisting of (c21) water-soluble and water-dispersible, aliphatic and cycloaliphatic polyols having at least 2 hydroxy groups; and (c22) water-soluble or water-dispersible polymers selected from linear and branched alkylene oxide homopolymers and copolymers (c221); and linear and branched, aliphatic and cycloaliphatic poly(N-vinylamide) homopolymers and copolymers (c222); and (c3) mixtures of (c1) and (c2); and a process for polishing substrates for electrical, mechanical and optical devices. 119-. (canceled)20. An aqueous polishing composition having a pH of from 3 to 11 , the aqueous polishing composition comprising:abrasive particles;an anionic phosphate dispersing agent; andat least one polyhydric alcohol component selected from the group consisting of a polyhydric alcohol and a mixture,whereinthe abrasive particles are positively charged when dispersed in an aqueous medium which is free from the anionic phosphate dispersing agent and has a pH of from 3 to 9 as evidenced by an electrophoretic mobilitythe polyhydric alcohol is at least one selected from the group consisting of a water-soluble polyol, a water-dispersible polyol, an aliphatic polyol, a cycloaliphatic polyol, a monomeric polyol, a dimeric polyol, and an oligomeric polyol, each comprising at least 4 hydroxy groups that are not dissociable in the aqueous medium, and has an amount of from 0.005 to 5% by weight, based on a complete weight of the composition; and at least one ...

Подробнее
08-08-2013 дата публикации

Aqueous polishing compositions containing n-substituted diazenium dioxides and/or n'-hydroxy-diazenium oxide salts

Номер: US20130200039A1
Принадлежит: BASF SE

An aqueous polishing composition comprising (A) at least one water-soluble or water-dispersible compound selected from the group consisting of N-substituted diazenium dioxides and N′-hydroxy-diazenium oxide salts; and (B) at least one type of abrasive particles; the use of the compounds (A) for manufacturing electrical, mechanical and optical devices and a process for polishing substrate materials for electrical, mechanical and optical devices making use of the aqueous polishing composition.

Подробнее
08-08-2013 дата публикации

TITANIUM NITRIDE REMOVAL

Номер: US20130200040A1

A chemical solution that removes undesired metal hard mask yet remains selective to the device wiring metallurgy and dielectric materials. The present disclosure decreases aspect ratio by selective removal of the metal hard mask before the metallization of the receiving structures without adverse damage to any existing metal or dielectric materials required to define the semiconductor device, e.g. copper metallurgy or device dielectric. Thus, an improved aspect ratio for metal fill without introducing any excessive trapezoidal cross-sectional character to the defined metal receiving structures of the device will result. 1. A chemical composition for removing a metal hard mask and etching residues from a microelectronic device comprising:at least one metal protectant at a concentration in a range from 1,000 p.p.m. to 50,000 p.p.m. in weight percentage;an oxidizing agent selected from peroxides and oxidants which do not leave a residue and do not adversely attack copper;a pH stabilizer including at least one quaternary ammonium salt or at least one quaternary ammonium alkali; andan aqueous solution.2. The chemical composition of claim 1 , further comprising a sequestering agent selected from amines and amino acids.3. The chemical composition of claim 2 , wherein the sequestering agent is at least one of 1 claim 2 ,2-cyclohexanediamine-N claim 2 ,N claim 2 ,N′ claim 2 ,N′-tetraacetic acid (CDTA) claim 2 , ethyenediaminetetraacetic acid (EDTA) and diethylenetriaminopentaacetic acid (DTPA).4. The chemical composition of claim 1 , wherein the metal protectant is at least one of benzotriazole claim 1 , 1 claim 1 ,2 claim 1 ,3 triazole claim 1 , 1 claim 1 ,3 claim 1 ,4 triazole claim 1 , 1 claim 1 ,2 claim 1 ,4 triazole claim 1 , imidazole claim 1 , methyl-thiol-triazole claim 1 , thiol-triazole claim 1 , and triazole acid.5. The chemical composition of claim 1 , wherein the oxidizing agent comprises at least one of hydrogen peroxide (HO) and benzoyl peroxide (CHO).6. The ...

Подробнее
22-08-2013 дата публикации

Chemical Mechanical Polishing Composition And Methods Relating Thereto

Номер: US20130217230A1

A method for chemical mechanical polishing of a semiconductor wafer containing a nonferrous metal is provided, comprising: providing a chemical mechanical polishing composition comprising 1 to 25 wt % of an oxidizer; 0.01 to 15 wt % of an inhibitor for the nonferrous metal; 0.005 to 5 wt % of a copolymer of poly(ethylene glycol) methyl ether(meth)acrylate and 1-vinylimidazole; and water; wherein the chemical mechanical polishing composition has an acidic pH; providing a chemical mechanical polishing pad; providing a semiconductor wafer containing the nonferrous metal; creating dynamic contact between the chemical mechanical polishing pad and the semiconductor wafer; and, dispensing the polishing solution at or near the interface between the chemical mechanical polishing pad and the semiconductor wafer. 1. A method for chemical mechanical polishing of a semiconductor wafer containing a nonferrous metal , comprising: (a) providing a chemical mechanical polishing composition comprising 1 to 25 wt % of an oxidizer; 0.01 to 15 wt % of an inhibitor for the nonferrous metal; 0.005 to 5 wt % of a copolymer of poly(ethylene glycol) methyl ether(meth)acrylate and 1-vinylimidazole; and water; wherein the chemical mechanical polishing composition has an acidic pH; (b) providing a chemical mechanical polishing pad; (c) providing a semiconductor wafer containing the nonferrous metal; (d) creating dynamic contact between the chemical mechanical polishing pad and the semiconductor wafer and (e) dispensing the polishing solution at or near the interface between the chemical mechanical polishing pad and the semiconductor wafer.2. The method of claim 1 , wherein the copolymer in the chemical mechanical polishing composition provided is a 9:1 to 1:9 (weight basis) copolymer of poly(ethylene glycol) methyl ether methacrylate and 1-vinylimidazole and has a weight average molecular weight of 5 claim 1 ,000 to 1 claim 1 ,000 claim 1 ,000.3. The method of claim 1 , wherein the chemical ...

Подробнее
21-11-2013 дата публикации

CHEMICAL LIQUID PREPARATION METHOD OF PREPARING A CHEMICAL LIQUID FOR SUBSTRATE PROCESSING, CHEMICAL LIQUID PREPARATION UNIT PREPARING A CHEMICAL LIQUID FOR SUBSTRATE PROCESSING, AND SUBSTRATE PROCESSING SYSTEM

Номер: US20130306238A1
Принадлежит: DAINIPPON SCREEN MFG. CO., LTD.

A substrate processing system includes a chemical liquid preparation unit preparing a chemical liquid to be supplied to a substrate and a processing unit which supplies the chemical liquid, prepared by the chemical liquid preparation unit, to the substrate. The chemical liquid preparation unit supplies an oxygen-containing gas, containing oxygen gas, to a TMAH-containing chemical liquid, containing TMAH (tetramethylammoniumhydroxide),tomaketheoxygen-containing gas dissolve in the TMAH-containing chemical liquid. 1. A chemical liquid preparation method of preparing a chemical liquid for substrate processing , comprising:a step of supplying an oxygen-containing gas that contains oxygen gas to a TMAH-containing chemical liquid that contains TMAH (tetramethylammonium hydroxide) to make the oxygen-containing gas dissolve in the TMAH-containing chemical liquid.2. The chemical liquid preparation method of preparing a chemical liquid for substrate processing according to claim 1 , wherein the oxygen-containing gas is oxygen gas or cleaned dry air.3. The chemical liquid preparation method of preparing a chemical liquid for substrate processing according to claim 1 , comprising:a measurement step of measuring a dissolved oxygen concentration in the TMAH-containing chemical liquid;a nitrogen dissolution step of supplying a nitrogen-containing gas containing nitrogen gas to the TMAH-containing chemical liquid to make the nitrogen-containing gas dissolve in the TMAH-containing chemical liquid when the dissolved oxygen concentration measured in the measurement step is higher than a predetermined concentration; andan oxygen dissolution step of supplying the oxygen-containing gas to the TMAH-containing chemical liquid to make the oxygen-containing gas dissolve in the TMAH-containing chemical liquid when the dissolved oxygen concentration measured in the measurement step is lower than the predetermined concentration.4. A chemical liquid preparation unit preparing a chemical liquid ...

Подробнее
05-12-2013 дата публикации

Separating Fluid, Method And System For Separating Multilayer Systems

Номер: US20130319618A1
Принадлежит:

A separating fluid, method and use for separating multilayer systems, especially photovoltaic modules, for the purpose of recycling, which allow the separation of multilayer systems. Especially photovoltaic modules, in comparatively simple manner in terms of the processes used, in as environmentally friendly a manner as possible, at high recycling rates. For this purpose, the separating fluid is a nanoscale dispersion or a precursor thereof. 1. A separating fluid for separating multilayer systems , the separating fluid comprising a nanoscale dispersion , wherein the nanoscale dispersion comprises an organic component , an aqueous component and at least one surfactant , and wherein at least one of the surfactants is selected from the group consisting of anionic surfactants , non-ionic surfactants and amphoteric surfactants.2. A separating fluid according to claim 1 , wherein the aqueous component has a concentration of at least 60 percent by weight.3. A separating fluid according to claim 1 , wherein the at least one surfactant comprises at least one non-ionic surfactant and one or several surfactants from the group formed by anionic surfactants and amphoteric surfactants.4. The separating fluid according to claim 1 , wherein the non-ionic surfactant has a concentration in the area from 2 percent by weight to 12 percent by weight.5. The separating fluid according to claim 1 , wherein at least one of the anionic surfactant or the amphoteric surfactant has a concentration of no more than 10 percent by weight.6. The separating fluid according to wherein further comprising a hydrotrope for stabilization.7. The separating fluid of claim 1 , further comprising a co-surfactant selected from the group consisting of short-chain alcohols.8. The separating fluid according to claim 1 , further comprising a basic component.9. A method for separating multilayer systems comprising:washing the multilayer systems with a separating fluid comprising a nanoscale dispersion to form ...

Подробнее
02-01-2014 дата публикации

METHOD OF FORMING A CAPACITOR STRUCTURE, AND A SILICON ETCHING LIQUID USED IN THIS METHOD

Номер: US20140001145A1
Принадлежит: FUJIFILM Corporation

A method of forming a capacitor structure, which comprises: applying a silicon etching liquid which contains an alkali compound and a hydroxylamine compound in combination, with the pH adjusted to 11 or more, to a polycrystalline silicon film or an amorphous silicon film, removing a part or all of the polycrystalline silicon film or amorphous silicon film, and forming concave and convex shapes that constitute a capacitor. 1. A method of forming a capacitor structure , which comprises: applying a silicon etching liquid which contains an alkali compound and a hydroxylamine compound in combination , with the pH adjusted to 11 or more , to a polycrystalline silicon film or an amorphous silicon film , removing a part or all of the polycrystalline silicon film or amorphous silicon film , and forming concave and convex shapes that constitute a capacitor.2. The method according to claim 1 , wherein the area with the concave and convex shapes has a cylinder bore that is formed as a result of removal of the silicon film using the silicon etching liquid.3. The method according to claim 1 , further comprising a step of removing an oxide film formed on the silicon film before the silicon etching liquid is applied.4. The method according to claim 2 , wherein the area with the concave and convex shapes that constitute the capacitor structure includes TiN claim 2 , and the cylinder bore has an aspect ratio of 15 or more.5. The method according to claim 1 , wherein the concentration of the alkali compound is 3 to 25 mass %.6. The method according to claim 1 , wherein the concentration of the hydroxylamine compound is 0.1 to 15 mass %.7. The method as set forth in claim 1 , wherein the silicon etching liquid further contains alcohol compounds claim 1 , sulfoxide compounds or ether compounds.8. A silicon etching liquid for preparing a capacitor structure by removing a part or all of a polycrystalline silicon film or an amorphous silicon film to shape concave and convex shapes that ...

Подробнее
16-01-2014 дата публикации

Analysis of pattern features

Номер: US20140014621A1
Принадлежит: SEAGATE TECHNOLOGY LLC

The embodiments disclose a method for an electron curing reverse-tone process, including depositing an etch-resistant layer onto a patterned imprinted resist layer fabricated onto a hard mask layer deposited onto a substrate, curing the etch-resistant layer using an electron beam dose during etching processes of imprinted pattern features into the hard mask and into the substrate and using analytical processes to quantify reduced pattern feature placement drift errors and to quantify increased pattern feature size uniformity of imprinted pattern features etched.

Подробнее
06-03-2014 дата публикации

Texturing of monocrystalline semiconductor substrates to reduce incident light reflectance

Номер: US20140065836A1
Принадлежит: Rohm and Haas Electronic Materials LLC

Monocrystalline semiconductor substrates are textured with alkaline solutions to form pyramid structures on their surfaces to reduce incident light reflectance and improve light absorption of the wafers. The alkaline baths include hydantoin compounds and derivatives thereof in combination with alkoxylated glycols to inhibit the formation of flat areas between pyramid structures to improve the light absorption.

Подробнее
13-03-2014 дата публикации

COMPOSITIONS COMPRISING A FLUOROSURFACTANT AND A FLUORO-FREE HYDROTROPE

Номер: US20140073707A1
Принадлежит: E I DU PONT DE NEMOURS AND COMPANY

Compositions comprising a fluorosurtactant and a fluoro-free hydrotrope are disclosed. The fluoro-free hydrotropes are cationic aromatic compounds, anionic aromatic compounds, or water soluble azo derivatives. 1. A composition comprising a fluorosurfactant and a fluoro-free hydrotrope , wherein the weight ratio of the fluorosurfactant to the fluoro-free hydrotrope is in the range of 1:10 to 10:1.2. The composition of claim 1 , wherein the concentration of the fluorosurfactant is 0.00005-2 wt %.4. The composition of claim 1 , wherein the fluorosurfactant is an anionic claim 1 , a cationic claim 1 , an amphoteric claim 1 , or a nonionic fluorosurfactant.5. A method of altering the surface behavior of an agent claim 1 , comprising adding to the agent a composition comprising a fluorosurfactant and a fluoro-free hydrotrope claim 1 , wherein the weight ratio of the fluorosurfactant to the fluoro-free hydrotrope is in the range of 1:10 to 10:1.6. The composition of claim 5 , wherein the concentration of the fluorosurfactant is 0.00005-2 wt %.8. The method of claim 5 , wherein the fluorosurfactant is an anionic claim 5 , a cationic claim 5 , an amphoteric claim 5 , or a nonionic fluorosurfactant.9. The method of claim 5 , wherein the agent is selected from the group consisting of coating compositions claim 5 , lattices claim 5 , polymers claim 5 , floor finishes claim 5 , inks claim 5 , emulsifying agents claim 5 , foaming agents claim 5 , release agents claim 5 , repellency agents claim 5 , flow modifiers claim 5 , film evaporation inhibitors claim 5 , wetting agents claim 5 , leveling agents claim 5 , penetrating agents claim 5 , cleaners claim 5 , grinding agents claim 5 , electroplating agents claim 5 , corrosion inhibitors claim 5 , etchant solutions claim 5 , soldering agents claim 5 , dispersion aids claim 5 , antimicrobial agents claim 5 , pulping aids claim 5 , rinsing aids claim 5 , polishing agents claim 5 , personal care compositions claim 5 , drying agents ...

Подробнее
20-03-2014 дата публикации

METHOD OF ETCHING A HIGH ASPECT RATIO CONTACT

Номер: US20140077126A1
Принадлежит: MICRON TECHNOLOGY, INC.

Methods and an etch gas composition for etching a contact opening in a dielectric layer are provided. Embodiments of the method use a plasma generated from an etch gas composed of CFand/or CF, an oxygen source, and a carrier gas in combination with tetrafluoroethane (CF) or a halofluorocarbon analogue of CF. 1. An etch gas for etching a dielectric material , the etch gas comprising at least one of CFand CF , an oxygen source , an inert gas , and CF.2. The etch gas of claim 1 , further comprising an additional fluorocarbon gas having the general formula CFwhere x=1-6 and y=2-8.3. The etch gas of claim 1 , further comprising a hydrofluorocarbon gas having the general formula CHFwhere x=1-6 claim 1 , y=1-6 and z=1-6 claim 1 , or a mixture thereof.4. An etch gas for plasma etching a dielectric material claim 1 , the etch gas comprising at least one of CFand CF claim 1 , an oxygen source claim 1 , an inert gas claim 1 , and a halofluorocarbon selected from the group consisting of CFBr claim 1 , CFIand CFI.5. The etch gas of claim 1 , consisting essentially of the at least one of CFand CF claim 1 , oxygen source claim 1 , inert gas claim 1 , and CF.6. The etch gas of claim 4 , further comprising at least one of an additional fluorocarbon gas having the general formula CFwhere x=1-6 and y=2-8 claim 4 , and a hydrofluorocarbon gas having the general formula CHFwhere x=1-6 claim 4 , y=1-6 and z=1-6.7. The etch gas of claim 1 , wherein the oxygen source comprises oxygen (O) claim 1 , carbon monoxide (CO) claim 1 , or mixtures thereof.8. The etch gas of claim 1 , wherein the inert gas comprises argon (Ar) claim 1 , xenon (Xe) claim 1 , neon (Ne) claim 1 , krypton (Kr) claim 1 , or helium (He).9. The etch gas of claim 1 , further comprising a stabilizing agent.10. The etch gas of claim 1 , wherein a ratio of CF:CFis from about 0.25:1 to 1.5:1.11. The etch gas of claim 1 , wherein a ratio of CF:CFis from about 0.25:1 to 1.5:1.12. An etch gas consisting of at least one of CFand ...

Подробнее
03-04-2014 дата публикации

Iodine-based etching solution and etching method

Номер: US20140091052A1
Принадлежит: Kanto Chemical Co Inc

Technical Problem The present invention aims to provide an iodine-based etching solution having a high ratio of etching rate of a palladium material to that of a metal material other than the palladium material, in particular, an iodine-based etching solution capable of relatively decreasing the concentration of an organic solvent in the etching solution. Solution to Problem The iodine-based etching solution of the present invention is an iodine-based etching solution to etch a material in which a palladium material and a metal material other than the palladium material coexist, and comprises a water-compatible organic solvent and a water-soluble polymer compound.

Подробнее
03-04-2014 дата публикации

COPPER OXIDE ETCHANT AND ETCHING METHOD USING THE SAME

Номер: US20140091058A1
Принадлежит: ASAHI KASEI E-MATERIALS CORPORATION

In order to provide a copper oxide etchant and an etching method using the same capable of selectively etching exposure/non-exposure portions when laser light exposure is performed by using copper oxide as a thermal-reactive resist material, the copper oxide etchant for selectively etching copper oxides having different oxidation numbers in a copper oxide-containing layer containing the copper oxide as a main component contains at least a chelating agent or salts thereof. 1. A method of etching a copper oxide-containing layer containing copper oxide (II) in an amount of 50 mass % or higher , comprising the steps of:thermally decomposing the copper oxide (II) to copper oxide (I) in a predetermined area of the copper oxide-containing layer so that the copper oxide (I) is present in the predetermined area; andselectively dissolving the copper oxide (I) in the predetermined area with an etchant containing at least a chelating agent.2. The method according to claim 1 , wherein the thermal decomposing is performed by exposure.3. The method according to claim 2 , wherein the exposure is laser exposure.4. The method according to claim 1 , wherein the chelating agent comprises;at least one amino acid selected from the group consisting of alanine, arginine, asparagine, aspartic acid, cysteine, glutamine, glutamic acid, glycine, histidine, isoleucine, leucine, lysine, methionine, ornithine, phenylalanine, serine, threonine, tryptophan, tyrosine, valine, and proline,and/orat least one acid selected from the group consisting of oxalic acid, ethylenediaminetetraacetic acid, hydroxyethylethylenediaminetriacetic acid, dihydroxyethylethylenediaminediacetic acid, 1,3-propanediaminetetraacetic acid, citric acid, fumaric acid, adipic acid, succinic acid, malic acid, tartaric acid, and bathocuproinesulfonic acid or at least one salt thereof.5. The method according to claim 4 , wherein the chelating agent contains at least one amino acid.6. The method according to claim 4 , wherein the ...

Подробнее
07-01-2016 дата публикации

HIGH-PURITY 1H-HEPTAFLUOROCYCLOPENTENE

Номер: US20160002530A1
Автор: SUGIMOTO Tatsuya
Принадлежит: ZEON CORPORATION

The present invention is a 1H-Heptafluorocyclopentene having a purity of 999 wt % or more and an organochlorine-based compound content of 350 ppm by weight or less. The present invention provides a high-purity 1H-Heptafluorocyclopentene that may be useful as a plasma reaction gas for semiconductors. 1. 1H-Heptafluorocyclopentene haying a purity of 99.9 wt % or more and an organochlorine-based compound content of 350 ppm by weight or less.2. The 1H-heptafluorocyclopentene according to claim 1 , the 1H-heptafluorocyclopentene being obtained by performing a step (I) that hydrogenates 1-chloroheptafluorocyclopentene through a gas phase reaction in the presence of a catalyst to obtain crude 1H-heptafluorocyclopentene claim 1 , and a step (II) that purifies the crude 1H-heptafluorocyclopentene obtained by the step (I) using a rectifying column that has a number of theoretical plates of 50 or more.3. The 1H-heptafluorocyclopentene according to claim 1 , wherein the organochlorine-based compound is either or both of chlorononafluorocyclopentane and chloroheptafluorocyclopentene.4. The 1H-heptafluorocyclopentene according to claim 1 , the 1H-heptafluorocyclopentene having a nitrogen content of 100 ppm by volume or less and an oxygen content of 50 ppm by volume or less.5. The 1H-heptafluorocyclopentene according to claim 3 , the 1H-heptafluorocyclopentene having a water content of 20 ppm by weight or less.6. A method for using the 1H-heptafluorocyclopentene according to as a dry etching gas.7. A method for using claim 1 , the 1H-heptafluorocyclopentene according to as a plasma CVD reactive gas.8. A container equipped with a valve that is filled with the 1H-heptafluorocyclopentene according to . The present invention relates to high-purity 1H-heptafluorocyclopentene that may be useful as a plasma reaction gas (e.g., dry etching gas or CVD gas), a fluorine-containing medicine intermediate, a hydrofluorocarbon-based solvent, and the like.In recent years, semiconductor production ...

Подробнее
02-01-2020 дата публикации

Low Oxide Trench Dishing Chemical Mechanical Polishing

Номер: US20200002607A1
Принадлежит: Versum Materials US LLC

Chemical mechanical planarization (CMP) polishing compositions, methods and systems are provided to reduce oxide trench dishing and improve over-polishing window stability. High and tunable silicon oxide removal rates, low silicon nitride removal rates, and tunable SiO2: SiN selectivity are also provided. The compositions use a unique combination of abrasives, such as ceria coated silica particles; and the chemical additives, such as maltitol, lactitol, maltotritol, ribitol, D-sorbitol, mannitol, dulcitol, iditol, D-(−)-Fructose, sorbitan, sucrose, ribose, Inositol, glucose, D-arabinose, L-arabinose, D-mannose, L-mannose, meso-erythritol, beta-lactose, arabinose, or combinations thereof as oxide trench dishing reducing additives.

Подробнее
02-01-2020 дата публикации

Low Oxide Trench Dishing Chemical Mechanical Polishing

Номер: US20200002608A1
Принадлежит: Versum Materials US LLC

Chemical mechanical planarization (CMP) polishing compositions, methods and systems are provided to reduce oxide trench dishing and improve over-polishing window stability. High and tunable silicon oxide removal rates, low silicon nitride removal rates, and tunable SiO2: SiN selectivity are also provided. The compositions use unique chemical additives, such as maltitol, lactitol, maltotritol, ribitol, D-sorbitol, mannitol, dulcitol, iditol, D-(−)-Fructose, sorbitan, sucrose, ribose, Inositol, glucose, D-arabinose, L-arabinose, D-mannose, L-mannose, meso-erythritol, beta-lactose, arabinose, or combinations thereof as oxide trench dishing reducing additives.

Подробнее
07-01-2021 дата публикации

WATER-SOLUBLE FLUX AND COPPER MATERIAL PICKLING METHOD

Номер: US20210002550A1
Принадлежит:

The present invention belongs to the technical field of solder fluxes, and in particular relates to a water-soluble flux and a copper material pickling method. The water-soluble flux provided by the present invention includes an organic acid, an alcohol ether solvent, and deionized water. The organic acid is used as an active component of the present invention, and under the action of the alcohol ether solvent, oxides and impurities on the surface of a part to be welded can be sufficiently removed, and adhering residue of an acidic substance on the surface of the part to be welded can be reduced. In the process of tin plating of the part to be welded treated by the water-soluble flux provided by the present invention, the splash of tin liquid can be effectively inhibited, and the utilization rate of tin is improved. 1. A water-soluble flux , comprising the following components: an organic acid , an alcohol ether solvent , and deionized water.2. The water-soluble flux according to claim 1 , comprising the following components by mass parts claim 1 , 10-20 parts of the organic acid claim 1 , 1-10 parts of the alcohol ether solvent and 70-90 parts of the deionized water.3. The water-soluble flux according to claim 1 , wherein the organic acid comprises formic acid and/or acetic acid.4. The water-soluble flux according to claim 1 , wherein the alcohol ether solvent comprises one or more of ethylene glycol monobutyl ether claim 1 , dipropylene glycol methyl ether claim 1 , diethylene glycol monoethyl ether claim 1 , and tripropylene glycol monomethyl ether.5. The water-soluble flux according to claim 4 , wherein the alcohol ether solvent is ethylene glycol monobutyl ether and tripropylene glycol monomethyl ether.6. The water-soluble flux according to claim 5 , wherein the ratio of the mass of the ethylene glycol monobutyl ether to the mass of the tripropylene glycol monomethyl ether is (3-5):1.7. A copper material pickling method claim 5 , comprising:{'claim-ref': {'@ ...

Подробнее
07-01-2021 дата публикации

ETCHING OF WATER-SENSITIVE OPTICS WITH WATER-IN-OIL EMULSIONS

Номер: US20210003841A1
Принадлежит:

In one inventive concept, a method for etching an optic includes obtaining a microemulsion, where the microemulsion includes a continuous oil phase, a surfactant system comprising at least one surfactant, and water, submerging at least a portion of the optic in the microemulsion, and agitating by ultrasonication the microemulsion for etching the optic submerged therein. 1. A method for etching an optic , the method comprising:obtaining a microemulsion, wherein the microemulsion comprises a continuous oil phase, a surfactant system comprising at least one surfactant, and water;submerging at least a portion of the optic in the microemulsion; andagitating by ultrasonication the microemulsion for etching the optic submerged therein.2. The method as recited in claim 1 , wherein the microemulsion comprises an effective amount of the surfactant system to disperse the water in the continuous oil phase.3. The method as recited in claim 1 , wherein the microemulsion is a water-in-oil emulsion.4. The method as recited in claim 1 , wherein the continuous oil phase is present in the microemulsion in a range of about 60 wt % to about 90 wt % relative to a total weight of the microemulsion.5. The method as recited in claim 1 , wherein the continuous oil phase is present in the microemulsion in a range of about 70 wt % to about 85 wt % relative to a total weight of the microemulsion.6. The method as recited in claim 1 , wherein the surfactant system is present in the microemulsion in a range of about 10 wt % to about 40 wt % relative to a total weight of the microemulsion.7. The method as recited in claim 1 , wherein the surfactant system is present in the microemulsion in a range of about 13 wt % to about 29 wt % relative to a total weight of the microemulsion.8. The method as recited in claim 1 , wherein the water is present in the microemulsion in a range of greater than 0 wt % to about 10 wt % relative to total weight of the microemulsion.9. The method as recited in claim 1 , ...

Подробнее
20-01-2022 дата публикации

Silicon wafer polishing composition and method

Номер: US20220017781A1
Принадлежит: CMC Materials LLC

A chemical mechanical polishing composition for polishing a silicon wafer comprises, consists essentially of, or consists of a water based liquid carrier, colloidal silica particles dispersed in the liquid carrier, about 0.01 weight percent to about 2 weight percent of a dipolar aprotic solvent at point of use, and a pH in a range from about 8 to about 12. A method for polishing a silicon wafer may include contacting the wafer with the above described polishing composition, moving the polishing composition relative to the wafer, and abrading the wafer to remove silicon from the wafer and thereby polish the wafer.

Подробнее
20-01-2022 дата публикации

COMPOSITION AND METHOD FOR CREATING NANOSCALE SURFACE GEOMETRY ON AN IMPLANTABLE DEVICE

Номер: US20220017822A1
Принадлежит: Tech Met, Inc.

Compositions and methods for etching a surface of an implantable device are disclosed. The compositions generally include one or more alkali components, such as a metal hydroxide and optionally an amine, one or more chelating agents, and certain dissolved metals, such as component metals of the metal or alloy to be etched and optionally iron. For example, when etching a titanium device, the metals may include titanium (Ti). Alternatively, the composition may be an electrolyte composition useful for electrochemical etching of the implantable device. These compositions and methods may generate nanoscale geometry on the surface of the implantable device to provide implants with accelerate osseointegration and healing after surgery. 1. An alkaline composition for etching a nanoscale surface geometry on a metal surface of a body implantable device , the composition comprising:a metal hydroxide; one or more chelating agents; and optionally component metals of the metal surface,wherein the body implantable device is a bone-contacting device and the nanoscale surface geometry enhances osseointegration when the bone-contacting device is implanted adjacent bone, orwherein the body implantable device is a tissue-contacting device and the nanoscale surface geometry enhances endothelial attachment and proliferation when the tissue-contacting device is implanted adjacent tissue.2. The composition of claim 1 , wherein the metal hydroxide is included in the composition at 5 wt. % to 75 wt. %.3. The composition of claim 1 , wherein the one or more chelating agents comprise a gluconate included in the composition at 0.1 wt. % to 40 wt. %.4. The composition of claim 3 , wherein the metal surface comprises a titanium surface claim 3 , and the component metal of the metal surface comprises dissolved titanium at 0.1 ppm to 7 claim 3 ,000 ppm.5. The composition of claim 4 , comprising:5 to 75 wt. % of the metal hydroxide; and100 to 7,000 ppm of the dissolved titanium.6. The composition of ...

Подробнее
10-01-2019 дата публикации

HEXAVALENT CHROMIUM FREE ETCH MANGANESE RECOVERY SYSTEM

Номер: US20190009184A1
Принадлежит: SRG GLOBAL, INC.

Methods for recovering manganese etchant solutions are provided wherein a process solution used to rinse or neutralize a nonconductive substrate after etching the substrate is collected and evaporated to provide a concentrated process solution that is fed back into the manganese etchant solution or acid rinse. 1. A method for recovering manganese etchant solution , the method comprising:neutralizing with a neutralizer a nonconductive substrate after etching the substrate with an etchant solution, wherein the neutralizer comprises a solution comprising an acid and an oxidizer;removing from the neutralizer or manganese containing rinsate at least a portion of the process solution to an evaporator assembly;evaporating the process solution in the evaporator assembly to remove the water to form a concentrated process solution; andadding the concentrated process solution to the etchant solution or an Acid Rinse.2. The method according to claim 1 , wherein the concentrated process solution is concentrated to greater than or equal to about 2 g/L Mn.3. The method according to claim 1 , wherein the evaporator assembly further comprises an atmospheric evaporator or vacuum evaporator.4. The method according to claim 1 , wherein the evaporator assembly further comprises an evaporating processing tank.5. The method according to claim 1 , wherein the evaporating processing tank is operated under temperature control and with controlled air treatment.6. The method according to claim 5 , wherein the temperature is from about 155° F. to about 180° F.7. The method according to claim 5 , wherein the controlled air treatment is at a flowrate from about 1880 lb/hr to about 2090 lb/hr.8. The method according to claim 6 , wherein the controlled air treatment is at a flowrate from about 1880 lb/hr to about 2090 lb/hr.9. The method according to claim 1 , wherein the process solution comprises a source of manganese ions.10. A method for recovering manganese etchant solution claim 1 , the ...

Подробнее
10-01-2019 дата публикации

HEXAVALENT CHROMIUM FREE ETCH MANGANESE VACUUM EVAPORATION SYSTEM

Номер: US20190009185A1
Принадлежит: SRG GLOBAL, INC.

Methods and systems for removing water from a manganese-based etchant bath are disclosed. Water is removed from the manganese-based etchant bath by transferring a portion of the manganese-based etchant bath to a vacuum evaporator for processing and transferring the concentrated portion of the manganese-based etchant bath back to the manganese-based etchant bath. 1. A method for removing water from a source of manganese ions , the method comprising:directing at least a portion of the source of manganese ions through a conduit, wherein the conduit comprises a filter for filtering undissolved particles;concentrating the portion of the source of manganese ions with a vacuum evaporator;returning the concentrated portion to a manganese-based etchant bath.2. The method according to claim 1 , wherein the concentrated portion comprises an acid.3. The method according to claim 2 , further comprising purifying the acid.4. The method according to claim 1 , wherein the vacuum evaporator further comprises a heat source.5. The method according to claim 1 , wherein the manganese-based etchant bath is configured to etch a substrate.6. The method according to claim 1 , wherein a second conduit returns the concentrated portion to the manganese-based etchant bath.7. The method according to claim 1 , wherein the first conduit further comprises a one-way valve for preventing the portion of the source of manganese ions from returning to the source of manganese ions via the conduit.8. A method for removing water from a manganese-based etchant bath claim 1 , the method comprising:directing at least a portion of a manganese-based etchant bath through a conduit, wherein the conduit comprises a one-way valve for prohibiting the portion of the manganese-based etchant bath from returning to the manganese-based etchant bath via the conduit;concentrating the portion of the manganese-based etchant bath with a vacuum evaporator;returning the concentrated portion to the manganese-based etchant bath.9 ...

Подробнее
03-02-2022 дата публикации

CMP COMPOSITION INCLUDING ANIONIC AND CATIONIC INHIBITORS

Номер: US20220033682A1
Принадлежит:

A chemical mechanical polishing composition for polishing tungsten or molybdenum comprises, consists essentially of, or consists of a water based liquid carrier, abrasive particles dispersed in the liquid carrier, an amino acid selected from the group consisting of arginine, histidine, cysteine, lysine, and mixtures thereof, an anionic polymer or an anionic surfactant, and an optional amino acid surfactant. A method for chemical mechanical polishing a substrate including a tungsten layer or a molybdenum layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten layer or the molybdenum layer from the substrate and thereby polish the substrate. 1. A chemical mechanical polishing composition comprising:a water based liquid carrier;abrasive particles dispersed in the liquid carrier;an amino acid selected from the group consisting of arginine, histidine, cysteine, lysine, and mixtures thereof; andan anionic polymer or an anionic surfactant.2. The composition of further comprising:an iron-containing accelerator; anda stabilizer bound to the iron-containing accelerator.3. The composition of claim 1 , further comprising a hydrogen peroxide oxidizer.4. The composition of claim 1 , wherein the anionic polymer is selected from the group consisting of polysulfonic acids claim 1 , polyacrylic acids claim 1 , and polyphosphoric acids.5. The composition of claim 4 , wherein the anionic polymer is a polysulfonic acid polymer comprising sulfonic acid monomer units selected from the group consisting of polyvinyl sulfonic acid claim 4 , polystyrene sulfonic acid claim 4 , poly(2-acrylamido-2-methylpropane sulfonic acid) claim 4 , poly(styrenesulfonic acid-co-maleic acid) claim 4 , and mixtures thereof.6. The composition of claim 5 , wherein the anionic polymer is polyvinyl sulfonic acid (PVSA) or polystyrenesulfonic acid (PSSA).7. The ...

Подробнее
03-02-2022 дата публикации

TITANIUM DIOXIDE CONTAINING RUTHENIUM CHEMICAL MECHANICAL POLISHING SLURRY

Номер: US20220033683A1
Принадлежит:

A chemical mechanical polishing composition for polishing a ruthenium containing substrate comprises, consists of, or consists essentially of a water based liquid carrier; titanium oxide particles dispersed in the liquid carrier, the titanium oxide particles including rutile and anatase such that an x-ray diffraction pattern of the titanium oxide particles has a ratio X:Y greater than about 0.05, wherein X represents an intensity of a peak in the x-ray diffraction pattern having a d-spacing of about 3.24 Å and Y represents an intensity of a peak in the x-ray diffraction pattern having a d-spacing of about 3.51 Å; and a pH in a range from about 7 to about 10. Optional embodiments further include a pH buffer having a pKin a range from about 6 to about 9. 1. A chemical mechanical polishing composition comprising:a water based liquid carrier;titanium oxide particles dispersed in the liquid carrier, the titanium oxide particles including rutile and anatase such that an x-ray diffraction pattern of the titanium oxide particles has a ratio X:Y of about 0.05 to about 0.5, wherein X represents an intensity of a peak in the x-ray diffraction pattern having a d-spacing of about 3.24 Å and Y represents an intensity of a peak in the x-ray diffraction pattern having a d-spacing of about 3.51 Å; anda pH in a range from about 7 to about 10.2. The composition of claim 1 , wherein the pH is in a range from about 7.5 to about 9.5.3. The composition of claim 1 , further comprising a pH buffer having a pKin a range from about 6 to about 9.4. The composition of claim 1 , comprising from about 0.05 wt. % to about 1 wt. % of the titanium oxide particles.5. The composition of claim 1 , further comprising a peroxide compound.6. A method for polishing a ruthenium containing substrate claim 1 , the method comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, '(a) contacting the substrate with the polishing composition of ;'}(b) moving the polishing composition relative to the substrate; ...

Подробнее
15-01-2015 дата публикации

FINE CONCAVO-CONVEX STRUCTURE PRODUCT, HEAT-REACTIVE RESIST MATERIAL FOR DRY ETCHING, MOLD MANUFACTURING METHOD AND MOLD

Номер: US20150017275A1
Автор: MITAMURA Yoshimichi
Принадлежит:

A fine concavo-convex structure product () is provided with an etching layer (), and a resist layer () comprised of a heat-reactive resist material for dry etching provided on the etching layer (), a concavo-convex structure associated with opening portions () formed in the resist layer () is formed in the etching layer (), a pattern pitch P of a fine pattern of the concavo-convex structure ranges from 1 nm to 10 μm, a pattern depth H of the fine pattern ranges from 1 nm to 10 μm, and a pattern cross-sectional shape of the fine pattern is a trapezoid, a triangle or a mixed shape thereof. The heat-reactive resist material for dry etching has, as a principal constituent element, at least one species selected from the group consisting of Cu, Nb, Sn, Mn, oxides thereof, nitrides thereof and NiBi. 1. A fine concavo-convex structure product comprising:an etching layer; anda resist layer comprised of a heat-reactive resist material for dry etching provided on the etching layer, wherein a concavo-convex structure associated with opening portions formed in the resist layer is formed in the etching layer, a pattern pitch P of a fine pattern of the concavo-convex structure ranges from 1 nm to 10 μm, a pattern depth H of the fine pattern ranges from 1 nm to 10 μm, and a pattern cross-sectional shape of the fine pattern is a trapezoid, triangle or a mixed shape of thereof.2. The fine concavo-convex structure product according to claim 1 , wherein in any one of a plurality of concave portions forming the concavo-convex structure claim 1 , when boundaries between an opening portion of a concave portion and a surface on an upper side of the etching layer are two lines and the two lines do not cross each other claim 1 , one point on one of the two lines and one point on the other one having the shortest distance therebetween are made two highest points claim 1 , and the pattern cross-sectional shape is a shape drawn by connecting claim 1 , with straight lines claim 1 , the two ...

Подробнее
15-01-2015 дата публикации

Self-aligned tunable metamaterials

Номер: US20150017466A1
Принадлежит: Individual

A self-aligned tunable metamaterial is formed as a wire mesh. Self-aligned channel grids are formed in layers in a silicon substrate using deep trench formation and a high-temperature anneal. Vertical wells at the channels may also be etched. This may result in a three-dimensional mesh grid of metal and other material. In another embodiment, metallic beads are deposited at each intersection of the mesh grid, the grid is encased in a rigid medium, and the mesh grid is removed to form an artificial nanocrystal.

Подробнее
19-01-2017 дата публикации

ETCHING COMPOSITIONS FOR TRANSPARENT CONDUCTIVE LAYERS COMPRISING SILVER NANOWIRES

Номер: US20170015903A1
Автор: Wang Hailiang
Принадлежит:

This invention in general relates to a transparent conductive layer comprising a silver nanowire. This invention further relates to an etching composition suitable for etching a transparent conductive layer comprising a silver nanowire to form a pattern. This invention further relates to a transparent conductive electrode manufactured by etching a transparent conductive film comprising a silver nanowire. The etching composition may comprise an oxidizing agent and a ligand. The oxidizing agent may be a first chemical compound that can react with silver metal to form a silver compound; and the ligand may be a second chemical compound that can react with the silver compound to form a water soluble coordination complex of the silver ion. 1. An etching composition suitable for etching a silver nanowire incorporated in a transparent conductive nanocomposite layer comprising:at least one oxidizing agent; andat least one ligand;wherein the at least one oxidizing agent is a first chemical compound that can react with silver to form a silver compound; andwherein the at least one ligand is a second chemical compound that can react with the silver compound to form a water soluble coordination complex of silver ion.2. The etching composition of claim 1 , wherein the at least one oxidizing agent comprises an iron (III) salt claim 1 , a copper (II) salt claim 1 , or any combination thereof.3. The etching composition of claim 1 , wherein the at least one oxidizing agent comprises iron(III) chloride claim 1 , FeCl; iron (III) sulfate claim 1 , Fe(SO); iron(III) sulfamate claim 1 , Fe(SONH); iron mesylate claim 1 , Fe(SOCH); copper (II) chloride claim 1 , CuCl; KMnO; or any combination thereof.4. The etching composition of claim 1 , wherein the at least one ligand comprises acetic acid claim 1 , lactic acid claim 1 , succinimide claim 1 , 5 claim 1 ,5 dimethyl hydantoin claim 1 , a buffer solution claim 1 , or any combination thereof.5. The etching composition of claim 1 , wherein ...

Подробнее
16-01-2020 дата публикации

Chemical mechanical polishing method for tungsten

Номер: US20200017715A1

A process for chemical mechanical polishing a substrate containing tungsten is disclosed to reduce static corrosion rate and inhibit dishing of the tungsten and erosion of underlying dielectrics. The process includes providing a substrate; providing a polishing composition, containing, as initial components: water; an oxidizing agent; guar gum; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally a pH adjusting agent; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten (W) is polished away from the substrate, static corrosion rate is reduced, dishing of the tungsten (W) is inhibited as well as erosion of dielectrics underlying the tungsten (W).

Подробнее
16-01-2020 дата публикации

CHEMICAL-MECHANICAL POLISHING SOLUTION HAVING HIGH SILICON NITRIDE SELECTIVITY

Номер: US20200017716A1
Автор: JING Jianfen, ZHOU Wenting
Принадлежит:

A chemical-mechanical polishing slurry having high Silicon Nitride removal rate selectivity includes abrasive particles and a compound containing one or more carboxyl groups. The polishing slurry has high SiN removal rate, low TEOS removal rate, and high removal rate selectivity of SiN to TEOS. The polishing slurry can significatntly reduce the defects on Oxide surface which has an excellent market application prospect. 1. A chemical-mechanical polishing slurry having high Silicon Nitride removal rate selectivity , comprising abrasive particles and a compound containing one or more carboxyl groups.2. The chemical-mechanical polishing slurry as claimed in wherein the abrasive particles are Silica particles.3. The chemical-mechanical polishing slurry as claimed in wherein the mass percentage concentration of the abrasive particles is 0.5˜8 wt %.4. The chemical-mechanical polishing slurry as claimed in wherein the mass percentage concentration of said abrasive particles is 1%˜5 wt %.51. The chemical-mechanical polishing slurry as claimed in wherein the compound containing one or more carboxyl groups is one or more selected from the group of pyridine compound claim 3 , piperidine compound claim 3 , pyrrolidine compound claim 3 , pyrrole compound and all the derivatives above thereof.6. The chemical-mechanical polishing slurry as claimed in wherein the compound containing one or more carboxyl groups is one or more selected from the group of 2-carboxyl pyridine claim 5 , 3-carboxyl pyridine claim 5 , 4-carboxyl pyridine claim 5 , 2 claim 5 ,3-dicarboxyl pyridine claim 5 , 2 claim 5 ,4-dicarboxyl pyridine claim 5 , 2 claim 5 ,6-dicarboxyl pyridine claim 5 , 3 claim 5 ,5-dicarboxyl pyridine claim 5 , 2-carboxyl piperidine claim 5 , 3-carboxyl piperidine claim 5 , 4-carboxyl piperidine claim 5 , 2 claim 5 ,3-dicarboxyl piperidine claim 5 , 2 claim 5 ,4-dicarboxyl piperidine claim 5 , 2 claim 5 ,6-dicarboxyl piperidine claim 5 , 3 claim 5 ,5-dicarboxyl piperidine claim 5 , 2- ...

Подробнее
21-01-2016 дата публикации

LIQUID COMPOSITION AND ETCHING METHOD FOR ETCHING SILICON SUBSTRATE

Номер: US20160020113A1
Принадлежит:

An etching method includes etching a silicon substrate with a liquid composition containing an alkaline organic compound, water, and a boron compound with a content in the range of 1% by mass to 14% by mass. The boron compound is at least one of boron sesquioxide, sodium tetraborate, metaboric acid, sodium perborate, sodium borohydride, zinc borate, and ammonium borate.

Подробнее
21-01-2021 дата публикации

Method to increase barrier film removal rate in bulk tungsten slurry

Номер: US20210017421A1
Принадлежит: CMC Materials LLC

The invention relates to a chemical-mechanical polishing composition comprising (a) a first abrasive comprising cationically modified colloidal silica particles, (b) a second abrasive having a Mohs hardness of about 5.5 or more, (c) a cationic polymer, (d) an iron containing activator, (e) an oxidizing agent, and (f) water. The invention also relates to a method of chemically mechanically polishing a substrate, especially a substrate comprising tungsten and barrier layers (e.g., nitrides), with the polishing composition.

Подробнее
17-04-2014 дата публикации

COMPOSITIONS FOR USE IN SEMICONDUCTOR DEVICES

Номер: US20140103251A1
Автор: Yates Donald L.
Принадлежит: MICRON TECHNOLOGY, INC.

An improved composition and method for cleaning a surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of the wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying a fluorine ion component, and the amounts of the fluorine ion component and an acid component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute. The composition can also be foimulated to selectively remove the photoresist layer, leaving the underlying low-k dielectric layer essentially intact. 1. A composition , comprising ammonium fluoride , an organic acid , and a solvent.2. (canceled)3. The composition of claim 1 , wherein the composition has a pH of from about 3 to about 6.4. The composition of claim 1 , wherein the composition has a pH of from about 4 to about 6.5. The composition of claim 1 , wherein the organic acid comprises formic acid claim 1 , acetic acid claim 1 , citric acid claim 1 , ascorbic acid claim 1 , propionic acid claim 1 , butyric acid claim 1 , isobutyric acid claim 1 , benzoic acid claim 1 , gluconic acid claim 1 , malic acid claim 1 , malonic acid claim 1 , succinic acid claim 1 , tartaric acid claim 1 , gallic acid claim 1 , oxalic acid claim 1 , tartaric acid claim 1 , or mixtures thereof.6. The composition of claim 1 , wherein the organic acid comprises an aqueous solution comprising from about 20% to about 60% of the organic acid.7. The composition of claim 1 , wherein the composition comprises ammonium fluoride ...

Подробнее
22-01-2015 дата публикации

CMP SLURRY COMPOSITION FOR POLISHING AN ORGANIC LAYER AND METHOD OF FORMING A SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20150021513A1
Принадлежит:

A chemical mechanical polishing (CMP) slurry composition for polishing an organic layer and a method of forming a semiconductor device using the same are disclosed. The CMP slurry composition may include from 0.001% to 5% by weight of oxide-polishing particles; from 0.1% to 5% by weight of an oxidant; from 0% to 5% by weight of a polishing regulator; from 0% to 3% by weight of a surfactant; from 0% to 3% by weight of a pH regulator; and from 79% to 99.889% by weight of deionized water. The use of the CMP slurry composition makes it possible to allow a silicon-free organic layer to be polished with a selectivity higher than 6:1 with respect to an oxide layer. 1. A chemical mechanical polishing (CMP) slurry composition for polishing a layer , the composition comprising:from about 0.001% to about 5% by weight of oxide-polishing particles;from about 0.1% to about 5% by weight of an oxidant;from 0% to about 5% by weight of a polishing regulator;from 0% to about 3% by weight of a surfactant;from 0% to about 3% by weight of a pH regulator; andfrom about 79% to about 99.889% by weight of deionized water.2. The CMP slurry composition of claim 1 , wherein the oxide-polishing particles include at least one of silica (SiO) claim 1 , ceria (CeO) claim 1 , and alumina (AlO).3. The CMP slurry composition of claim 1 , wherein the oxide-polishing particles have a grain size ranging from about 30 nm to about 120 nm.4. The CMP slurry composition of claim 1 , wherein the oxidant comprises at least one of hydrogen peroxide claim 1 , superoxide claim 1 , dioxygenyl claim 1 , ozone claim 1 , ozonide claim 1 , peroxide claim 1 , fluorine claim 1 , chlorine claim 1 , chlorite claim 1 , chlorate claim 1 , perchlorate claim 1 , halogen compounds claim 1 , nitric acid claim 1 , nitrate claim 1 , hypochlorite claim 1 , hypohalite claim 1 , chromium trioxide claim 1 , pyridinium chlorochromate claim 1 , chromate claim 1 , dichromate claim 1 , chromium compound claim 1 , potassium permanganate ...

Подробнее
17-04-2014 дата публикации

Method For Etching an Ultra Thin Film

Номер: US20140106566A1

A method for etching an ultra thin film is provided which includes providing a substrate having the ultra thin film formed thereon, patterning a photosensitive layer formed over the ultra thin film, etching the ultra thin film using the patterned photosensitive layer, and removing the patterned photosensitive layer. The etching process includes utilizing an etch material with a diffusion resistant carrier such that the etch material is prevented from diffusing to a region underneath the photosensitive layer and removing portions of the ultra thin film underneath the photosensitive layer. 1. An etch material for etching an ultra thin film , the etch material comprising:an etch chemical that is selected from the group consisting of: an inorganic acid group, an organic acid group, an alkali, an organic alkali, and an alkyl chain; anda carrier solvent for carrying the etch chemical, the carrier solvent being configured as a diffusion resistant carrier solvent.2. The etch material of claim 1 , wherein the inorganic acid group includes one of a nitric acid claim 1 , a sulfuric acid claim 1 , and a hydrochloric acid.3. The etch material of claim 1 , wherein the organic acid group includes one of an oxalic acid claim 1 , a lauric acid claim 1 , a hexanedioic acid claim 1 , and a benzoic acid.4. The etch material of claim 1 , wherein the alkali includes one of a sodium hydroxide claim 1 , a potassium hydroxide claim 1 , and an ammonium cation.5. The etch material of claim 1 , wherein the organic alkali includes tetra-methylammonium hydroxide (TMAH).6. The etch material of claim 1 , wherein the carrier solvent includes glycerine.7. A method comprising:patterning a photosensitive layer formed over a film layer on a substrate; andetching the film layer with the patterned photosensitive layer to form a pattern in the film layer, wherein the etching includes utilizing an etch material with a diffusion resistant carrier so that the etch material is prevented from diffusing to a ...

Подробнее
23-01-2020 дата публикации

Tungsten Chemical Mechanical Polishing For Reduced Oxide Erosion

Номер: US20200024515A1
Принадлежит: Versum Materials US, LLC

This invention pertains to slurries, methods and systems that can be used in chemical mechanical planarization (CMP) of tungsten containing semiconductor device. Using the CMP slurries with additives to counter lowering of pH by tungsten polishing byproducts and maintain pH 4 or higher, the erosion of dense metal (such as tungsten) structures can be greatly diminished. 1. A tungsten chemical mechanical polishing (CMP) polishing composition for reduced oxide erosion , comprising:0.001 wt. % to 30 wt. % particles;0.05 wt. % to 10 wt. % at least one oxidizing agent;0.0005 wt. % to 10 wt. % activator;≥0.01 wt. % erosion reducing agent having at least one functional group with pKa equal and greater than (≥) 4;andwater;optionally at least one of:0.0001 wt. % to 5 wt. % pH adjusting agent selected from the group consisting of amines, ammonium hydroxide, nitric acid, phosphoric acid, sulfuric acid, organic acids, salts thereof, and combinations thereof;biocide;surfactants;stabilizing and passivating agents;dispersion agents;chelators;film-forming anticorrosion agents;dishing reducing agents; anda polish enhancement agent;wherein pH of the chemical mechanical polishing (CMP) polishing composition 1 hour after addition of 0.5 gm of tungsten to 100 gm of the polishing composition is equal and greater than (≥) 4.2. The tungsten chemical mechanical polishing (CMP) polishing composition of wherein the erosion reducing agent has a concentration of ≥0.05 wt. % and has at least two functional groups with pKa equal and greater than (≥)4.3. The tungsten chemical mechanical polishing (CMP) polishing composition of wherein the erosion reducing agent has a concentration of ≥0.05 wt. % claim 1 , and pH of the chemical mechanical polishing (CMP) polishing composition 1 hour after addition of 0.5 gm of tungsten to 100 gm of the polishing composition is equal and greater than (≥)5.4. The tungsten chemical mechanical polishing (CMP) polishing composition of claim 1 , wherein the erosion ...

Подробнее
23-01-2020 дата публикации

METHOD FOR REMOVING ROUGING FROM STAINLESS STEEL

Номер: US20200024751A1
Принадлежит: TECHNOCHIM SA

The present invention is in the field of chemical cleaning and surface treatments for a stainless steel substrate. In particular, the present invention provides a method, kit and use of specific solutions for removing and preferably preventing the formation of rouging (e.g. class I, II and/or III) on a stainless steel substrate, which may be used as processing station or production unit. 1. A method for removing and/or preventing rouge formation on stainless steel , the method comprising the steps of separately contacting a stainless steel substrate with each of the following solutions:an alkaline oxidizing solution comprising permanganate and/or bromate compound, or any of the corresponding salts as an oxidizing agent;a neutral or alkaline reducing solution comprising dithionite, sulphite, bisulphite, disulfite, any of the corresponding salts and/or a combination thereof as a reducing agent; and,{'sup': −3', '−1', '−5', '−5', '2+, 'an acidic reducing solution comprising at least 5.0×10to at most 1.0×10mol/l of complexing anions and at least 1.35×10to at most 9.0×10mol/l of Fe.'}2. The method of claim 1 , wherein the method comprises the steps of successively contacting a stainless steel substrate with the following solutions:(i) first, an alkaline oxidizing solution comprising a permanganate and/or bromate compound or any of the corresponding salts as an oxidizing agent;(ii) second, a neutral or alkaline reducing solution comprising dithionite, sulphite, bisulphite, disulfite or any of the corresponding salts and/or a combination thereof as a reducing agent; and,{'sup': −3', '−1', '−5', '−5', '2+, '(iii) third, an acidic reducing solution comprising at least 5.0×10to at most 1.0×10mol/l of complexing anions and at least 1.35×10to at most 9.0×10mol/l of Fe.'}3. The method of claim 1 , wherein the method comprises the steps of successively contacting a stainless steel substrate with the following solutions:(i) first, an alkaline oxidizing solution comprising a ...

Подробнее
29-01-2015 дата публикации

COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE

Номер: US20150027978A1
Принадлежит: ADVANCED TECHNOLOGY MATERIALS, INC.

Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity. 119-. (canceled)20. A composition for selectively removing titanium nitride and/or photoresist etch residue material from the surface of a microelectronic device having same thereon , said composition comprising at least one oxidizing agent , at least one etchant , at least one metal corrosion inhibitor , and at least one solvent.21. The composition of claim 20 , wherein the etchant comprises a species selected from the group consisting of HZrF claim 20 , HTiF claim 20 , HPF claim 20 , HF claim 20 , ammonium fluoride claim 20 , tetrafluoroboric acid claim 20 , hexafluorosilicic acid claim 20 , tetrabutylammonium tetrafluoroborate (TBA-BF) claim 20 , ammonium hexafluorosilicate claim 20 , ammonium hexafluorotitanate claim 20 , tetramethylammonium hydroxide (TMAH) claim 20 , tetraethylammonium hydroxide (TEAH) claim 20 , tetrapropylammonium hydroxide (TPAH) claim 20 , tetrabutylammonium hydroxide (TBAH) claim 20 , benzyltrimethylammonium hydroxide (BTMAH) claim 20 , potassium hydroxide claim 20 , ammonium hydroxide claim 20 , benzyltriethylammonium hydroxide (BTEAH) claim 20 , tetrabutylphosphonium hydroxide (TBPH) claim 20 , (2-hydroxyethyl)trimethylammonium hydroxide claim 20 , (2-hydroxyethyl)triethylammonium hydroxide claim 20 , (2-hydroxyethyl)tripropylammonium hydroxide claim 20 , (1-hydroxypropyl)trimethylammonium hydroxide claim 20 , ethyltrimethylammonium hydroxide claim 20 , diethyldimethylammonium hydroxide (DEDMAH) claim 20 , 1 claim 20 ,1 claim 20 ,3 claim 20 ,3-tetramethylguanidine (TMG) claim 20 , guanidine carbonate claim 20 , arginine claim 20 , ammonium hydroxide claim 20 , monoethanolamine ( ...

Подробнее
24-01-2019 дата публикации

PLASMA ETCHING METHOD

Номер: US20190027368A1
Автор: MATSUURA Go
Принадлежит: ZEON CORPORATION

A plasma etching method uses, as a processing gas, a mixed gas of at least one fluorocarbon gas and at least one hydrofluoroether gas represented by chemical formula (I). 2. The plasma etching method according to claim 1 , whereina mixing ratio of the hydrofluoroether gas in the processing gas is at least 1 part by volume and not more than 100 parts by volume relative to 100 parts by volume of the fluorocarbon gas.3. The plasma etching method according to claim 1 , wherein{'sub': 2', '6', '3', '6', '3', '8', '4', '6', '4', '8', '5', '8, 'the fluorocarbon gas is a gas of a compound represented by a compositional formula CF, CF, CF, CF, CF, or CF.'}4. The plasma etching method according to claim 1 , wherein{'sub': 4', '3', '7, 'the hydrofluoroether gas is a gas of a compound represented by a compositional formula CHFO.'} The present disclosure relates to a plasma etching method and, in particular, relates to a method for selectively plasma etching a silicon oxide film.In semiconductor device manufacturing, plasma etching using a processing gas may be carried out in fine processing of a thin film formed on a workpiece. The thin film may, for example, be a silicon compound film such as a silicon nitride film or a silicon oxide film, or may be an organic film having carbon as a main component that is formed from amorphous carbon, a photoresist composition, or the like. Of these examples, in a case in which a silicon oxide film is an etching processing target, it is necessary to selectively etch the processing target silicon oxide film relative to a non-processing target film formed on the same workpiece, such as a silicon nitride film or an organic film. In other words, it is necessary to increase the selectivity in etching.For this reason, various processing gases for plasma etching have previously been proposed with the aim of sufficiently increasing selectively in etching, and enabling sufficiently selective and efficient etching of a processing target (for example, ...

Подробнее
24-01-2019 дата публикации

Chamber Cleaning and Semiconductor Etching Gases

Номер: US20190027375A1
Принадлежит:

The present invention relates to fluoroolefin compositions useful as gases for CVD semiconductor manufacture, particularly for etching applications including methods for removing surface deposits from the interior of a chemical vapor deposition chamber by using an activated gas mixture, and methods for etching the surface of a semiconductor. 15-. (canceled)6. A method of operation of a semiconductor manufacturing process chamber , comprising etching a film on a semiconductor using an etch gas comprising a first fluoroolefin which is 1 ,1 ,1 ,4 ,4 ,4-hexafluoro-2-butyne.7. The method of claim 6 , wherein the step of etching a film further comprises claim 6 ,transferring a photomask to the semiconductor to create a masked surface and an exposed surface,forming a plasma of said etch gas, andexposing the exposed surface of the semiconductor to the plasma to remove portions of the exposed surface of the semiconductor to form an etched surface of the semiconductor.8. The method of claim 7 , wherein the method further comprises the steps of forming a second etch gas claim 7 , activating the second etch gas to form a second plasma claim 7 , depositing the second plasma on the etched surface to form a polymer layer on the etched surface of the semiconductor.910-. (canceled)11. The method of wherein the surface film is selected from the group consisting of silicon oxide claim 7 , gallium nitride claim 7 , silicon nitride claim 7 , silicon oxynitride claim 7 , silicon carbonitride claim 7 , tungsten nitride claim 7 , titanium nitride claim 7 , and tantalum nitride.12. The method of claim 6 , wherein step of forming a plasma from the etch gas is performed in a remote chamber or in the process chamber.13. The method of claim 6 , wherein the gas mixture further comprises oxygen in molar ratio of oxygen:fluoroolefin that is at least about 1:1.14. The method of claim 12 , wherein the pressure in the process chamber is no more than 30 torr.15. The method of claim 12 , wherein the ...

Подробнее
01-02-2018 дата публикации

Etching method, method of manufacturing semiconductor chip, and method of manufacturing article

Номер: US20180033634A1
Принадлежит: Toshiba Corp

An etching method according to an embodiment includes forming a catalyst layer made of a first noble metal or the combination of the second noble metal and the metal other than noble metals on a surface made of a semiconductor, the catalyst layer including a first portion and a second portion, the first portion covering at least a part of the surface, the second portion being located on the first portion, having an apparent density lower than that of the first portion, and being thicker than the first portion; and supplying an etchant to the catalyst layer to cause an etching of the surface with an assist from the catalyst layer as a catalyst.

Подробнее
11-02-2016 дата публикации

Etching liquid, etching method, and method of manufacturing solder bump

Номер: US20160042993A1
Принадлежит: Ebara Corp

An etching liquid which can selectively remove only a copper layer in an etching process of a multilayer structure including a cobalt layer and the copper layer is disclosed. The etching liquid is an etching liquid for etching the copper layer in the multilayer structure including the copper layer and the cobalt layer. This etching liquid includes at least one acid selected from a group consisting of citric acid, oxalic acid, malic acid, and malonic acid, and hydrogen peroxide, the etching liquid having pH in a range of 4.3 to 5.5.

Подробнее
06-02-2020 дата публикации

Tungsten Chemical Mechanical Planarization (CMP) With Low Dishing And Low Erosion Topography

Номер: US20200040256A1
Принадлежит: Versum Materials US, LLC

This invention pertains to compositions, methods and systems that can be used in chemical mechanical planarization (CMP) of a tungsten containing semiconductor device. CMP slurries comprising bicyclic amidine additives provide low dishing and low erosion topography. 2. The CMP composition of claim 1 , wherein the amidine compound comprises at least one pKa greater than 9.3. The CMP composition of claim 1 , wherein the CMP composition comprises a pH ranging from 1 through 7.4. The CMP composition of claim 1 , wherein the CMP composition comprises a pH ranging from 2 through 5.5. The CMP composition of claim 1 , wherein the abrasive comprises at least one of: colloidal silica; fumed silica; alumina; titania; ceria; zirconia; surface modified particles selected from the group consisting of activator-containing particles claim 1 , composite particles claim 1 , lattice doped and inorganic oxide particles; and cationic or anionic charge-modified particles.6. The CMP composition of claim 1 , wherein the oxidizing agent comprises at least one of: hydrogen peroxide; urea peroxide; peroxyformic acid; peracetic acid; propaneperoxoic acid; substituted or unsubstituted butaneperoxoic acid; hydroperoxy-acetaldehyde; potassium periodate; ammonium peroxymonosulfate; and ferric nitrite; KClO; KBrO; and KMnO.7. The CMP composition of claim 1 , wherein the amidine compound comprises a heterocyclic carbon ring.8. The CMP composition of claim 1 , wherein the amidine compound is a bicyclic amidine compound or hydrolyzed derivative.9. The CMP composition of claim 8 , wherein the amidine compound has a positive charge distribution.10. The CMP composition of claim 8 , wherein the bicyclic amidine compound comprises at least one of: 2-phenyl-2-imidazoline; 1 claim 8 ,8-Diazabicyclo[5.4.0]undec-7-ene; 1 claim 8 ,8-Diazabicyclo[5.4.0]undec-7-ene solution; 1 claim 8 ,5-Diazabicyclo[4.3.0]non-5-ene; 1 claim 8 ,5 claim 8 ,7-Triazabicyclo[4.4.0]dec-5-ene; 7-Methyl-1 claim 8 ,5 claim 8 ,7- ...

Подробнее
01-05-2014 дата публикации

TREATMENT OF SHAPED BODIES COMPRISING COPPER WITH A MIXTURE COMPRISING CHLORINE-FREE ACIDS AND OXIDIZING AGENT

Номер: US20140121145A1
Принадлежит: BASF SE

A process for treatment of shaped bodies comprising copper, wherein an aqueous mixture (M) comprising (a.) chlorine-free acids without carboxyl groups, (b.) oxidizing agents, (c.) aqueous solvent and optionally additional additives is contacted with the shaped body. Another characteristic feature of the process is that the aqueous mixture (M) after the etching or pickling additionally comprises (e.) dissolved copper and is separated from the solid. Also encompassed is a process for workup of the aqueous mixture (M) which has been separated and additionally comprises dissolved copper by electrolysis. Further provided are mixtures (MI) comprising (a.) from 10 to 40% by weight of methanesulfonic acid, (b.) from 10 to 20% by weight of hydrogen peroxide and (c.) from 40 to 80% by weight of water, and the use thereof for etching or pickling of shaped bodies comprising copper. 1. A process for treating a shaped body , the process comprising: contacting an aqueous mixture with the shaped body ,wherein:the shaped body comprises copper, andthe aqueous mixture comprises(a) a chlorine-free acid without carboxyl groups,(b) an oxidizing agent, and(c) an aqueous solvent.2. The process according to claim 1 , wherein the aqueous mixture further comprises(d) an additional additive.3. The process according to claim 1 , wherein the aqueous mixture comprisesfrom 10 to 40% by weight of the chlorine-free acid without carboxyl groups (a),from 1 to 50% by weight of the oxidizing agent (b),from 10 to 89% by weight of the aqueous solvent (c), andfrom 0 to 10% by weight of an additional additives (d),based on a total amount of components (a), (b), (c), and (d).4. The process according to claim 1 , wherein the aqueous mixture has a pH of from −0.5 to 5.5. The process according to claim 1 , wherein the copper is present at least partly on at least one surface of the shaped body and is contacted with the aqueous mixture.6. The process according to claim 1 , wherein the shaped body is a printed ...

Подробнее
18-02-2016 дата публикации

Method for Selective Oxide Removal

Номер: US20160049310A1
Принадлежит: IMEC VZW

A method for removing oxide selective to a material comprising at least silicon and at least nitrogen is disclosed, the method comprising providing in a reactor a structure having a surface comprising a region, wherein said region comprises a material comprising at least silicon and at least nitrogen, providing on said structure an oxide layer overlying at least a part of said region, and removing said oxide layer selective to said material by etching, thereby exposing at least a part of said at least overlaid part of said region, wherein said etching is done only by providing an etchant gas comprising boron, whereby a voltage bias lower than 30 V is applied to the structure. 1. A method for removing oxide selectively to a material comprising at least silicon and at least nitrogen , the method comprising:(a) providing in a reactor a structure having a surface comprising a region, wherein said region comprises a material comprising at least silicon and at least nitrogen;(b) providing on said structure an oxide layer overlying at least a part of said region; and(c) removing said oxide layer selective to said material by etching, thereby exposing at least a part of said at least overlaid part of said region, wherein said etching is performed with an etchant gas comprising boron while a voltage bias lower than 30 V is applied to the structure.2. The method according to claim 1 , wherein said etchant gas consists of a mixture of BCland Cl.3. The method according to claim 1 , wherein said material is selected from a group consisting of SiON claim 1 , SiCN and SiN.4. The method according to claim 1 , wherein said structure is a semiconductor structure.5. The method according to claim 4 , wherein said removing said oxide layer comprises creating a vertical opening through said oxide layer.6. The method according to claim 5 , wherein said vertical opening is a contact hole.7. The method according to claim 6 , wherein said contact hole further exposes at least a part of a ...

Подробнее
14-02-2019 дата публикации

Method for Purifying Fluorine Gas

Номер: US20190047858A1
Принадлежит: CENTRAL GLASS COMPANY, LIMITED

Disclosed is a purification method for removing a metal component from a fluorine gas containing hydrogen fluoride and a metal component. This method includes a removing step for removing the hydrogen fluoride and the metal component therefrom by bringing the fluorine gas into contact with a solid metal fluoride to adsorb the hydrogen fluoride and the metal component on the metal fluoride. The content of the hydrogen fluoride in the fluorine gas before the removing step is 50 volume ppm to 1 volume %, relative to the total volume of the fluorine gas, the hydrogen fluoride and the metal component. The metal fluoride is preferably an alkali metal fluoride or an alkali earth metal fluoride. Surprisingly, the presence of hydrogen fluoride in a fluorine gas makes it possible to remove a metal component therefrom as an impurity as a result of adsorption thereof by a metal fluoride. 1. A method for purifying a fluorine gas by removing a metal component from a fluorine gas that contains hydrogen fluoride and the metal component , the method comprising the step of:removing the hydrogen fluoride and the metal component by bringing the fluorine gas into contact with a solid metal fluoride to adsorb the hydrogen fluoride and the metal component on the metal fluoride,wherein the content of the hydrogen fluoride in the fluorine gas before the removing step is 50 volume ppm or greater to 1 volume % or less, relative to a total volume of the fluorine gas, the hydrogen fluoride and the metal component.2. The method for purifying the fluorine gas according to claim 1 , wherein before the removing step claim 1 , a concentration adjusting step is performed for adjusting a content of the hydrogen fluoride contained in the fluorine gas to be 50 volume ppm or greater to 1 volume % or less claim 1 , relative to a total volume of the fluorine gas claim 1 , the hydrogen fluoride and the metal component.3. The method for purifying the fluorine gas according to claim 2 , wherein the ...

Подробнее
25-02-2021 дата публикации

SUBSTRATE PROCESSING GAS, STORAGE CONTAINER, AND SUBSTRATE PROCESSING METHOD

Номер: US20210054275A1
Принадлежит: CENTRAL GLASS COMPANY, LIMITED

A substrate processing gas of the present invention contains IF; and IF, in which a content of the IFis equal to or more than 1 ppm and equal to or less than 2% on a volume basis with respect to a total amount of the IFand the IF. 1. A substrate processing gas comprising:{'sub': '5', 'IF; and'}{'sub': '7', 'IF,'}{'sub': 5', '5', '7, 'wherein a content of the IFis equal to or more than 1 ppm or more and equal to or less than 2% on a volume basis with respect to a total amount of the IFand the IF.'}2. The substrate processing gas according to claim 1 ,{'sub': 5', '5', '7, 'wherein the content of the IFis equal to or less than 1% on a volume basis with respect to the total amount of IFand IF.'}3. The substrate processing gas according to claim 1 ,{'sub': '7', 'wherein a content of the IFis equal to or more than 50% on a volume basis with respect to an entirety of the substrate processing gas.'}4. The substrate processing gas according to claim 1 , further comprising:one or more metals selected from the group consisting of Fe, Cr, Mn, Co, Ti, Mo, Cu, and Ni, wherein a content of each of the metals contained is equal to or less than 100 ppb on a mass basis, with respect to an entirety of the substrate processing gas.5. The substrate processing gas according to claim 1 ,wherein the substrate processing gas is used as an etching gas.6. A storage container filled with the substrate processing gas according to .7. A substrate processing method comprising: dry-etching silicon without plasma claim 1 , using the substrate processing gas according to .8. The substrate processing method according to claim 7 ,wherein in a case where the dry-etching of the silicon is performed 10 times,an average value of etching rates is equal to or more than 100 nm/min, anda standard deviation of the etching rates is equal to or less than 10.9. The substrate processing gas according to claim 2 ,{'sub': '7', 'wherein the content of the ifs is equal to or more than 10 ppm on a volume basis with ...

Подробнее
13-02-2020 дата публикации

PLASMA ETCHING METHOD

Номер: US20200048550A1

Provided is a plasma etching method comprising supplying heptafluoropropyl methyl ether (HFE) gas, argon (Ar) gas and oxygen (O) gas to a plasma chamber receiving an etching target therein, thereby to plasma-etch the etching target. 1. A plasma etching method comprising supplying heptafluoropropyl methyl ether (HFE) gas , argon (Ar) gas , and oxygen (O) gas to a plasma chamber receiving an etching target therein , thereby to plasma-etch the etching target.2. The plasma etching method of claim 1 , wherein the heptafluoropropyl methyl ether gas and the argon gas are supplied at a flow rate ratio in a range of 1:2 to 1:4.3. The plasma etching method of claim 2 , wherein the heptafluoropropyl methyl ether gas and the argon gas are supplied at a flow rate ratio of 1:2.4. The plasma etching method of claim 1 , wherein the heptafluoropropyl methyl ether gas and the oxygen gas are supplied at a flow rate ratio in a range of 9:1 to 8:2.5. The plasma etching method of claim 4 , wherein the heptafluoropropyl methyl ether gas and the oxygen gas are supplied at a flow rate ratio of 8:2.6. The plasma etching method of claim 1 , wherein the etching target includes silicon oxide.7. The plasma etching method of claim 1 , wherein the etching target includes silicon oxide claim 1 , wherein a hole pattern mask is disposed on the etching target claim 1 , wherein the plasma etching allows the etching target to have a high aspect ratio etched structure. This application claims a benefit under 35 U.S.C. § 119(a) of Korean Patent Application No. 10-2018-0094281 filed on Aug. 13, 2018, on the Korean Intellectual Property Office, the entire disclosure of which is incorporated herein by reference for all purposes.The present disclosure relates to a plasma etching method using an etchant with a low global warming potential.There is an increasing demand for a structure having a high aspect ratio due to high density of integrated circuits and miniaturization of devices in semiconductor devices. ...

Подробнее
13-02-2020 дата публикации

Chemical Mechanical Planarization Composition For Polishing Oxide Materials And Method Of Use Thereof

Номер: US20200048551A1
Принадлежит: Versum Materials US, LLC

Polishing compositions comprising ceria coated silica particles and organic acids having one selected from the group consisting of sulfonic acid group, phosphonic acid group, pyridine compound, and combinations thereof, with pH between 5 and 10 and electrical conductivity between 0.2 and 10 millisiemens per centimeter provide very high silicon oxide removal rates for advanced semiconductor device manufacturing. 1. A chemical mechanical planarization (CMP) composition for polishing oxide material comprising:an abrasive selected from the group consisting of inorganic oxide particles, doped inorganic oxide particles, surface coated composite inorganic oxide particles, organic polymer particles, inorganic oxide coated organic polymer particles, and combinations thereof;a removal rate accelerator; anda solvent;wherein the composition further comprises a pH greater than 5.2. The CMP composition of claim 1 , wherein the abrasive is selected from the group consisting of cerium oxide (ceria) claim 1 , aluminum oxide claim 1 , zirconium oxide claim 1 , zirconium silicate claim 1 , tin oxide claim 1 , silicon dioxide claim 1 , titanium oxide claim 1 , germanium oxide claim 1 , vanadium oxide claim 1 , doped inorganic oxide claim 1 , composite inorganic oxide and combinations thereof.3. The CMP composition in claim 2 , wherein the abrasive comprises cerium oxide selected from the group consisting of calcined ceria claim 2 , colloidal ceria claim 2 , ceria coated silica particles claim 2 , and combinations thereof.4. The CMP composition of claim 3 , wherein the abrasive comprises ceria coated silica particles claim 3 , the ceria coated silica particles comprising an amorphous silica core particle coated with crystalline ceria nanoparticles.5. The CMP composition of claim 4 , wherein the crystalline ceria nanoparticles comprise a single crystal.6. The CMP composition of claim 4 , wherein a weight ratio of the ceria nanoparticles to the amorphous silica core particle is 0.01 to 1. ...

Подробнее
10-03-2022 дата публикации

SILICON ETCHANT COMPOSITION, PATTERN FORMATION METHOD AND MANUFACTURING METHOD OF ARRAY SUBSTRATE USING THE ETCHANT COMPOSITION, AND ARRAY SUBSTRATE MANUFACTURED THEREFROM

Номер: US20220073819A1
Принадлежит:

The present disclosure relates to a silicon etchant composition comprising (A) an alkaline compound, (B) a metal salt, and (C) water, a pattern formation method and a manufacturing method of an array substrate using the silicon etchant composition, and an array substrate manufactured therefrom. 1. A silicon etchant composition , comprising (A) an alkaline compound , (B) a metal salt , and (C) water.2. The silicon etchant composition of claim 1 , wherein the silicon etchant composition comprises(A) 1 to 23% by weight of the alkaline compound, and(B) 0.01 to 1.5% by weight of the metal salt, based on the total weight of the composition.3. The silicon etchant composition of claim 1 , wherein the metal salt is one or more selected from the group consisting of an aluminum (Al) salt claim 1 , an iron (Fe) salt claim 1 , a titanium (Ti) salt claim 1 , a vanadium (V) salt claim 1 , a chromium (Cr) salt claim 1 , a manganese (Mn) salt claim 1 , a cobalt (Co) salt claim 1 , a nickel (Ni) salt claim 1 , a copper (Cu) salt claim 1 , a zinc (Zn) salt claim 1 , a gallium (Ga) salt claim 1 , a zirconium (Zr) salt claim 1 , a niobium (Nb) salt claim 1 , a molybdenum (Mo) salt claim 1 , a technetium (Tc) salt claim 1 , a ruthenium (Ru) salt claim 1 , a rhodium (Rh) salt claim 1 , a palladium (Pd) salt claim 1 , a silver (Ag) salt claim 1 , a cadmium (Cd) salt claim 1 , an indium (In) salt claim 1 , a tin (Sn) salt claim 1 , a hafnium (Hf) salt claim 1 , a tantalum (Ta) salt claim 1 , a tungsten (W) salt claim 1 , a rhenium (Re) salt claim 1 , an osmium (Os) salt claim 1 , an iridium (Ir) salt claim 1 , a platinum (Pt) salt claim 1 , a gold (Au) salt claim 1 , a mercury (Hg) salt claim 1 , a thallium (Tl) salt claim 1 , a lead (Pb) salt claim 1 , a bismuth (Bi) salt claim 1 , a polonium (Po) salt claim 1 , a scandium (Sc) salt claim 1 , and an yttrium (Y) salt.4. The silicon etchant composition of claim 1 , wherein the alkaline compound includes organic hydroxide or inorganic ...

Подробнее
10-03-2022 дата публикации

Onium salt-containing treatment liquid for semiconductor wafers

Номер: US20220073820A1
Принадлежит: Tokuyama Corp

Provided is a treatment liquid for a semiconductor wafer or the like used in a process for forming a semiconductor. Namely a treatment liquid containing (A) a hypochlorite ion, and (B) an alkylammonium salt expressed by the following Formula (1), or the like is provided. (In the Formula, “a” is an integer from 6 to 20; R 1 , R 2 , and R 3 are independently, for example, an alkyl group with a carbon number from 1 to 20; and X − is, for example, a chloride ion.)

Подробнее
13-02-2020 дата публикации

METHOD FOR MANUFACTURING TRANSFER FILM INCLUDING SEED LAYER, METHOD FOR MANUFACTURING CIRCUIT BOARD BY SELECTIVELY ETCHING SEED LAYER, AND ETCHING SOLUTION COMPOSITE

Номер: US20200053881A1
Принадлежит: INKTEC CO., LTD.

The disclosure relates to a method for manufacturing a transfer film including an electrode layer, the method comprising: an electrode layer formation step of forming an electrode layer on a carrier member by using a conductive material; a placement step of placing the carrier member on at least one side of an insulating resin layer respectively; a bonding step of bonding the carrier member and the insulating resin layer together by applying pressure thereto; and a transfer step of removing the carrier member to transfer the electrode layer on the insulating resin layer. 1. A method of manufacturing a transfer film comprising a seed layer , the method comprising:a seed-layer forming step of forming a seed layer with a first conductive material on a carrier member;a placing step of placing the seed layer on at least one side of an insulating resin layer;a bonding step of pressing and bonding the seed layer and the insulating resin layer in a thickness direction; anda transfer step of removing the carrier member to transfer the seed layer to the insulating resin layer.2. The method according to claim 1 , wherein the carrier member comprises a smooth surface.3. The method according to claim 2 , wherein the first conductive material comprises silver (Ag) claim 2 , a silver alloy claim 2 , or a silver compound.4. The method according to claim 1 , wherein the insulating resin layer comprises a prepreg sheet claim 1 , a bonding sheet claim 1 , or a hot-melt thermosetting resin.5. The method according to claim 4 , wherein the bonding step comprises performing a hot-press process to bond the insulating resin layer to the seed layer.6. The method according to claim 1 , wherein bonding force between the carrier member and the seed layer is weaker than bonding force between the insulating resin layer and the seed layer.7. The method according to claim 1 , further comprising a thermosetting-resin layer forming step of forming a thermosetting resin layer on the seed layer before ...

Подробнее
15-05-2014 дата публикации

AQUEOUS ALKALINE COMPOSITIONS AND METHOD FOR TREATING THE SURFACE OF SILICON SUBSTRATES

Номер: US20140134778A1
Автор: Ferstl Berthold
Принадлежит: BASF SE

An aqueous alkaline composition for treating the surface of silicon substrates, the said composition comprising: (A) a quaternary ammonium hydroxide; and (B) a component selected from the group consisting of water-soluble acids and their water-soluble salts of the general formulas (I) to (V): (R—S0)nXn+(I), R—P02-(Xn+)(II); (RO—S03-)nXn+(III), RO—P02-(X+)(IV), and [(RO)P0-]X(V); wherein the n=1 or 2; X is hydrogen, ammonium, or alkaline or alkaline-earth metal; the variable R1 is an olefmically unsaturated aliphatic or cycloaliphatic moiety and R is R1 or an alkylaryl moiety; and (C) a buffer system, wherein at least one component other than water is volatile; the use of the composition for treating silicon substrates, a method for treating the surface of silicon substrates, and methods for manufacturing devices generating electricity upon the exposure to electromagnetic radiation. 1. An aqueous alkaline composition comprising:(A) a quaternary ammonium hydroxide; [ {'br': None, 'sup': 1', '−', 'n+, 'sub': 3', 'n, '(R—SO)X\u2003\u2003(I),'}, '(b1) a water-soluble sulfonic acid and a water-soluble salt thereof of formula (I), {'br': None, 'sub': 3', '3-n, 'sup': 2−', 'n+, 'R—PO(X)\u2003\u2003(II),'}, '(b2) a water-soluble phosphonic acid and a water-soluble salt thereof of formula (II), {'br': None, 'sub': 3', 'n, 'sup': −', 'n+, '(RO—SO)X\u2003\u2003(III),'}, '(b3) a water-soluble sulfuric acid ester and a water-soluble salt thereof of formula (III), {'br': None, 'sub': 3', '3-n, 'sup': 2−', 'n+, 'RO—PO(X)\u2003\u2003(IV), and'}, '(b4) a water-soluble phosphoric acid ester and a water-soluble salt thereof of formula (IV), {'br': None, 'sub': 2', '2', 'n, 'sup': −', 'n+, '[(RO)PO]X\u2003\u2003(V);'}, '(b5) a water-soluble phosphoric acid ester and a water-soluble salt thereof of formula (V)], '(B) at least one component selected from the group consisting of'}whereinn is 1 or 2;X is selected from the group consisting of hydrogen, ammonium, an alkaline metal and an ...

Подробнее
21-02-2019 дата публикации

Dry etching composition and dry etching composition-filled container

Номер: US20190055469A1
Автор: Munehiro HYAKUTAKE
Принадлежит: Zeon Corp

The objective is to inhibit fluorinated saturated hydrocarbon decomposition during storage or use of a dry etching composition containing a fluorinated saturated hydrocarbon in high concentration. A dry etching composition contains 99 volume % or more of a fluorinated saturated hydrocarbon and further contains an amine compound. The dry etching composition is preferably an azeotropic composition or an azeotrope-like composition.

Подробнее
21-02-2019 дата публикации

Gas composition for dry etching and dry etching method

Номер: US20190057878A1
Принадлежит: Kanto Denka Kogyo Co Ltd

A silicon oxide film or a silicon nitride film is selectively etched by using an etching gas composition including a hydrofluorocarbon that has an unsaturated bond in its molecule and is represented by CxHyFz, wherein x is an integer of from 3 to 5, and relationships y+z≤2x and y≤z are satisfied. Also, a silicon oxide film is etched with high selectivity relative to a silicon nitride film by controlling the ratio among the hydrofluorocarbon, oxygen, argon, etc., included in the hydrofluorocarbon-containing etching gas composition.

Подробнее
17-03-2022 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20220084835A1
Принадлежит: Kioxia Corporation

A plasma etching method according to an embodiment is a method for etching a silicon-containing film by using plasma of a fluorocarbon gas. The fluorocarbon gas includes at least one selected from a first fluorocarbon which has a main chain of six or more carbons bonded in a linear manner, the main chain having a structure of single bond and double bond alternately joined, a second fluorocarbon which has a main chain of six or more carbons bonded in a linear manner, the main chain having a structure of single bond and triple bond alternately joined, and a third fluorocarbon which has a main chain of five or more carbons bonded in a linear manner, the main chain having a structure which includes double bond and triple bond. 1. A plasma etching method , comprising:etching a silicon-containing film by using plasma of a fluorocarbon gas, whereinthe fluorocarbon gas includes a fluorocarbon which has a main chain of six or more carbons bonded in a linear manner, the main chain having a structure of single bond and double bond alternately joined or single bond and triple bond alternately joined.2. The method according to claim 1 , whereina ratio of carbon to fluorine of the fluorocarbon is equal to or larger than 0.75.3. The method according to claim 1 , whereinthe fluorocarbon gas includes the fluorocarbon which has the main chain of six or more carbons bonded in the linear manner, the main chain having the structure of single bond and double bond alternately joined, and{'sub': x1', 'y1, 'b': 1', '1', '1', '1', '1, 'the fluorocarbon has, regarding carbon and fluorine, a composition represented by a general formula: CF, wherein x and y are numbers satisfying x≥6 and y≥x+2.'}5. The method according to claim 1 , whereinthe fluorocarbon gas includes the fluorocarbon which has the main chain of six or more carbons bonded in the linear manner, the main chain having the structure of single bond and triple bond alternately joined, and{'sub': x2', '2, 'b': 2', '2', '2', '2, 'the ...

Подробнее
11-03-2021 дата публикации

ETCHING COMPOSITION

Номер: US20210071078A1
Принадлежит:

This disclosure relates to etching compositions containing 1) at least one oxidizing agent; 2) at least one chelating agent; 3) at least one organic solvent; 4) at least one amine compound; and 5) water. 1. An etching composition , comprising:1) at least one oxidizing agent in an amount of from about 0.1% to about 30% by weight of the composition;2) at least one chelating agent in an amount of from about 0.01% to about 1% by weight of the composition;3) at least one organic solvent in an amount of from about 1% to about 30% by weight of the composition;4) at least one amine compound comprising a diamine, an alkanolamine, or a quaternary ammonium compound, the at least one amine compound comprising 1 to 6 carbon atoms and being in an amount of from about 0.1% to about 5% by weight of the composition; and5) water;wherein the composition has a pH from about 6.5 to about 9.5.2. The composition of claim 1 , wherein the composition has a pH from about 7 to about 9.5.3. The composition of claim 1 , wherein the at least one oxidizing agent comprises hydrogen peroxide.4. The composition of claim 1 , wherein the at least one oxidizing agent is in an amount of from about 1% to about 18% by weight of the composition.5. The composition of claim 1 , wherein the at least one chelating agent comprises polyaminopolycarboxylic acid.6. The composition of claim 5 , wherein the polyaminopolycarboxylic acid is selected from the group consisting of mono- or polyalkylene polyamine polycarboxylic acids claim 5 , polyaminoalkane polycarboxylic acids claim 5 , polyaminoalkanol polycarboxylic acids claim 5 , and hydroxyalkylether polyamine polycarboxylic acids.7. The composition of claim 6 , wherein the polyaminopolycarboxylic acid is selected from the group consisting of butylenediaminetetraacetic acid claim 6 , diethylenetriaminepentaacetic acid claim 6 , ethylenediaminetetrapropionic acid claim 6 , triethylenetetraminehexaacetic acid claim 6 , 1 claim 6 ,3-diamino-2-hydroxypropane-N claim 6 ...

Подробнее
19-03-2015 дата публикации

METAL OXIDE ETCHING SOLUTION AND AN ETCHING METHOD

Номер: US20150075850A1
Принадлежит: Kanto Kagaku Kabushiki Kaisha

The object of the present invention is to provide an etching solution composition for etching a metal oxide containing In and a metal oxide containing Zn and In used as a transparent electrode or an oxide semiconductor of an electronic device such as a semiconductor element or a flat panel display (FPD), the etching solution composition being controllable to give a practical etching rate, having high dissolving power toward Zn, and enabling a long solution life due to suppressed variation of the formulation during use. The object is solved by an etching solution composition that enables microfabrication to be carried out for a metal oxide containing In and a metal oxide containing Zn and In used as a transparent electrode or an oxide semiconductor of an electronic device such as a semiconductor element or an FPD, the composition containing water and at least one type of acid, excluding hydrohalic acids, perhalic acids, etc., having an acid dissociation constant pKaat 25° C. in any dissociation stage of no greater than 2.15, and the composition having a pH at 25° C. of no greater than 4, and an etching method using the etching solution composition. 2. The etching solution composition according to claim 1 , wherein the metal oxide further comprises at least one type of element selected from the group consisting of aluminum claim 1 , gallium claim 1 , and tin.3. The etching solution composition according to claim 1 , wherein the acid is a mineral acid claim 1 , a sulfonic acid claim 1 , or oxalic acid.4. The etching solution composition according to claim 3 , wherein the mineral acid is sulfuric acid claim 3 , sulfamic acid claim 3 , peroxomonosulfuric acid claim 3 , phosphoric acid claim 3 , phosphorous acid claim 3 , hypophosphorous acid claim 3 , or nitric acid.5. The etching solution composition according to claim 3 , wherein the sulfonic acid is methanesulfonic acid claim 3 , ethanesulfonic acid claim 3 , para-toluenesulfonic acid claim 3 , camphorsulfonic acid ...

Подробнее
24-03-2022 дата публикации

Silica-based slurry for selective polishing of carbon-based films

Номер: US20220089908A1
Принадлежит: CMC Materials LLC

The invention provides a chemical-mechanical polishing composition comprising: (a) a silica abrasive, (b) a surfactant, (c) an iron cation, (d) optionally a ligand, and (e) water, wherein the silica abrasive has a negative zeta potential in the chemical-mechanical polishing composition. The invention also provides a method of chemically-mechanically polishing a substrate, especially a substrate comprising a carbon-based film, using said composition.

Подробнее
16-03-2017 дата публикации

METHOD FOR REMOVING TARGET OBJECT

Номер: US20170073579A1
Принадлежит:

There is provided a method for selectively removing a plurality of target objects by using a stripping solution without causing damage to an underlying material, the target objects including a resist used as a mask material for dry etching and a transformed layer and a deposited film formed during the dry etching, the stripping solution including a first composition, a second composition, and a third composition, and the method including continuously changing the composition of the stripping solution from the first composition to the second composition and then from the second composition to the third composition. 1. A method for removing a target object with a stripping solution , the target object including a mask material , a transformed layer , and a deposited film , the mask material being formed on a substrate by using a resist , the transformed layer being formed on a surface of the mask material in a step of forming a pattern on the substrate by dry etching with the mask material , the deposited film being formed on a surface of the substrate and a surface of the transformed layer , andthe stripping solution including:a first composition capable of removing the deposited film,a second composition capable of removing the transformed layer, anda third composition capable of removing the mask material,the method comprising: a substep of removing the deposited film with the stripping solution having the first composition,', 'a substep of continuously changing the composition of the stripping solution from the first composition to the second composition at least prior to the exposure of the transformed layer,', 'a substep of removing the transformed layer with the stripping solution having the second composition,', 'a substep of continuously changing the composition of the stripping solution from the second composition to the third composition with at least the mask material remaining, and', 'a substep of removing the mask material with the stripping solution ...

Подробнее
19-03-2015 дата публикации

METHOD AND SOLUTION FOR CLEANING METAL RESIDUE

Номер: US20150079786A1
Принадлежит:

A solution for processing devices is provided, comprising an activator comprising at least one of pyridine, pyrole, pyrrolidine, pyrimidine, N,N-dimethylformamide, tetraethylamine chloride, 4 pyridinethiol, or other organic compounds with a single N with a lone pair electron activator and an etchant comprising at least one of thionly chloride, Cl, Br, I, SOF, SOF, SOCl, SOBr, SOF, HSOF, or CClO. 1. A solution for processing devices , comprising:an activator comprising at least one of pyridine, pyrrole, pyrrolidine, pyrimidine, N,N-dimethylformamide, tetraethylamine chloride, 4 pyridinethiol, or other organic compounds with a single N with a lone pair electron activator; and{'sub': 2', '2', '2', '2', '2', '4', '2', '2', '2', '2', '6', '2', '3', '2', '4', '2, 'an etchant comprising at least one of thionyl chloride (SOCl), Cl, Br, I, SOF, SOF, SOCl, SOBr, SOF, HSOF, or CClO.'}2. The solution claim 1 , as recited in claim 1 , further comprising a diluent.3. The solution claim 2 , as recited in claim 2 , wherein the diluent comprises at least one of acetonitrile claim 2 , DMSO claim 2 , sulfolane claim 2 , halogenated hydrocarbon solvents claim 2 , alcohols or other inert solvents.4. The solution claim 2 , as recited in claim 2 , wherein the diluent is HO claim 2 , acetone claim 2 , and aldehyde free.5. The solution claim 2 , as recited in claim 2 , wherein the solution is a liquid.6. The solution claim 1 , as recited in claim 1 , wherein the solution is a vapor.7. The solution claim 1 , as recited in claim 1 , wherein the activator comprising at least one of pyridine claim 1 , pyrrole claim 1 , pyrrolidine claim 1 , pyrimidine claim 1 , N claim 1 ,N-dimethylformamide claim 1 , tetraethylamine chloride claim 1 , or 4 pyridinethiol.8. The solution claim 1 , as recited in claim 1 , wherein the etchant comprises at least one of Cl claim 1 , Br claim 1 , I claim 1 , SOF claim 1 , SOF claim 1 , SOCl claim 1 , SOCl claim 1 , SOBr claim 1 , SOF claim 1 , HSOF or CClO.9. A ...

Подробнее
05-03-2020 дата публикации

SLURRY COMPOSITION FOR CHEMICAL MECHANICAL POLISHING, METHOD OF PREPARING THE SAME, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE BY USING THE SAME

Номер: US20200071613A1
Принадлежит:

Disclosed is a slurry composition for chemical mechanical polishing (CMP) includes, as polishing particles, a complex compound of both fullerenol and alkylammonium hydroxide. The slurry composition, which exhibits excellent polishing properties, may be prepared at low cost in large quantities. Also disclosed is a method of preparing the slurry composition comprising obtaining a mixture of a fullerenol complex compound and unreacted hydrogen peroxide by reacting alkylammonium hydroxide, hydrogen peroxide, and fullerene, removing the unreacted hydrogen peroxide by adding hydrogen peroxide decomposition catalyst particles to the mixture, separating the hydrogen peroxide decomposition catalyst particles from the mixture by filtration, and adding a polishing additive to the mixture. Further disclosed is a method of fabricating a semiconductor device that includes providing a pattern defining a trench, forming a metal material film on the pattern to fill the trench, and performing CMP of the metal material film using the slurry composition. 19.-. (canceled)10. A method of preparing a slurry composition for chemical mechanical polishing (CMP) , the method comprising:obtaining a mixture of a fullerenol complex compound and unreacted hydrogen peroxide by reacting alkylammonium hydroxide, hydrogen peroxide, and fullerene;removing the unreacted hydrogen peroxide by adding hydrogen peroxide decomposition catalyst particles to the mixture;separating the hydrogen peroxide decomposition catalyst particles from the mixture by filtration; andadding a polishing additive to the mixture.11. The method according to claim 10 , wherein the obtaining of the mixture of the fullerenol complex compound and the unreacted hydrogen peroxide is performed by a two-phase reaction.12. The method according to claim 11 , wherein the two-phase reaction comprises bringing a first phase into contact with a second phase claim 11 , the first phase comprising the fullerene dispersed in an organic solvent ...

Подробнее
15-03-2018 дата публикации

Chemistries for tsv/mems/power device etching

Номер: US20180076046A1
Принадлежит: American Air Liquide Inc

Replacement chemistries for the cC 4 F 8 passivation gas in the Bosch etch process and processes for using the same are disclosed. These chemistries have the formula C x H y F z , with 1≦x<7, 1≦y≦13, and 1≦z≦13. The replacement chemistries may reduce RIE lag associated with deep silicon aperture etching.

Подробнее
16-03-2017 дата публикации

Tin pull-back and cleaning composition

Номер: US20170076939A1
Принадлежит: BASF SE

The present invention relates to a novel composition that may be used to control the etching rate of TIN with respect to W, and remove any residues from the surface, e.g. organic or inorganic residues that could contain fluorine (F), which composition comprises a) an aliphatic or aromatic sulfonic acid; b) one or more inhibitor(s); c) an aprotic solvent; d) a glycol ether; and e) water. The present invention also relates to a kit comprising said composition in combination with an oxidant and optionally a stabilizer of the oxidant, and the use thereof.

Подробнее
26-03-2015 дата публикации

ETCHING METHOD, AND METHOD OF PRODUCING SEMICONDUCTOR SUBSTRATE PRODUCT AND SEMICONDUCTOR DEVICE USING THE SAME, AS WELL AS KIT FOR PREPARATION OF ETCHING LIQUID

Номер: US20150087156A1
Принадлежит: FUJIFILM Corporation

A method of etching a semiconductor substrate, having the steps of: preparing an etching liquid by mixing a first liquid with a second liquid to be in the range of pH from 8.5 to 14, the first liquid containing a basic compound, the second liquid containing an oxidizing agent; and then applying the etching liquid to a semiconductor substrate on a timely basis for etching a Ti-containing layer in or on the semiconductor substrate. 1. A method of etching a semiconductor substrate , comprising the steps of:preparing an etching liquid by mixing a first liquid with a second liquid to be in the range of pH from 8.5 to 14, the first liquid containing a basic compound, the second liquid containing an oxidizing agent; and thenapplying the etching liquid to a semiconductor substrate on a timely basis for etching a Ti-containing layer in or on the semiconductor substrate.2. The etching method according to claim 1 ,wherein the first liquid and the second liquid are, respectively, put into flow channels different from each other, the both liquids are then joined at the injunction portion of the flow channels to mix them, and the etching liquid prepared by the mixing is applied to the semiconductor substrate.3. The etching method according to claim 1 ,wherein the first liquid is an aqueous composition of the basic compound having a concentration from 0.1 to 10% by mass and the second liquid is an aqueous composition of the oxidizing agent having a concentration from 1 to 40% by mass.4. The etching method according to claim 1 ,wherein the etching liquid is prepared so that the concentration of the basic compound in the etching liquid is from 0.05 to 10% by mass.5. The etching method according to claim 1 ,wherein the etching liquid is prepared so that the concentration of the oxidizing agent in the etching liquid is from 0.5 to 10% by mass.6. The etching method according to claim 1 ,wherein the etching liquid is applied to a surface of a rotating semiconductor substrate.7. The ...

Подробнее
31-03-2022 дата публикации

POLISHING COMPOSITION, METHOD FOR PRODUCING THE SAME, POLISHING METHOD, AND METHOD FOR PRODUCING SUBSTRATE

Номер: US20220098441A1
Принадлежит:

The present invention provides a means that may achieve a markedly high selectivity ratio and a markedly high effect of reducing the level difference between dissimilar materials while achieving a high polishing speed for a specific material. The present invention relates to a polishing composition containing silica on the surface of which an organic acid is immobilized, and a polyalkylene glycol, wherein the molecular weight distribution of the polyalkylene glycol in terms of polyethylene glycol by gel permeation chromatography (GPC) has two or more peaks within a predetermined molecular weight range, at least one of the peaks is derived from polyethylene glycol, and a pH of the polishing composition is 3 or more and 6 or less. 1. A polishing composition containing silica on a surface of which an organic acid is immobilized and a polyalkylene glycol , whereina molecular weight distribution of the polyalkylene glycol in terms of polyethylene glycol determined by gel permeation chromatography (GPC) has two or more peaks,at least one peak of the molecular weight distribution is a peak of which a peak top molecular weight is 1,000 or more and 6,000 or less,at least one peak of the molecular weight distribution is a peak of which a peak top molecular weight is 100 or more and 800 or less,the polyalkylene glycol contains polyethylene glycol,at least one of the peaks of which a peak top molecular weight is 1,000 or more and 6,000 or less is a peak derived from polyethylene glycol, anda pH of the polishing composition is 3 or more and 6 or less.2. The polishing composition according to claim 1 , whereinat least one of the peaks of which the peak top molecular weight is 100 or more and 800 or less is a peak derived from polyethylene glycol, orthe polyalkylene glycol further contains polypropylene glycol or polybutylene glycol, and at least one of the peaks of which the peak top molecular weight is 100 or more and 800 or less is a peak derived from polypropylene glycol or ...

Подробнее
12-03-2020 дата публикации

Chemical Mechanical Planarization For Tungsten-Containing Substrates

Номер: US20200079976A1
Принадлежит: Versum Materials US, LLC

Chemical mechanical polishing (CMP) compositions, systems and methods of using the compositions for polishing tungsten or tungsten-containing substrates. The compositions comprise nano-sized abrasive; metal compound coated organic polymer particles as solid state catalyst; oxidizer; tungsten corrosion inhibitor; and a water based liquid carrier. 2. The composition of claim 1 , wherein the concentration of the nano-sized abrasive is from 0.1 wt. % to 5.0 wt. %.3. The composition of claim 1 , wherein the concentration of the metal compound coated organic polymer particles as solid state catalyst is from 0.05 wt. % to 1.0.4. The composition of claim 1 , wherein the oxidizer is selected from the group consisting of periodic acid claim 1 , hydrogen peroxide claim 1 , potassium iodate claim 1 , potassium permanganate claim 1 , ammonium persulfate claim 1 , ammonium molybdate claim 1 , ferric nitrate claim 1 , nitric acid claim 1 , potassium nitrate claim 1 , and combinations thereof; the preferred oxidizer is hydrogen peroxide; and concentration of the oxidizer is from 0.5 wt. % to 5.0 wt.5. The composition of claim 1 , wherein the corrosion inhibitor is selected from the group consisting of piperazine and its derivatives claim 1 , linear or branched polyethylemine claim 1 , organic polymeric or oligomeric primary and secondary amines claim 1 , and combinations thereof; the preferred corrosion inhibitor is linear or branched polyethylemine claim 1 , and concentration of the corrosion inhibitor is from 0.00005 wt. % to 0.10 wt. %.6. The composition of claim 1 , wherein composition comprises at least one of:the biocide has active ingredient consisting of 5-chloro-2-methyl-4-isothiazolin-3-one, 2-methyl-4-isothiazolin-3-one, and combinations thereof; and concentration of the biocide is from 0.0005 wt. % to 0.025 wt. %;the pH adjusting agent is selected from the group consisting of nitric acid, hydrochloric acid, sulfuric acid, phosphoric acid, and mixtures thereof for acidic ...

Подробнее
23-03-2017 дата публикации

ELECTRONIC COMPONENT AND METHOD FOR MANUFACTURING THE SAME

Номер: US20170084376A1
Принадлежит: MURATA MANUFACTURING CO., LTD.

An electronic component includes a main body composed of an insulator, a coating film covering the main body, a circuit element located inside the main body, and outer electrodes. The insulator contains a metal magnetic powder. The coating film is composed of a resin and a cationic element contained in the insulator. 1. An electronic component comprising:a main body including an element assembly composed of a metal magnetic powder and an insulating resin and an inner conductor located inside the element assembly;a coating film covering the main body; andouter electrodes connected to the inner conductor,wherein the coating film contains a cation of an element constituting the metal magnetic powder and a resin.2. The electronic component according to claim 1 ,wherein the metal magnetic powder is a powder of Fe or an Fe alloy, andthe inner conductor is Cu or Ag.3. A method for manufacturing an electronic component claim 1 , comprising the steps of:preparing a main body including an element assembly composed of a metal magnetic powder and an insulating resin and an inner conductor located inside the element assembly;preparing a resin emulsion containing an etching component for ionizing a metal constituting the metal magnetic powder, an anionic surfactant, and a resin component;coating the main body with the resin emulsion and performing drying; andforming outer electrodes connected to the inner conductor.4. The method for manufacturing an electronic component according to claim 3 ,wherein the metal magnetic powder is a powder of Fe or an Fe alloy, andthe inner conductor is Cu or Ag.5. The method for manufacturing an electronic component according to claim 3 , wherein the etching component is hydrofluoric acid claim 3 , sulfuric acid claim 3 , acetic acid claim 3 , nitric acid claim 3 , or hydrochloric acid.6. The method for manufacturing an electronic component according to claim 3 , wherein the anionic surfactant has a sulfonic acid group.7. The method for ...

Подробнее
23-03-2017 дата публикации

ETCHING METHOD AND METHOD OF FABRICATING A SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20170084719A1
Принадлежит:

The present disclosure relates to an etchant, a method of making an etchant, an etching method and a method of fabricating a semiconductor device using the same. The etching method includes supplying an etchant on an etch-target layer to etch the etch-target layer in a wet etch manner. The etchant contains a basic compound and a sugar alcohol, and the basic compound contains ammonium hydroxide or tetraalkyl ammonium hydroxide. In the etchant, the sugar alcohol has 0.1 to 10 parts by weight for every 100 parts by weight of the basic compound. 1. A method of manufacturing a semiconductor device , comprising:supplying an etchant on an etch-target layer on a semiconductor substrate to etch the etch-target layer with a wet etch process,wherein the etchant comprises a basic compound and a sugar alcohol,wherein the basic compound comprises ammonium hydroxide or tetraalkyl ammonium hydroxide, andwherein, in the etchant, the sugar alcohol has 0.1 to 10 parts by weight for every 100 parts by weight of the basic compound.2. The method of claim 1 , wherein the etch-target layer is polysilicon.3. The method of claim 1 , wherein the sugar alcohol is at least one selected from the group consisting of sorbitol claim 1 , glycerol claim 1 , erythritol claim 1 , threitol claim 1 , arabitol claim 1 , xylitol claim 1 , ribitol claim 1 , mannitol claim 1 , galactitol claim 1 , fucitol claim 1 , iditol claim 1 , inositol claim 1 , volemitol claim 1 , maltitol claim 1 , lactitol claim 1 , maltotritol claim 1 , maltotetraitol claim 1 , and polyglycitol.5. The method of claim 1 , wherein the etchant is prepared by:mixing the basic compound with the sugar alcohol to prepare an etchant mixture; andmixing the etchant mixture with water of 60-100° C.7. The method of claim 6 , wherein the sacrificial gate pattern comprises polysilicon.8. The method of claim 6 , wherein claim 6 , in the etchant claim 6 , for every 100 parts by weight of the basic compound claim 6 , the sugar alcohol has 0.1 to 10 ...

Подробнее
21-03-2019 дата публикации

METHOD FOR RAPID LASER DRILLING OF HOLES IN GLASS AND PRODUCTS MADE THEREFROM

Номер: US20190084090A1
Принадлежит:

Forming holes in a material includes focusing a pulsed laser beam into a laser beam focal line oriented along the beam propagation direction and directed into the material, the laser beam focal line generating an induced absorption within the material, the induced absorption producing a defect line along the laser beam focal line within the material, and translating the material and the laser beam relative to each other, thereby forming a plurality of defect lines in the material, and etching the material in an acid solution to produce holes greater than 1 micron in diameter by enlarging the defect lines in the material. A glass article includes a stack of glass substrates with formed holes of 1-100 micron diameter extending through the stack. 1. An article comprising: 'the substrate is transparent to at least one wavelength in a range from 390 nm to 700 nm.', 'a glass substrate having a plurality of damage tracks therein, wherein2. The article of claim 1 , wherein the glass substrate has an alkali free composition.3. The article of claim 2 , wherein the glass substrate has an alkali-free alkaline aluminoborosilicate composition.n4. The article of claim 1 , wherein the damage track has a diameter of 500 nm or less.5. The article of claim 4 , wherein any disrupted or modified area surrounding the damage track has a diameter of 50 microns or less.6. The article of claim 4 , wherein any disrupted or modified area surrounding the damage track has a diameter of 10 microns or less.7. The article of claim 1 , wherein the substrate is fused silica.8. The article of claim 1 , wherein the glass substrate etches with a material removal rate of 10 μm per minute or less when subject to specific etching conditions.9. The article of claim 8 , wherein the damage tracks etch with a Thiele modulus of 3 or less when subject to the specific etching conditions.10. The article of claim 1 , wherein the glass substrate etches with a material removal rate of 2 μm per minute or less when ...

Подробнее
02-04-2015 дата публикации

Polishing And Electroless Nickel Compositions, Kits, And Methods

Номер: US20150093514A1
Принадлежит: Accu-Labs Inc

Disclosed are various methods, kits, and compositions in the field of electroless nickel plating and chemical polishing. An electroless nickel plating composition may include a surfactant-brightener; a coupler; a bismuth metallic stabilizer; and organosulfur stabilizer and a bismuth complexer. Prior to plating, a substrate may be polished with a polishing composition that includes a surface blocker and a surface leveler. When practiced in accordance with the preferred teachings described herein, the electroless nickel plating composition is capable of providing a mirror-bright, lustrous finish, and has good leveling properties. The composition may be made without lead or cadmium.

Подробнее
05-05-2022 дата публикации

Polishing compositions and methods of using the same

Номер: US20220135840A1
Принадлежит: Fujifilm Electronic Materials USA Inc

This disclosure relates polishing compositions that include (1) at least one abrasive; (2) at least one organic acid or a salt thereof; (3) at least one first amine compound, the at least one first amine compound including an alkylamine having a 6-24 carbon alkyl chain; (4) at least one second amine compound containing at least two nitrogen atoms, the second amine compound being different from the first amine compound; and (5) an aqueous solvent.

Подробнее
05-05-2022 дата публикации

DRY ETCHING GAS COMPOSITION COMPRISING SULFUR-CONTAINING FLUOROCARBON COMPOUND HAVING UNSATURATED BOND AND DRY ETCHING METHOD USING THE SAME

Номер: US20220135881A1
Принадлежит:

Provided is a novel etching gas composition that comprises a sulfur-containing unsaturated compound and that is useful for etching a stacked structure of silicon-based films. A dry etching gas composition comprises a sulfur-containing fluorocarbon compound that has an unsaturated bond and that is represented by general formula (1) of CFSwhere x, y, and z are 2≤x≤5, y≤2x, and 1≤z≤2.

Подробнее
05-05-2022 дата публикации

DRY ETCHING GAS COMPOSITION COMPRISING SULFUR-CONTAINING FLUOROCARBON COMPOUND AND DRY ETCHING METHOD USING THE SAME

Номер: US20220135882A1
Принадлежит:

Provided is a novel etching gas composition that comprises a sulfur-containing compound and that can selectively etch SiOover low dielectric constant materials (low-k materials; SiON, SiCN, SiOCN, SiOC),

Подробнее
29-03-2018 дата публикации

SUBSTRATE TREATING DEVICE AND SUBSTRATE TREATING METHOD

Номер: US20180090346A1
Автор: SUGIOKA Shinji
Принадлежит:

A substrate treating device immerses substrates in a mixed-acid aqueous solution, and performs an etching treatment on the substrates. The substrate treating device includes: a treating tank that stores the mixed-acid aqueous solution; a treating-liquid exchange unit that performs a total liquid exchange of the mixed-acid aqueous solution based on a life time of the mixed-acid aqueous solution in the treating tank; a detecting unit that detects a pure-water concentration of the mixed-acid aqueous solution; a concentration control unit that controls, based on the pure-water concentration detected by the detecting unit, the pure-water concentration such that the pure-water concentration becomes a predetermined target concentration by supplying pure water to the mixed-acid aqueous solution in the treating tank; and a target-value change unit that changes a lower-side standard value (a target concentration). 1. A substrate treating device that performs a predetermined treatment on a substrate by immersing the substrate into a treating liquid including one or more kinds of chemical liquids and pure water , the substrate treating device comprising:a treating tank that stores the treating liquid with which the predetermined treatment is performed on the substrate;a treating-liquid exchange unit that exchanges the treating liquid based on a life time of the treating liquid in the treating tank;a detecting unit that detects a concentration of the pure water or of other predetermined components in the treating liquid;a concentration control unit that controls, based on the concentration detected by the detecting unit, the concentration such that the concentration becomes a predetermined target concentration, by supplying the pure water or the other predetermined components to the treating liquid in the treating tank; anda target-value change unit that changes the target concentration.2. The substrate treating device according to claim 1 , wherein the target-value change unit ...

Подробнее
09-04-2015 дата публикации

Compositions for use in semiconductor devices

Номер: US20150097139A1
Автор: Donald L. Yates
Принадлежит: Micron Technology Inc

An improved composition and method for cleaning a surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of the wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying a fluorine ion component, and the amounts of the fluorine ion component and an acid component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute. The composition can also be formulated to selectively remove the photoresist layer, leaving the underlying low-k dielectric layer essentially intact.

Подробнее
05-05-2022 дата публикации

WET ETCHING METHOD

Номер: US20220139723A1
Принадлежит:

A wet etching method is provided in the present disclosure. The method includes providing a substrate, where a layer to-be-etched is on a surface of the substrate; and performing etching treatments on the layer to-be-etched till a thickness of the layer to-be-etched reaches a target thickness. Each etching treatment includes performing a first etching process, where the substrate is at a first rotation speed; after the first etching process, performing a second etching process, where a rotation speed of the substrate is reduced from the first rotation speed to a second rotation speed, and a liquid film of a chemical solution on the surface of the substrate is increased to a first thickness; and after the second etching process, performing a third etching process, where the substrate is at a third rotation speed, and the third rotation speed is lower than or equal to the first rotation speed.

Подробнее
30-03-2017 дата публикации

METHOD AND COMPOSITION FOR REMOVING RESIST, ETCH RESIDUE, AND COPPER OXIDE FROM SUBSTRATES HAVING COPPER, METAL HARDMASK AND LOW-K DIELECTRIC MATERIAL

Номер: US20170092479A9
Автор: Cui Hua
Принадлежит:

A semiconductor processing composition and method for removing photoresist, polymeric materials, etching residues and copper oxide from a substrate comprising copper, low-k dielectric material and TiN, TiNxOy or W wherein the composition includes water, at least one halide anion selected from Cl or Br, and, where the metal hard mask comprises only TiN or TiNxOy, optionally at least one hydroxide source. 1. (canceled)2. (canceled)3. (canceled)4. (canceled)5. (canceled)6. (canceled)7. (canceled)8. A method for simultaneously removing polymeric materials and etch residues and selectively etching TiN or TiNxOy from a semiconductor device comprising Cu , low-k dielectric material and TiN or TiNxOy which comprises: contacting the semiconductor device with an aqueous composition comprising at least one halide anion selected from Cl— or Br— , and at least one oxidizing agent in the absence of a Cu corrosion inhibitor and wherein the composition is effective in removing polymeric materials and etch residues and selectively etching TiN or TiNxOy from a semiconductor device comprising Cu , low-k dielectric material and TiN or TiNxOy.9. The method of wherein the composition includes a hydroxide source at a concentration sufficient to adjust the pH thereof to a value of at least 7.0 or higher.10. The method of wherein the temperature is in the range of from 20° C. to about 60° C. and the oxidizing agent is selected from the group consisting of hydrogen peroxide claim 8 , ozone claim 8 , ferric chloride claim 8 , permanganate claim 8 , peroxoborate claim 8 , perchlorate claim 8 , persulfate claim 8 , ammonium peroxydisulfate claim 8 , per acetic acid claim 8 , urea hydroperoxide claim 8 , percarbonate claim 8 , perborate claim 8 , and mixtures thereof.11. The method of wherein the composition includes a Cu corrosion inhibitor selected from the group consisting of heterocyclic compounds which contain a nitrogen atom in the form of ═N— as a ring form member claim 8 , and mixtures ...

Подробнее
01-04-2021 дата публикации

CHEMICAL MECHANICAL POLISHING PROCESS

Номер: US20210094145A1
Автор: Chen Yi-Chung
Принадлежит: WINBOND ELECTRONICS CORP.

Provided is a chemical mechanical polishing process. The process includes the following steps: a layer to be polished is provided, wherein the layer to be polished has a hole, a trench and/or an opening formed therein, and a protrusion is formed at the corner of the top of the hole, the trench and/or the opening; a polishing pad with a plurality of fibers on the surface thereof is provided; and in a moving direction perpendicular to the top surface of the layer to be polished, the plurality of fibers of the polishing pad are intermittently contacted with the protrusion in the presence of an abrasive-free slurry. 1. A chemical mechanical polishing process , comprising the following steps:a layer to be polished is provided, wherein the layer to be polished has a hole, a trench and/or an opening formed therein, and a protrusion is formed at the corner of the top of the hole, the trench and/or the opening;a polishing pad with a plurality of fibers on the surface thereof is provided; andin a moving direction perpendicular to the top surface of the layer to be polished, the plurality of fibers of the polishing pad are intermittently contacted with the protrusion in the presence of an abrasive-free slurry.2. The chemical mechanical polishing process according to claim 1 , wherein the diameter of each of the plurality of fibers does not exceed a half of the width of the hole claim 1 , the trench and/or the opening.3. The chemical mechanical polishing process according to claim 1 , wherein the length of each of the plurality of fibers does not exceed 90% of the depth of the hole claim 1 , the trench and/or the opening.4. The chemical mechanical polishing process according to claim 1 , wherein the abrasive-free slurry comprises KOH claim 1 , dilute hydrogen fluoride (DHF) or hydrogen peroxide (HO) aqueous solution.5. The chemical mechanical polishing process according to claim 1 , wherein the layer to be polished comprises a dielectric layer or a conductive layer formed on a ...

Подробнее
07-04-2016 дата публикации

METHOD FOR REMOVING METAL OXIDE

Номер: US20160099158A1
Принадлежит:

The present invention relates to a method of selectively removing metal oxide, particularly tungsten oxide without etching the un-oxidized metal. The method removes metal oxide with little or no loss of the clean metal to improve the contact resistance for contact metal in semiconductor device fabrication. The method includes a step of exposing a substrate containing a tungsten oxide layer over a tungsten layer to a low oxygen aqueous ammonia solution to selectively remove the tungsten oxide layer. The low oxygen aqueous ammonia solution has an ammonia concentration in a range of about 0.01 M to about 2.0 M. The oxygen level in the solution is no more than 50 ppb. The solution may further contain a corrosion inhibitor and/or a compound having two or more carboxyl groups separated by at least one carbon atom. 1. A method of removing metal oxide , comprising:providing a substrate containing a metal oxide layer over a metal layer;exposing the substrate to a low oxygen aqueous ammonia solution to selectively remove the metal oxide layer, wherein the low oxygen aqueous ammonia solution has an ammonia concentration in a range of about 0.01 M to about 2.0 M; andrinsing the substrate with a DI water.2. The method of claim 1 , wherein the metal oxide is tungsten oxide and the metal is tungsten.3. The method of claim 1 , wherein the step of exposing the substrate to a low oxygen aqueous ammonia solution includes either sparging with nitrogen or argon claim 1 , or vacuum degas of an aqueous ammonia solution to produce the low oxygen aqueous ammonia solution.4. (canceled)5. The method of claim 1 , wherein the low oxygen aqueous ammonia solution has an ammonia concentration in a range of about 0.1 M to about 1.0 M.6. The method of claim 1 , wherein the low oxygen aqueous ammonia solution has oxygen level no more than 50 ppb.7. The method of claim 1 , wherein the low oxygen aqueous ammonia solution further comprises a corrosion inhibitor.8. The method of claim 7 , wherein the ...

Подробнее
26-03-2020 дата публикации

High Oxide VS Nitride Selectivity, Low And Uniform Oxide Trench Dishing In Shallow Trench Isolation(STI) Chemical Mechanical Planarization Polishing(CMP)

Номер: US20200095502A1
Принадлежит: Versum Materials US LLC

Present invention provides Chemical Mechanical Planarization Polishing (CMP) compositions for Shallow Trench Isolation (STI) applications. The CMP compositions contain ceria coated inorganic oxide particles as abrasives, such as ceria-coated silica particles or any other ceria-coated inorganic oxide particles as core particles; suitable chemical additives comprising at least one organic carboxylic acid group, at least one carboxylate salt group or at least one carboxylic ester group and two or more hydroxyl functional groups in the same molecule; and a water soluble solvent; and optionally biocide and pH adjuster; wherein the composition has a pH of 2 to 12, preferably 3 to 10, and more preferably 4 to 9.

Подробнее
08-04-2021 дата публикации

ETCHING COMPOSITIONS

Номер: US20210102121A1
Принадлежит:

The present disclosure is directed to etching compositions that are useful, e.g., for selectively removing tungsten (W) and/or titanium nitride (TiN) from a semiconductor substrate as an intermediate step in a multistep semiconductor manufacturing process. 1. A method , comprising: phosphoric acid in an amount of from about 65 wt % to about 90 wt % of the composition;', 'acetic acid in an amount of from about 0.01 wt % to about 4 wt % of the composition;', 'nitric acid in an amount of from about 0.01 wt % to about 5 wt % of the composition; and', 'water., 'contacting a semiconductor substrate containing AlOx, W or TiN with an etching composition to remove the AlOx, W or TiN, the etching composition comprising2. The method of claim 1 , further comprising rinsing the semiconductor substrate with a rinse solvent after the contacting step.3. The method of claim 2 , further comprising drying the semiconductor substrate after the rinsing step.4. The method of claim 1 , wherein the method does not substantially remove Cu or a dielectric material on the semiconductor substrate.5. The method of claim 1 , wherein the method selectively etches AlOx over W or TiN.6. The method of claim 1 , wherein the phosphoric acid is in an amount of from about 70 wt % to about 85 wt % of the composition.7. The method of claim 1 , wherein the phosphoric acid is in an amount of from about 72 wt % to about 76 wt % of the composition.8. The method of claim 1 , wherein the acetic acid is in an amount of from about 0.1 wt % to about 3.5 wt % of the composition.9. The method of claim 1 , wherein the acetic acid is in an amount of from about 0.3 wt % to about 0.7 wt % of the composition.10. The method of claim 1 , wherein the nitric acid is in an amount of from about 0.05 wt % to about 4 wt % of the composition.11. The method of claim 1 , wherein the nitric acid is in an amount of from about 0.3 t to about 0.7 wt % of the composition.12. The method of claim 1 , wherein the water is in an amount of ...

Подробнее
23-04-2015 дата публикации

Semiconductor Device, Method for Manufacturing the Same, and Etchant Used for the Same

Номер: US20150108473A1
Принадлежит:

A method for manufacturing a semiconductor device includes the steps of forming a first conductive film over a substrate; forming an insulating film over the first conductive film; forming an oxide semiconductor film over the insulating film to overlap with the first conductive film; forming a second conductive film including a metal film containing molybdenum as its main component and a metal film containing copper as its main component over the oxide semiconductor film; and etching the second conductive film by an etchant. At the time of etching the second conductive film by the etchant, the oxide semiconductor film is used as an etching stopper film. In addition, the etchant which can be used for a transistor including the oxide semiconductor film is provided. 1. A method for manufacturing a semiconductor device , comprising:forming a first conductive film over a substrate;forming an insulating film over the first conductive film;forming an oxide semiconductor film over the insulating film to overlap with the first conductive film;forming a second conductive film including a first metal film containing molybdenum and a second metal film containing copper over the oxide semiconductor film; andselectively etching the second conductive film by using an etchant so that a portion of the oxide semiconductor film is exposed,wherein the etchant comprises an aqueous solution of an organic acid and hydrogen peroxide, andwherein pH of the etchant is greater than or equal to 3 and less than or equal to 6.2. The method for manufacturing a semiconductor device according to claim 1 ,wherein the oxide semiconductor film is an In-M-Zn oxide, andwherein M is Ti, Ga, Y, Zr, Sn, La, Ce, Nd, or Hf.3. The method for manufacturing a semiconductor device according to claim 1 , wherein the oxide semiconductor film is configured to be an etching stopper film at the time of etching the second conductive film by using the etchant.4. The method for manufacturing a semiconductor device ...

Подробнее