Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 6566. Отображено 199.
08-05-2019 дата публикации

Номер: RU2017124606A3
Автор:
Принадлежит:

Подробнее
23-10-2018 дата публикации

Номер: RU2016134923A3
Автор:
Принадлежит:

Подробнее
15-01-2010 дата публикации

ATOMIC POSITION LAYER (ALD) BY METALLAMIDINATEN

Номер: AT0000454483T
Принадлежит:

Подробнее
07-06-2004 дата публикации

Asymmetric group 8 (viii) metallocene compounds

Номер: AU2003301874A8
Принадлежит:

Подробнее
04-05-2011 дата публикации

Group 4 metal precursors for metal-containing films

Номер: CN0102040620A
Принадлежит:

The present invention relates to Group 4 metal precursors for metal-containing films, especially to Group 4 metal precursors represented by the formula: M(OR 1 ) 2 (R 2 C(O)C(R 3 )C(O)OR 1 ) 2 wherein M is a Group 4 metals of Ti, Zr, or Hf; wherein R 1 is selected from the group consisting of linear or branched C 1-10 alkyls and C 6-12 aryls, and is preferably methyl, ethyl or n-propyl; R 2 is selected from the group consisting of branched C 3-10 alkyls and C 6-12 aryls, and is preferably iso-propyl, tert-butyl, sec-butyl, iso-butyl, or tert-amyl; and R 3 is selected from the group consisting of hydrogen, C 1-10 alkyls, and C 6-12 aryls, and is preferably hydrogen. In a preferred embodiment of this invention, the precursor is a liquid or a solid with a melting point below 60 DEG C.

Подробнее
11-07-2012 дата публикации

Solution based precursors

Номер: CN102574876A
Принадлежит:

Solution-based precursors for use as starting materials in film deposition processes, such as atomic layer deposition, chemical vapor deposition and metalorganic chemical vapor deposition. The solution-based precursors allow for the use of otherwise solid precursors that would be unsuitable for vapor phase deposition processes because of their tendency to decompose and solidify during vaporization.

Подробнее
20-03-2018 дата публикации

Method of filling recesses in substrate with tungsten

Номер: CN0107818944A
Принадлежит:

Подробнее
24-09-2019 дата публикации

Form the silicon-containing film of the composition and method of use

Номер: CN0107429389B
Автор:
Принадлежит:

Подробнее
23-03-2016 дата публикации

The aluminum and silicon precursor deposition Al2O3/SiO2 laminated method

Номер: CN0103476965B
Автор:
Принадлежит:

Подробнее
17-04-2018 дата публикации

The platinum thin film method

Номер: CN0104213101B
Автор:
Принадлежит:

Подробнее
25-09-2020 дата публикации

METHOD FOR DEPOSITING AN INSULATING MATERIAL IN A VIA, AND PULSED CVD REACTOR IMPLEMENTING SAID METHOD

Номер: FR0003070399B1
Принадлежит:

Подробнее
15-09-2015 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND PROGRAM

Номер: KR0101553481B1

... 본 발명은, 탄소가 고농도로 첨가된 박막을 높은 제어성으로 형성한다. 기판에 대하여 1 분자 중에 적어도 2개의 실리콘을 포함하고, 탄소 및 할로겐 원소를 포함해서 Si-C 결합을 갖는 원료 가스를 공급하는 공정과, 기판에 대하여 질소 및 산소 중 어느 하나인 소정 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 탄소 및 소정 원소를 포함하는 박막을 기판 위에 형성하는 공정을 갖는다.

Подробнее
10-11-2016 дата публикации

게르마늄 또는 게르마늄 산화물의 원자층 증착

Номер: KR1020160130219A
Автор: 리 웨이-민
Принадлежит:

... 적어도 1회의 증착 사이클에서 챔버 내부의 기판을 Ge 함유 전구체 및 환원성 전구체 또는 산화성 전구체에 순차적으로 노출시키는 단계를 포함하는, 기판 상에 게르마늄을 증착하는 방법이 개시된다. 본 발명의 목적은 각종 기판에 게르마늄 및 게르마늄 산화물을 증착시키는 산업적으로 적용가능한 방법을 제공하는 것이다. 상기 목적은 적어도 1회의 증착 사이클에서 챔버 내부의 기판을 게르마늄 함유 전구체 및 환원성 전구체 또는 산화성 전구체에 순차적으로 노출시킴으로써 달성된다. 본 발명은 또한 게르마늄 증착된 물품 및 ALD에서의 테트라키스(디메틸아미노)게르마늄의 용도에 관한 것이다.

Подробнее
23-04-2019 дата публикации

Номер: KR1020190042036A
Автор:
Принадлежит:

Подробнее
09-03-2016 дата публикации

티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물

Номер: KR1020160027244A
Принадлежит:

... 본 발명은 티타네이트 박막의 원자층 증착(ALD) 및 화학 증기 증착(CVD)에 유용한 바륨, 스트론튬, 탄탈 및 란타늄 전구체 조성물에 관한 것이다. 상기 전구체는 화학식이 M(Cp)2{식 중, M은 스트론튬, 바륨, 탄탈 또는 란타늄이고, Cp는 화학식 I[식 중, R1-R5 각각은 서로 동일 또는 상이하며, 각각은 독립적으로 수소, C1-C12 알킬, C1-C12 아미노, C6-C10 아릴, C1-C12 알콕시, C3-C6 알킬실릴, C2-C12 알케닐, R1R2R3NNR3(식 중, R1, R2 및 R3은 서로 동일 또는 상이할 수 있으며, 각각은 독립적으로 수소 및 C1-C6 알킬에서 선택됨), 및 금속 중심 M에 추가의 배위를 제공하는 작용기(들)를 포함하는 펜던트 리간드에서 선택됨]의 시클로펜타디에닐임}이다. 상기 화학식의 전구체는 플래쉬 메모리 및 기타 마이크로전자 소자의 제조에서 고유전 상수 재료의 균일한 코팅을 달성하는 데에 유용하다.

Подробнее
04-11-2019 дата публикации

Si precursors for deposition of SiN at low temperatures

Номер: KR1020190124184A
Автор:
Принадлежит:

Подробнее
07-02-2006 дата публикации

PYRROLYL COMPLEXES OF COPPER FOR COPPER METAL DEPOSITION

Номер: KR1020060012253A
Автор: GRUSHIN VLADIMIR
Принадлежит:

The present invention relates to a process for the preparation of ligands and copper complexes useful in the deposition of copper via Atomic Layer Deposition (ALD) and Chemical Vapor Deposition (CVD), and the use of the copper complexes in ALD and CVD processes. © KIPO & WIPO 2007 ...

Подробнее
25-06-2020 дата публикации

Method of forming a device structure using selective deposition of gallium nitride and system for same

Номер: KR1020200074873A
Автор:
Принадлежит:

Подробнее
12-08-2019 дата публикации

Номер: KR1020190094239A
Автор:
Принадлежит:

Подробнее
27-02-2017 дата публикации

실리콘-포함 및 산소-포함 박막을 형성하기 위한 기상 증착 방법

Номер: KR1020170021379A
Принадлежит:

... 본 발명은 모노-치환된 TSA 전구체를 사용하여 실리콘-포함 및 산소-포함 필름을 형성하기 위한 ALD 방법을 개시한다. 모노-치환된 TSA 전구체는 식: (SiH3)2N-SiH2-X를 가지며, 상기 식에서, X는 할로겐 원자 또는 아미노기이다.

Подробнее
01-11-2010 дата публикации

METHOD FOR FORMING A TITANIUM-CONTAINING LAYER ON A SUBSTRATE USING AN ATOMIC LAYER DEPOSITION (ALD) PROCESS

Номер: KR1020100116640A
Автор:
Принадлежит:

Подробнее
16-05-2003 дата публикации

VAPOR DEPOSITION OF OXIDES, SILICATES AND PHOSPHATES

Номер: KR20030038775A
Принадлежит:

Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris-(ter-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300 °C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl) amide to deposit lithium phosphate films on substrates heated to 250 °C. supplying the vapors in alternating pulse produces these same compositions with a very uniform distribution of thickness and excellent step coverage. © KIPO & WIPO 2007 ...

Подробнее
01-07-2009 дата публикации

Tellurium precursors for GST films in an ALD or CVD process

Номер: TW0200927976A
Принадлежит:

The present invention is a process of making a germanium-antimony-tellurium alloy film using a process selected from the group consisting of atomic layer deposition and chemical vapor deposition, wherein a silyltellurium precursor is used as a source of tellurium for the alloy film and is reacted with an alcohol during the deposition process.

Подробнее
01-08-2004 дата публикации

Pyrrolyl complexes of copper for copper metal deposition

Номер: TW0200413556A
Принадлежит:

The present invention relates to a process for the preparation of ligands and copper complexes useful in the deposition of copper via Atomic Layer Deposition (ALD) and Chemical Vapor Deposition (CVD), and the use of the copper complexes in ALD and CVD processes.

Подробнее
16-11-2005 дата публикации

High nucleation density organometallic compounds

Номер: TW0200536857A
Принадлежит:

This invention relates to high nucleation density organometallic ruthenium compounds. This invention also relates to a process for producing a high nucleation density organometallic ruthenium compound comprising reacting a bis(substituted-pentadienyl)ruthenium compound with a substituted cyclopentadiene compound under reaction conditions sufficient to produce said high nucleation density organometallic ruthenium compound. This invention further relates to a method for producing a film, coating or powder by decomposing a high nucleation density organometallic ruthenium compound precursor, thereby producing the film, coating or powder.

Подробнее
01-12-2017 дата публикации

PE-ALD methods with reduced quartz-based contamination

Номер: TW0201741493A
Принадлежит:

Methods of performing PE-ALD on a substrate with reduced quartz-based contamination are disclosed. The methods include inductively forming in a quartz plasma tube a hydrogen-based plasma from a feed gas that consists essentially of either hydrogen and nitrogen or hydrogen, argon and nitrogen. The nitrogen constitutes 2 vol% or less of the feed gas. The hydrogen-based plasma includes one or more reactive species. The one or more reactive species in the hydrogen-based plasma are directed to the substrate to cause the one or more reactive species to react with a initial film on the substrate. The trace amounts of nitrogen serve to reduce the amount of quartz-based contamination in the initial film as compared to using no nitrogen in the feed gas.

Подробнее
01-01-2019 дата публикации

Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films

Номер: TW0201900660A
Принадлежит:

Described herein are functionalized cyclosilazane precursor compounds and compositions and methods comprising same to deposit a silicon-containing film such as, without limitation, silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, silicon oxycarbonitride, or carbon-doped silicon oxide via a thermal atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) process, or a combination thereof.

Подробнее
01-07-2017 дата публикации

Methods for preparing organoaminosilane

Номер: TW0201722968A
Принадлежит:

Described herein is a method for preparing an organoaminosilane, including reacting an amine having a formula which is either R1R2NH or R1NH2 wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group and a silicon source which is at least one selected from the following structures: wherein R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene ...

Подробнее
16-04-2018 дата публикации

Thio(di)silanes

Номер: TW0201813971A
Принадлежит:

Thio(di)silanes comprising a thiosilane of formula (A): (R1aR1bR1cCS)s(Si)XxHh (A) wherein subscript s is from 2 to 4 or a thiodisilane of formula (I): (R1aR1bR1cCS)s(R22N)n(Si-Si)XxHh (I) wherein subscript s is from 1 to 6, and wherein R1a, R1b, R1c, R2, X, and subscripts n, x and h are defined herein. Also compositions comprising same, methods of making and using same, intermediates useful in synthesis of same, films and materials prepared therefrom.

Подробнее
16-03-2021 дата публикации

Methods for forming protective coatings containing crystallized aluminum oxide

Номер: TW202111149A
Принадлежит:

Embodiments of the present disclosure generally relate to protective coatings on substrates and methods for depositing the protective coatings. In one or more embodiments, a method of forming a protective coating on a substrate includes depositing a chromium oxide layer containing amorphous chromium oxide on a surface of the substrate during a first vapor deposition process and heating the substrate containing the chromium oxide layer comprising the amorphous chromium oxide to convert at least a portion of the amorphous chromium oxide to crystalline chromium oxide during a first annealing process. The method also includes depositing an aluminum oxide layer containing amorphous aluminum oxide on the chromium oxide layer during a second vapor deposition process and heating the substrate containing the aluminum oxide layer disposed on the chromium oxide layer to convert at least a portion of the amorphous aluminum oxide to crystalline aluminum oxide during a second annealing process.

Подробнее
01-10-2020 дата публикации

Structure including SiOCN layer and method of forming same

Номер: TW0202035767A
Принадлежит:

A method for forming a layer comprising SiOCN on a substrate is disclosed. An exemplary method includes thermally depositing the layer comprising SiOCN on a surface of the substrate. The layer comprising SiOCN can be used for various applications, including spacers, etch stop layers, and etch resistant layers.

Подробнее
16-05-2020 дата публикации

High temperature atomic layer deposition of silicon-containing films

Номер: TW0202018116A
Принадлежит:

A method and composition for depositing a silicon oxide film in an atomic layer deposition process at one or more temperatures of 650 DEG C or greater is provided. In one aspect, there is provided a method to deposit a silicon oxide film or material comprising the steps of: providing a substrate in a reactor; introducing into the reactor at least one halidosiloxane precursor selected from the group of compounds having formulae I and II described herein; purging the reactor with a purge gas; introducing an oxygen source into the reactor; and purging reactor with purge gas; and wherein the steps are repeated until a desired thickness of silicon oxide is deposited and the process is conducted at one or more temperatures ranging from about 650 to 1000 DEG C.

Подробнее
16-08-2020 дата публикации

Method of topology-selective film formation of silicon oxide

Номер: TW0202030351A
Принадлежит:

A method for forming a dielectric film containing a Si-O bond a trench formed in an upper surface of a substrate, includes: designing a topology of a final dielectric film containing a Si-O bond formed in the trench by preselecting a target portion to be selectively removed relative to a non-target portion of an initial dielectric film resulting in the final dielectric film; conformally depositing the initial dielectric film on the upper surface and in the trench; and relatively increasing an amount of impurities contained in the target portion of the initial dielectric film relative to an amount of impurities contained in the non-target portion of the initial dielectric film to obtain a treated dielectric film, thereby giving the target portion and the non-target portion different chemical resistance properties when subjected to etching.

Подробнее
09-02-2006 дата публикации

COPPER (II) COMPLEXES FOR DEPOSITION OF COPPER FILMS BY ATOMIC LAYER DEPOSITION

Номер: WO2006015225A1
Принадлежит:

The present invention relates to novel 1,3-diimine copper complexes and the use of 1,3-diimine copper complexes for the deposition of copper on substrates or in or on porous solids in an Atomic Layer Deposition process.

Подробнее
18-09-2008 дата публикации

SYNTHESIS OF MOLECULAR METALORGANIC COMPOUNDS

Номер: WO000002008111850A2
Принадлежит:

A process for the preparation of thin films of a molecular metalorganic nature comprising growing with a gas phase deposition technique preferable the ALCVD (atomic layer chemical vapour deposition) technique. As an example, trimethylaluminium (TMA), titanium tetrachloride (TiCl4), diethyl zinc (DEZ), and 8-hydroxyquinoline (q) have been used as precursors to fabricate thin films of aluminium tris 8-hydroxyquinoline, titanium tetra 8-hydroxyquinoline, and zinc bis 8-hydroxyquinoline constructing a molecular hybrid type film. These films can be used as emittive materials in organic light emitting diodes (OLED) applications and as n-type conducting materials. In addition, films of aluminium benzoate have been fabricated using TMA, benzoic acid, and water or ozone.

Подробнее
17-02-2011 дата публикации

SILANE BLEND FOR THIN FILM VAPOR DEPOSITION

Номер: WO2011020028A2
Принадлежит:

Disclosed are non-pyrophoric mixtures of silicon compounds and solvents. Also disclosed are methods of stabilizing the pyrophoric silicon compounds (precursors). The non-pyrophoric mixtures may be used to deposit silicon-containing layers using vapor deposition methods such as chemical vapor deposition or atomic layer deposition.

Подробнее
06-12-2012 дата публикации

COMPOSITIONS AND PROCESSES FOR DEPOSITING CARBON-DOPED SILICON-CONTAINING FILMS

Номер: WO2012167060A2
Принадлежит:

Described herein are compositions for depositing a carbon-doped silicon containing film wherein the composition comprises a first precursor comprising at least one compound selected from the group consisting of: an organoaminoalkylsi!ane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3; an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3; an organoaminosilane having a formula of R8N(SiR9(NR10 R11)H)2; an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof; and optionally a second precursor comprising a compound having the formula: Si(NR1R2)H3. Also described herein are methods for depositing a carbon- doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).

Подробнее
26-11-2009 дата публикации

HIGH-K DIELECTRIC FILMS AND METHODS OF PRODUCING USING TITANIUM-BASED β-DIKETONATE PRECURSORS

Номер: WO2009143460A1
Принадлежит:

Methods are provided to form and stabilize high-κ dielectric films by vapor deposition processes using metal-source precursors and titanium-based β-diketonate precursors according to Formula I: Ti(L)x wherein: L is a β-diketonate; and x is 3 or 4. Further provided are methods of improving high-κ gate property of semiconductor devices by using titanium precursors according to Formula I. High-κ dielectric film-forming lattices are also provided comprising titanium precursors according to Formula I.

Подробнее
21-05-2004 дата публикации

DEPOSITION PROCESSES USING GROUP 8 (VIII) METALLOCENE PRECURSORS

Номер: WO2004041753A3
Принадлежит:

Disclosed herein is a process for producing a film, coating or powder employing a metallocene or metallocene-like precursor having the general formula CpMCp’, where M is a metal selected from the group consisting of Ru, Os and Fe; Cp is a first substituted cyclopentadienyl or cyclopentadienyl-like, e.g., indenyl, moiety that includes at least one substituent group D1, where D1is X; Ca1Hb1Xc1; Ca2Hb2Xc2(C=0)Ca1Hb1Xc1; Ca2Hb2Xc2OCa1Hb1Xc1; Ca2Hb2Xc2(C=0)OCa1Hb1Xc1; or Ca2Hb2Xc2O(C=0)Ca1Hb1Xc1; and Cp’ is a second substituted cyclopentadienyl or cyclopentadienyl-like, e.g., indenyl, moiety that includes at least one substituent group D1’, where D1’ is X; Ca1Hb1Xc1; Ca2Hb2Xc2(C=0)Ca1Hb1Xc1; Ca2Hb2Xc2OCa1Hb1Xc1; Ca2Hb2Xc2(C=0)OCa1Hb1Xc1; or Ca2Hb2Xc2O(C=0)Ca1Hb1Xc1. D1 and D1’ are different from one another. X is a halogen atom or NO2; a1 is an integer between 1 to 8; b1 is an integer between 0 and 2(a1)+1-c1; c1 is an integer between 0 and 2(a1)+1 -b1; b1 + c1 is at least 1; a2 is an integer ...

Подробнее
03-01-2002 дата публикации

FORMATION OF BORIDE BARRIER LAYERS USING CHEMISORPTION TECHNIQUES

Номер: WO0000201628A3
Принадлежит:

A method of forming a boride layer for integrated circuit fabrication is disclosed. In one embodiment, the boride layer is formed by chemisorbing monolayers of a boron-containing compound (305) and one refractory metal compound (307) onto a substrate. In an alternate embodiment, the boride layer has a composite structure. The composite boride layer structure (409) comprises two or more refractory metals (M1, M2). The composite boride layer is formed by sequentially chemisorbing monolayers of a boron compound (405) and two or more refractory metal compounds (407) on a substrate.

Подробнее
13-12-2007 дата публикации

APPARATUS AND PROCESS FOR PLASMA-ENHANCED ATOMIC LAYER DEPOSITION

Номер: WO000002007142690A3
Принадлежит:

Embodiments of the invention provide an apparatus configured to form a material during an atomic layer deposition (ALD) process, such as a plasma-enhanced ALD (PE- ALD) process. In one embodiment, a process chamber (50) is configured to expose a substrate to a sequence of gases and plasmas during a PE-ALD process. The process chamber (50) comprises components that are capable of being electrically insulated, electrically grounded or RP energized. In one example, a chamber (50) body and a gas manifold (800) assembly are grounded and separated by electrically insulated components, such as an insulation cap (700), a plasma screen insert (600) and an isolation ring (200). A showerhead (300), a plasma baffle (500) and a water box (400) are positioned between the insulated components and become RF hot when activated by a plasma generator (92). Other embodiments of the invention provide deposition processes to form layers of materials within the process chamber (50).

Подробнее
03-06-2004 дата публикации

ATOMIC LAYER DEPOSITION USING METAL AMIDINATES

Номер: WO2004046417A3
Принадлежит:

Metal films are deposited with uniform thickness and excellent step coverage. Copper metal films were deposited on heated substrates by the reaction of alternating doses of copper(I) NN'-diisopropylacetamidinate vapor and hydrogen gas. Cobalt metal films were deposited on heated substrates by the reaction of alternating doses of cobalt(II) bis(N,N'-diisopropylacetamidinate) vapor and hydrogen gas. Nitrides and oxides of these metals can be formed by replacing the hydrogen with ammonia or water vapor, respectively. The films have very uniform thickness and excellent step coverage in narrow holes. Suitable applications include electrical interconnects in microelectronics and magnetoresistant layers in magnetic information storage devices.

Подробнее
11-03-2004 дата публикации

SYSTEMS AND METHODS FOR FORMING METAL OXIDES USING ALCOHOLS

Номер: WO2004020690A1
Автор: VAARSTRA, Brian, A.
Принадлежит:

A method of forming (and an apparatus for forming) a metal oxide layer on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process, one or more alcohols, and one or more metal-containing precursor compounds.

Подробнее
09-09-2005 дата публикации

METHOD FOR FORMING COPPER FILM

Номер: WO2005083152A1
Принадлежит:

Disclosed is a method for forming a copper film wherein a gas obtained by gasifying a copper carboxylate complex having high vapor pressure and good wetting property to a base or a derivative thereof is used as a raw material gas, and H2 is used as a reducing gas. In this method, a Cu film is formed on a substrate through an ALD (Atomic Layer Deposition) process wherein a step for having the substrate adsorb the raw material gas and another step for forming a Cu film by reducing the adsorbed raw material gas with the reducing gas are repeated. With this method, there can be formed a conformal Cu thin film having good film properties.

Подробнее
22-11-2012 дата публикации

Method of Depositing Dielectric Film by ALD Using Precursor Containing Silicon, Hydrocarbon, and Halogen

Номер: US20120295449A1
Принадлежит: ASM JAPAN K.K.

A method of forming a dielectric film having at least SiN, SiC, or SiB bonds on a semiconductor substrate by atomic layer deposition (ALD), includes: supplying a precursor in a pulse to adsorb the precursor on a surface of a substrate; supplying a reactant gas in a pulse over the surface without overlapping the supply of the precursor; reacting the precursor and the reactant gas on the surface; and repeating the above steps to form a dielectric film having at least SiN, SiC, or SiB bonds on the substrate. The precursor has at least one SiC or SiN bond, at least one hydrocarbon, and at least two halogens attached to silicon in its molecule.

Подробнее
04-01-2022 дата публикации

Method for forming an ultraviolet radiation responsive metal oxide-containing film

Номер: US0011217444B2
Принадлежит: ASM IP Holding B.V.

A method for forming ultraviolet (UV) radiation responsive metal-oxide containing film is disclosed. The method may include, depositing an UV radiation responsive metal oxide-containing film over a substrate by, heating the substrate to a deposition temperature of less than 400° C., contacting the substrate with a first vapor phase reactant comprising a metal component, a hydrogen component, and a carbon component, and contacting the substrate with a second vapor phase reactant comprising an oxygen containing precursor, wherein regions of the UV radiation responsive metal oxide-containing film have a first etch rate after UV irradiation and regions of the UV radiation responsive metal oxide-containing film not irradiated with UV radiation have a second etch rate, wherein the second etch rate is different from the first etch rate.

Подробнее
07-02-2008 дата публикации

Selective sealing of porous dielectric materials

Номер: US20080032064A1
Автор: Roy Gordon, Daewon Hong

This invention relates to materials and processes for selective deposition of silica films on non-metallic areas of substrates while avoiding any significant deposition on metallic conductive areas. Silica sealed the surface pores of a porous dielectric by the reaction of an aluminum-containing compound with an alkoxysilanol. Metal layers are protected from this deposition of silica by adsorption of a partially fluorinated alkanethiol. This invention provides processes for producing semi-porous dielectric materials wherein surface porosity is significantly reduced or removed while internal porosity is preserved to maintain a desired low-k value for the overall dielectric material. At the same time, a clean metal surface is produced, so that low electrical resistances of connections between copper layers are maintained. The combination of low-k dielectric constant and low resistance allows construction of microelectronic devices operating at high speeds.

Подробнее
08-11-2007 дата публикации

METHODS OF FORMING METAL THIN FILMS, LANTHANUM OXIDE FILMS, AND HIGH DIELECTRIC FILMS FOR SEMICONDUCTOR DEVICES USING ATOMIC LAYER DEPOSITION

Номер: US20070259212A1
Принадлежит:

The present invention provides methods of forming metal thin films, lanthanum oxide films and high dielectric films. Compositions of metal thin films, lanthanum oxide films and high dielectric films are also provided. Further provided are semiconductor devices comprising the metal thin films, lanthanum oxide films and high dielectric films provided herein.

Подробнее
25-10-2018 дата публикации

METHODS AND APPARATUS FOR FORMING SMOOTH AND CONFORMAL COBALT FILM BY ATOMIC LAYER DEPOSITION

Номер: US20180308701A1
Принадлежит:

Provided herein are atomic layer deposition (ALD) methods of depositing cobalt in a feature. The methods involve two-step surface treatments during an ALD cycle, with one step involving the reaction of a co-reactant gas with an adsorbed cobalt precursor and the other step involving a growth-inhibiting reactant gas on the cobalt surface. The growth-inhibiting reactant gas significantly lowers cobalt growth rate, producing a highly conformal cobalt film. The described ALD processes enable improved controllability in film nucleation, step coverage, and morphology by the separate surface treatment and low process temperature. The methods are applicable to a variety of feature fill applications including the fabrication of metal gate/contact fill in front end of line (FEOL) processes as well as via/line fill in back end of line (BEOL) processes.

Подробнее
12-10-2021 дата публикации

Trichlorodisilane

Номер: US0011142462B2

Disclosed is a Silicon Precursor Compound for deposition, the Silicon Precursor Compound comprising trichlorodisilane; a composition for film forming, the composition comprising the Silicon Precursor Compound and at least one of an inert gas, molecular hydrogen, a carbon precursor, nitrogen precursor, and oxygen precursor; a method of forming a silicon-containing film on a substrate using the Silicon Precursor Compound, and the silicon-containing film formed thereby.

Подробнее
18-07-2006 дата публикации

Nucleation method for atomic layer deposition of cobalt on bare silicon during the formation of a semiconductor device

Номер: US0007078326B1
Принадлежит: MARSH EUGENE P

A method used to form a cobalt metal layer on a silicon surface using an atomic layer deposition (ALD) process comprises a treatment of the silicon surface prior to cobalt formation. Treatment includes serial exposure to one or more cycles comprising a titanium nitride precursor or a tantalum nitride precursor, followed by an optional exposure to ammonia. After this treatment, the silicon surface is exposed to a metal organic cobalt such as cyclopentadienylcobalt dicarbonyl to form a cobalt precursor on the silicon surface, which is then exposed to hydrogen or ammonia to reduce the precursor to an ALD cobalt metal layer. Once this initial metal layer is formed, additional cobalt ALD layers may be completed to form a cobalt metal layer of a desired thickness.

Подробнее
08-08-2017 дата публикации

Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Номер: US0009728400B2

A method of manufacturing a semiconductor device is disclosed. The method includes forming a film on a substrate by performing a cycle a predetermined number of times, wherein the cycle includes non-simultaneously performing: supplying a precursor gas to the substrate in a process chamber; exhausting the precursor gas in the process chamber through an exhaust system; confining a reaction gas, which differs in chemical structure from the precursor gas, in the process chamber by supplying the reaction gas to the substrate in the process chamber while the exhaust system is closed; and exhausting the reaction gas in the process chamber through the exhaust system while the exhaust system is opened.

Подробнее
17-04-2014 дата публикации

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер: US20140102365A1
Принадлежит:

Methods for deposition of elemental metal films on surfaces using metal coordination complexes comprising nitrogen-containing ligands are provided. Also provided are nitrogen-containing ligands useful in the methods of the invention and metal coordination complexes comprising these ligands.

Подробнее
05-07-2016 дата публикации

Method of forming a metal from a cobalt metal precursor

Номер: US0009385033B2

A metal precursor and a method comprising decomposing a metal precursor on an integrated circuit device; and forming a metal from the metal precursor, wherein the metal precursor is selected from the group consisting of (i) a Co2(CO)6(R1CCR2), wherein R1 and R2 are individually selected from a straight or branched monovalent hydrocarbon group have one to six carbon atoms that may be interrupted and substituted; (ii) a mononuclear cobalt carbonyl nitrosyl; (iii) a cobalt carbonyl bonded to one of a boron, indium, germanium and tin moiety; (iv) a cobalt carbonyl bonded to a mononuclear or binuclear allyl; and (v) a cobalt(II) complex comprising nitrogen-based supporting ligands.

Подробнее
07-03-2019 дата публикации

VAPOR DEPOSITION OF THIN FILMS COMPRISING GOLD

Номер: US2019071775A1
Принадлежит:

Vapor deposition processes for forming thin films comprising gold on a substrate in a reaction space are provided. The processes can be cyclical vapor deposition processes, such as atomic layer deposition (ALD) processes. The processes can include contacting the substrate with a gold precursor comprising at least one sulfur donor ligand and at least one alkyl ligand, and contacting the substrate with a second reactant comprising ozone. The deposited thin films comprising gold can be uniform, continuous, and conductive at very low thicknesses.

Подробнее
20-06-2019 дата публикации

AN APPARATUS AND METHOD FOR PROCESSING PARTICULATE MATTER

Номер: US20190184363A1
Принадлежит: BENEQ OY

An apparatus and method for processing particulate matter by exposing the particulate matter to successive surface reactions of at least a first and a second gaseous precursor according to the principles of atomic layer deposition. The apparatus includes a vacuum chamber, a reaction chamber for particulate matter, wherein the reaction chamber is provided inside the vacuum chamber, a vibration mechanism for vibrating particulate matter inside the reaction chamber; and a precursor system arranged to supply the at least first and second gaseous precursors through the reaction chamber for subjecting the particulate matter to the at least first and second gaseous precursors. The method includes the steps of supplying the at least first and second gaseous precursors through the reaction chamber for subjecting the particulate matter to the at least first and second gaseous precursors, and vibrating particulate matter inside the reaction chamber.

Подробнее
19-11-2020 дата публикации

COMPOSITION FOR DEPOSITING SILICON-CONTAINING THIN FILM AND METHOD FOR PRODUCING SILICON-CONTAINING THIN FILM USING THE SAME

Номер: US20200361966A1
Принадлежит:

Provided are a composition for depositing a silicon-containing thin film containing a trisilylamine compound and a method for producing a silicon-containing thin film using the same, and more particularly, a composition for depositing a silicon-containing thin film containing a trisilylamine compound which is capable of forming a silicon-containing thin film at a very high deposition rate at a low temperature to be usable as a precursor of a silicon-containing thin film and an encapsulant of a display, and a method for producing a silicon-containing thin film by using the same.

Подробнее
01-04-2014 дата публикации

Heteroleptic pyrrolecarbaldimine precursors

Номер: US0008686138B2

Disclosed are precursors having a pyrrolecarbaldiminates ligand and methods of synthesizing the same. The pyrrolecarbaldiminates ligand may be substituted.

Подробнее
01-05-2008 дата публикации

ALD of metal-containing films using cyclopentadienyl compounds

Номер: US2008102205A1
Принадлежит:

Atomic layer deposition (ALD) type processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl precursors as a metal source material. In preferred embodiments the metal containing cyclopentadienyl reactant comprises a metal atom that is not directly bonded to an oxygen or halide atom. In other embodiments the metal atom is bonded to a cyclopentadienyl compound and separately bonded to at least one ligand via a nitrogen atom. In still other embodiments the metal containing cyclopentadienyl compound comprises a nitrogen-bridged ligand.

Подробнее
27-04-2006 дата публикации

Methods for chemical vapor deposition of titanium-silicon-nitrogen films

Номер: US2006088661A1
Принадлежит:

A method is provided for chemical vapor deposition of a TiSixNy film onto a substrate wherein x is greater than zero and no greater than about 5, and y is greater than zero and no greater than about 7, including introducing into a deposition chamber: (i) a substrate; (ii) a source precursor comprising titanium in a vapor state having the formula (I): Ti(I4-m-n)(Brm)(Cln) (I) wherein m is an integer from zero to 4, n is an integer from 0 to 2, and m+n is no greater than 4; (iii) a compound comprising silicon in a vapor state; (iv) a reactant gas comprising nitrogen; and maintaining a temperature of the substrate in the chamber at about 70 ° C. to about 550 ° C. for a period of time sufficient to deposit the TiSixNy film on the substrate.

Подробнее
29-12-2022 дата публикации

SUBSTRATE PROCESSING METHOD

Номер: US20220415650A1
Принадлежит:

Provided is a substrate processing method capable of filling a film in a gap structure without forming voids or seams in a gap, the substrate processing method including: a first step of forming a thin film on a structure including a gap by performing a first cycle including supplying a first reaction gas and supplying a second reaction gas to the structure a plurality of times; a second step of etching a portion of the thin film by supplying a fluorine-containing gas onto the thin film; a third step of supplying a hydrogen-containing gas onto the thin film; a fourth step of supplying an inhibiting gas to an upper portion of the gap; and a fifth step of forming a thin film by performing a second cycle including supplying the first reaction gas and supplying a second reaction gas onto the thin film a plurality of times.

Подробнее
09-06-2022 дата публикации

SILICON PRECURSORS FOR SILICON NITRIDE DEPOSITION

Номер: US20220181148A1
Принадлежит:

The current disclosure relates to a vapor deposition assembly for depositing silicon nitride on a substrate by a plasma-enhanced cyclic deposition process. The disclosure also relates to a method for depositing silicon nitride on a substrate by a plasma-enhanced cyclic deposition process. The method comprises providing a substrate in a reaction chamber, providing a vapor-phase silicon precursor according to the formula SiH3X, wherein X is iodine or bromine, into the reaction chamber, removing excess silicon precursor and possible reaction byproducts from the reaction chamber and providing a reactive species generated from a nitrogen-containing plasma into the reaction chamber to form silicon nitride on the substrate. The disclosure further relates to structure and devices formed by the method.

Подробнее
05-05-2022 дата публикации

MOLYBDENUM DEPOSITION METHOD

Номер: US20220139713A1
Принадлежит:

The current disclosure relates to methods of depositing molybdenum on a substrate. The disclosure further relates to a molybdenum layer, to a structure and to a device comprising a molybdenum layer. In the method, molybdenum is deposited on a substrate by a cyclical deposition process, and the method comprises providing a substrate in a reaction chamber, providing a molybdenum precursor to the reaction chamber in a vapor phase and providing a reactant to the reaction chamber in a vapor phase to form molybdenum on the substrate. The molybdenum precursor comprises a molybdenum atom and a hydrocarbon ligand, and the reactant comprises a hydrocarbon comprising two or more halogen atoms, and at least two halogen atoms are attached to different carbon atoms.

Подробнее
28-07-2022 дата публикации

ORGANOSILICON PRECURSORS FOR DEPOSITION OF SILICON-CONTAINING FILMS

Номер: US20220234903A1
Принадлежит: VERSUM MATERIALS US, LLC

A composition comprises at least one a composition comprising at least one organosilicon compound which has two or more silicon atoms connected to either a carbon atom or a hydrocarbon moiety.

Подробнее
26-05-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS WITH AN INJECTOR

Номер: US20220162751A1
Принадлежит:

A substrate processing apparatus having a tube, a closed liner lining the interior surface of the tube, a plurality of gas injectors to provide a gas to an inner space of the liner, and, a gas exhaust duct to remove gas from the inner space is disclosed. The liner may have a substantially cylindrical wall delimited by a liner opening at a lower end and being substantially closed for gases above the liner opening. The apparatus may have a boat constructed and arranged moveable into the inner space via the liner opening and provided with a plurality of substrate holders for holding a plurality of substrates over a substrate support length in the inner space. Each of the gas injectors may have a single exit opening at the top and the exit openings of the plurality of injectors are substantially equally divided over the substrate support length.

Подробнее
03-12-2014 дата публикации

Method for forming a tantalum-containing layer on a substrate

Номер: EP2808331A1
Принадлежит:

Compounds of formula (I): (R1-C=C-R2)Ta(R3)(=NR4), - R1, R2 are organic ligands independently selected in the group consisting of C1-C4 alkyls, C2-C4 alkene or amines, - R3 is an organic ligand independently selected in the group consisting of H, C1-C6 alkyl, aryl, C2-C6 alkenyl, alkylsilyl, alkylamides, alkylsilylamides, alkoxides, fluoroalkyls, cycloalkyls, halides, - R4 is an organic ligand independently selected in the group consisting of C1-C6 alkyl.

Подробнее
17-08-2005 дата публикации

ATOMIC LAYER DEPOSITION USING METAL AMIDINATES

Номер: EP0001563117A2
Принадлежит:

Metal films are deposited with uniform thickness and excellent step coverage. Copper metal films were deposited on heated substrates by the reaction of alternating doses of copper(I) NN'-diisopropylacetamidinate vapor and hydrogen gas. Cobalt metal films were deposited on heated substrates by the reaction of alternating doses of cobalt(II) bis(N,N'-diisopropylacetamidinate) vapor and hydrogen gas. Nitrides and oxides of these metals can be formed by replacing the hydrogen with ammonia or water vapor, respectively. The films have very uniform thickness and excellent step coverage in narrow holes. Suitable applications include electrical interconnects in microelectronics and magnetoresistant layers in magnetic information storage devices.

Подробнее
21-11-2018 дата публикации

СТРУКТУРА ПОДЛОЖКИ И СПОСОБ ЕЕ ИЗГОТОВЛЕНИЯ

Номер: RU2672962C2

Изобретение относится к подложке, содержащей гибридные тонкие пленки, и к способу ее изготовления и может быть использовано для покрытия излучателей света, экранов, элементов солнечных батарей, а также может применяться в различных областях, включая наноструктурирование для изготовления полупроводников и электронных устройств, химических датчиков и биосенсоров, в сфере разработок нанотрибологии, поверхностных модификаций, наноэлектронных машинных систем (NEMS), микроэлектронных машинных систем (MEMS) и энергонезависимых запоминающих устройств. Подложка содержит гибридные тонкие пленки, каждая из которых содержит неорганические и органические слои, расположенные один на другом на подложке и представленные следующей структурной формулой 1: [структурная формула 1] -[M-X-R1-Y-]m-, при этом m является 1 или более, R1 представляет собой замещенный или незамещенный Салкил, Сциклоалкил или арил, или гетероарил, которые имеют количество атомов в ядрах, составляющее 5-60, М выбирают из группы, состоящей ...

Подробнее
10-06-2009 дата публикации

СЕЛЕКТИВНОЕ ЛЕГИРОВАНИЕ МАТЕРИАЛА

Номер: RU2357934C2
Принадлежит: БЕНЕК ОЙ (FI)

Данное изобретение относится к способу селективного легирования материала и может быть использовано для изготовления оптического волокна или оптических волноводов. Способ селективного легирования материала включает а) облучение заданного образца/области материала, где это облучение создает генерирующее напряжение в облучаемой области, b) обработку материала с образованием реакционноспособных групп в заданном образце/области материала, и с) легирование материала методом послойного атомного осаждения с получением в материале образца/области, легированных добавкой. Рассмотрена возможность направления излучения на стадии а) по меньшей мере из двух различных направлений с получением в материале заранее обработанной области в трехмерной форме. Легируемый материал представляет собой стекло (например, пористое), керамику, полимер, металл или их смеси. Легирующая добавка включает одно или более веществ из группы: редкоземельный металл, бор, алюминий, германий, олово, кремний, фосфор, фтор или серебро ...

Подробнее
12-09-2017 дата публикации

Устройство и способы для реакторов осаждения

Номер: RU2630727C2
Принадлежит: Пикосун Ой (FI)

Изобретение относится к источнику исходного продукта для реактора химического осаждения материала последовательными самонасыщающимися поверхностными реакциями и к картриджу исходного продукта для источника исходного продукта. Упомянутый источник содержит присоединяемый картридж исходного продукта, выполненный с возможностью отсоединения, первую соединительную часть, выполненную с возможностью присоединения картриджа исходного продукта к источнику исходного продукта и отсоединения от него, и вторую соединительную часть для присоединения источника исходного продукта к устройству реактора осаждения и отсоединения от него. Картридж исходного продукта для вышеуказанного источника исходного продукта, присоединяемый с возможностью отсоединения, содержит камеру исходного продукта, резервуар исходного продукта для вмещения исходного продукта, соединительную часть для загрузки и разгрузки резервуара исходного продукта и клапан для изоляции газового пространства указанной камеры от выходного трубопровода ...

Подробнее
27-05-2012 дата публикации

УСТРОЙСТВО И СПОСОБ ДЛЯ РЕАКТОРОВ ОСАЖДЕНИЯ

Номер: RU2010146303A
Принадлежит:

... 1. Устройство, содержащее: ! источник исходного продукта, выполненный с возможностью осаждения материала на нагретую подложку в реакторе осаждения последовательными самонасыщающимися поверхностными реакциями; ! линию подачи, предназначенную для подачи пара исходного продукта из источника исходного продукта в реакционную камеру, содержащуюся в реакторе, который содержит подложку; и ! конструкцию, выполненную с возможностью использования тепла от нагревателя реакционной камеры для предотвращения конденсации пара исходного продукта в жидкую или твердую фазу между источником исходного продукта и реакционной камерой. ! 2. Устройство по п.1, в котором источник исходного продукта содержит выступ, выполненный с возможностью получения тепла от реактора. ! 3. Устройство по п.2, содержащее внутреннюю горловину в выступе и внешнюю горловину вокруг выступа, причем внутренняя горловина и внешняя горловина образуют между собой зазор. ! 4. Устройство по п.2, содержащее теплопроводящую часть, расположенную ...

Подробнее
10-11-2014 дата публикации

УСТРОЙСТВО И СПОСОБЫ ДЛЯ РЕАКТОРОВ ОСАЖДЕНИЯ

Номер: RU2013120817A
Принадлежит:

... 1. Источник исходного продукта, содержащий:присоединяемый картридж исходного продукта, выполненный с возможностью отсоединения;первую соединительную часть, выполненную с возможностью присоединения картриджа исходного продукта к источнику исходного продукта и отсоединения от него; ивторую соединительную часть для присоединения источника исходного продукта к устройству реактора осаждения и отсоединения от него.2. Источник по п.1, содержащий фильтр частиц, соединенный с первой соединительной частью.3. Источник по п.1, содержащий уплотнительную часть или клапан, выполненный с возможностью герметичного закрытия картриджа исходного продукта с обеспечением предотвращения потока материала исходного продукта из картриджа исходного продукта к первой соединительной части.4. Источник по п.3, содержащий третью соединительную часть на первой стороне уплотнительной части или клапана и четвертую соединительную часть на другой стороне уплотнительной части или клапана, при этом указанные третья и четвертая ...

Подробнее
10-10-2014 дата публикации

УСТРОЙСТВО И СПОСОБ ДЛЯ РЕАКТОРОВ ОСАЖДЕНИЯ

Номер: RU2013116787A
Принадлежит:

... 1. Устройство, содержащее:источник исходного продукта, выполненный с возможностью осаждения материала на нагретую подложку в реакторе осаждения последовательными самонасыщающимися поверхностными реакциями, ипервый пульсирующий клапан, внедренный в источник исходного продукта и выполненный с возможностью управления подачей пара исходного продукта из источника исходного продукта в реакционную камеру, содержащуюся в реакторе, который содержит подложку,при этом устройство выполнено с возможностью пропускания нереакционноспособного газа к картриджу источника исходного продукта с обеспечением поднятия давления и облегчения последующего протекания смеси пара исходного продукта и нереакционноспособного газа к реакционной камере.2. Устройство по п.1, которое выполнено с возможностью закрытия картриджа исходного продукта после поднятия давления до начала следующего периода импульса исходного продукта и с возможностью открытия пути к реакционной камере через пульсирующий клапан при начале следующего ...

Подробнее
10-06-2020 дата публикации

VERFAHREN UND VORRICHTUNG ZUM HERSTELLEN EINES KATALYSATORS FÜR BRENNSTOFFZELLEN

Номер: DE102019132015A1
Принадлежит:

Verfahren und zum Herstellen eines Katalysators für Brennstoffzellen, aufweisend: a) Einleiten von Kohlenstoffpartikeln in einen Wirbelschichtreaktor 100, b) Evakuieren des Wirbelschichtreaktors 100, um einen Basisdruck auszubilden, c) Einführen eines katalytischen Metall-Ausgangsstoffs zusammen mit einem Schleppgas in den Wirbelschichtreaktor 100, um den katalytischen Metall-Ausgangsstoff mit den Kohlenstoffpartikeln in Kontakt zu bringen, d) Spülen eines Spülgases in den Wirbelschichtreaktor 100, e) Einführen eines Reaktionsgases in den Wirbelschichtreaktor 100, um den katalytischen Metall-Ausgangsstoff an den Kohlenstoffpartikeln anzubringen, und f) Spülen eines Spülgases in den Wirbelschichtreaktor 100, wobei das katalytische Metall in Form von Flecken in Nanogröße an den Kohlenstoffpartikeln angebracht ist.

Подробнее
16-08-2006 дата публикации

Single source precursors for atomic layer deposition

Номер: GB0000613453D0
Автор:
Принадлежит:

Подробнее
16-01-2008 дата публикации

Single source precursors for atomic layer deposition

Номер: GB2439996A
Принадлежит:

The deposition of LnA1Ox films by atomic layer deposition (Ln being a lanthanide metal and x is 1 to 4) is effected by the use of a particular precursor, the precursor has the general formula: [LnA1(OR)6(ROH)]2 wherein Ln is as defined above, (OR) is an alkoxide group having from 1 to 4 carbon atoms; and (ROH) is an alcohol having from 1 to 4 carbon atoms. The preferred embodiment of the precursor is [LaA1(OPr)6(PrOH)]2. A mthod of depositing the oxide comprising sequentially pulsating the precursor and an oxygen source precursor gas onto the substrate is also disclosed.

Подробнее
20-08-2014 дата публикации

Two-step deposition process

Номер: GB0201412201D0
Автор:
Принадлежит:

Подробнее
15-01-2009 дата публикации

SYSTEM AND METHOD FOR THE PRODUCTION OF ZIRCONUND/ODER HAFNIUMHÄLTIGER LAYERS

Номер: AT0000418627T
Принадлежит:

Подробнее
02-02-2012 дата публикации

Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide

Номер: US20120028478A1
Принадлежит: Harvard College

Metal silicates or phosphates are deposited on a heated substrate by the reaction of vapors of alkoxysilanols or alkylphosphates along with reactive metal amides, alkyls or alkoxides. For example, vapors of tris(tert-butoxy)silanol react with vapors of tetrakis(ethylmethylamido)hafnium to deposit hafnium silicate on surfaces heated to 300° C. The product film has a very uniform stoichiometry throughout the reactor. Similarly, vapors of diisopropylphosphate react with vapors of lithium bis(ethyldimethylsilyl)amide to deposit lithium phosphate films on substrates heated to 250° C. Supplying the vapors in alternating pulses produces these same compositions with a very uniform distribution of thickness and excellent step coverage.

Подробнее
03-05-2012 дата публикации

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер: US20120108062A1
Принадлежит: Applied Materials Inc

Methods for deposition of elemental metal films on surfaces using metal coordination complexes comprising nitrogen-containing ligands are provided. Also provided are nitrogen-containing ligands useful in the methods of the invention and metal coordination complexes comprising these ligands.

Подробнее
12-07-2012 дата публикации

Deposition of group iv metal-containing films at high temperature

Номер: US20120175751A1
Принадлежит: Individual

Disclosed are group IV metal-containing precursors and their use in the deposition of group IV metal-containing films{nitride, oxide and metal) at high process temperature. The use of cyclopentadienyl and imido ligands linked to the metal center secures thermal stability, allowing a large deposition temperature window, and low impurity contamination. The group IV metal (titanium, zirconium, hafnium)-containing fvm depositions may be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD.

Подробнее
12-07-2012 дата публикации

Compositions and methods of use for forming titanium-containing thin films

Номер: US20120178266A1
Принадлежит: Sigma Aldrich Co LLC

Compositions and methods for forming titanium-containing thin films are provided. The compositions comprise at least one precursor selected from the group consisting of (methylcyclopentadienyl)Ti(NMe 2 ) 3 , (ethylcyclopentadienyl)Ti(NMe 2 ) 3 , (isopropylcyclopentadienyl)Ti(NMe 2 ) 3 , (methylcyclopentadienyl)Ti(NEt 2 ) 3 , (methylcyclopentadienyl)Ti(NMeEt) 3 , (ethylcyclopentadienyl)Ti(NMeEt) 3 and (methylcyclopentadienyl)Ti(OMe) 3 ; and at least one liquification co-factor other than the at least one precursor; wherein the at least one liquification co-factor is present in amount sufficient to co-act with the at least one precursor, and in combination with the at least one precursor, forms a liquid composition.

Подробнее
02-08-2012 дата публикации

Zirconium, hafnium and titanium precursors for atomic layer deposition of corresponding metal-containing films

Номер: US20120196449A1
Принадлежит: Advanced Technology Materials Inc

A zirconium precursor selected from among compounds of Formulae (I), (II) and (III): wherein: M is Zr, Hf or Ti; R 1 is hydrogen or C 1 -C 5 alkyl; each of R 2 , R′ and R″ is independently selected from C 1 -C 5 alkyl; and n has a value of 0, 1, 2, 3 or 4. Compounds of such formulae are useful in vapor deposition processes such as atomic layer deposition, to form corresponding metal-containing films, e.g., high k dielectric zirconium films in the fabrication of DRAM memory cells.

Подробнее
09-08-2012 дата публикации

Multidentate Ketoimine Ligands For Metal Complexes

Номер: US20120201958A1
Принадлежит: Air Products and Chemicals Inc

The present invention is a plurality of metal-containing complexes of a multidentate ketoiminate.

Подробнее
03-01-2013 дата публикации

Low temperature deposition of phase change memory materials

Номер: US20130005078A1
Принадлежит: Advanced Technology Materials Inc

A system and method for forming a phase change memory material on a substrate, in which the substrate is contacted with precursors for a phase change memory chalcogenide alloy under conditions producing deposition of the chalcogenide alloy on the substrate, at temperature below 350° C., with the contacting being carried out via chemical vapor deposition or atomic layer deposition. Various tellurium, germanium and germanium-tellurium precursors are described, which are useful for forming GST phase change memory films on substrates.

Подробнее
28-02-2013 дата публикации

Organometallic compounds

Номер: US20130047890A1
Автор: Scott Houston Meiere
Принадлежит: Praxair Technology Inc

This invention relates to organometallic compounds represented by the formula H a M(NR 1 R 2 ) x (NR 3 H) y (NH 2 ) z wherein M is a metal or metalloid, each of R 1 , R 2 and R 3 is the same or different and is independently a hydrocarbon group or a heteroatom-containing group, a is a value from 0 to 3, x is a value from 0 to 3, y is a value from 0 to 4, z is a value from 0 to 4, and a+x+y+z is equal to the oxidation state of M, provided that at least one of y and z is a value of at least 1, a process for producing the organometallic compounds, and a method for producing a film or coating from organometallic precursor compounds.

Подробнее
28-03-2013 дата публикации

Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Номер: US20130078376A1

Disclosed are methods of forming metal-nitride-containing films from the combination of amino-metal precursors and halogenated metal precursors, preferably forming SiN-containing films from the combination of aminosilane precursors and chlorosilane precursors. Varying the sequential reaction of the amino-metal precursors and halogenated metal precursors provide for the formation of metal-nitride-containing films having varying stoichiometry. In addition, the metal-nitride-containing film composition may be modified based upon the structure of aminometal precursor. The disclosed processes may be thermal processes or plasma processes at low temperatures.

Подробнее
28-03-2013 дата публикации

Halogenated organoaminosilane precursors and methods for depositing films comprising same

Номер: US20130078392A1
Принадлежит: Air Products and Chemicals Inc

Described herein are precursors and methods of forming films. In one aspect, there is provided a precursor having Formula I: X m R 1 n H p Si(NR 2 R 3 ) 4-m-n-p   I wherein X is selected from Cl, Br, I; R 1 is selected from linear or branched C 1 -C 10 alkyl group, a C 2 -C 12 alkenyl group, a C 2 -C 12 alkynyl group, a C 4 -C 10 cyclic alkyl, and a C 6 -C 10 aryl group; R 2 is selected from a linear or branched C 1 -C 10 alkyl, a C 3 -C 12 alkenyl group, a C 3 -C 12 alkynyl group, a C 4 -C 10 cyclic alkyl group, and a C 6 -C 10 aryl group; R 3 is selected from a branched C 3 -C 10 alkyl group, a C 3 -C 12 alkenyl group, a C 3 -C 12 alkynyl group, a C 4 -C 10 cyclic alkyl group, and a C 6 -C 10 aryl group; m is 1 or 2; n is 0, 1, or 2; p is 0, 1 or 2; and m+n+p is less than 4, wherein R 2 and R 3 are linked or not linked to form a ring.

Подробнее
11-04-2013 дата публикации

Plasma-enhanced deposition of titanium-containing films for various applications using amidinate titanium precursors

Номер: US20130089681A1

The present invention relates to a process for the use of Titanium amidinate metal precursors for the deposition of Titanium-containing films via Plasma Enhanced Atomic Layer Deposition (PEALD) or Plasma Enhanced Chemical Vapor Deposition (PECVD).

Подробнее
18-07-2013 дата публикации

Apparatus and Methods for Deposition Reactors

Номер: US20130183444A1
Принадлежит: Picosun Oy

An apparatus, such as an ALD (Atomic Layer Deposition) apparatus, including a precursor source configured for depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions. The apparatus includes an in-feed line for feeding precursor vapor from the precursor source to a reaction chamber and a structure configured for utilizing heat from a reaction chamber heater for preventing condensation of precursor vapor into liquid or solid phase between the precursor source and the reaction chamber. Also various other apparatus and methods are presented. 125-. (canceled)26. An apparatus comprising:a precursor source configured for depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions;a first pulsing valve embedded into the precursor source configured to control feeding of precursor vapor from the precursor source to a reaction chamber comprised by the reactor containing the substrate, the apparatus being configured to:convey inactive gas to a precursor source cartridge to raise pressure and to ease subsequent flow of a mixture of precursor vapor and inactive gas towards the reaction chamber.27. The apparatus of claim 26 , wherein the apparatus is configured to:close the precursor cartridge after the pressure raise until the commencement of a next precursor pulse period, and further configured to:open a route towards the reaction chamber via the pulsing valve upon commencement of the next precursor pulse period.28. The apparatus of claim 26 , further comprising a second pulsing valve embedded into the precursor source configured to control feeding of precursor vapor from the precursor source to a reaction chamber comprised by the reactor containing the substrate.29. The apparatus of claim 28 , wherein the apparatus is configured to:convey inactive gas via the second pulsing valve to the precursor source cartridge to raise pressure and to ease subsequent flow of a ...

Подробнее
24-10-2013 дата публикации

Methods Of Forming Material Over A Substrate And Methods Of Forming Capacitors

Номер: US20130280426A1
Автор: Carlson Chris M., Song Zhe
Принадлежит:

A method of forming a material over a substrate includes performing at least one iteration of the following temporally separated ALD-type sequence. First, an outermost surface of a substrate is contacted with a first precursor to chemisorb a first species onto the outermost surface from the first precursor. Second, the outermost surface is contacted with a second precursor to chemisorb a second species different from the first species onto the outermost surface from the second precursor. The first and second precursors include ligands and different central atoms. At least one of the first and second precursors includes at least two different composition ligands. The two different composition ligands are polyatomic or a lone halogen. Third, the chemisorbed first species and the chemisorbed second species are contacted with a reactant which reacts with the first species and with the second species to form a reaction product new outermost surface of the substrate. 137-. (canceled)38. A method of forming a material over a substrate comprising performing at least one iteration of the following temporally separated ALD-type sequence:contacting an outermost surface of a substrate with a first precursor to chemisorb a first species onto the outermost surface from the first precursor, the first precursor comprising a central atom and at least two different composition ligands;contacting the outermost surface with a second precursor to chemisorb a second species different from the first species onto the outermost surface from the second precursor, the second precursor comprising a central atom and ligands, the central atoms of the first and second precursors being different; andcontacting the chemisorbed first species and the chemisorbed second species with a reactant which reacts with the first species and with the second species to form a reaction product new outermost surface of the substrate.39. The method of wherein all of the ligands of the second precursor are of the ...

Подробнее
07-11-2013 дата публикации

Niobium and vanadium organometallic precursors for thin film deposition

Номер: US20130295778A1

Compound of the formula Cp(R 1 ) m M(NR 2 2 ) 2 (═NR 3 ) (I): wherein: M is a metal independently selected from Vanadium (V) or Niobium (Nb) and m≦5; R 1 is an organic ligand, each one independently selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atom; R 2 is an organic ligand, each one independently selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atom; R 3 is an organic ligand selected in the group consisting of H, linear or branched hydrocarbyl radical comprising from 1 to 6 carbon atom.

Подробнее
28-11-2013 дата публикации

Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics

Номер: US20130313656A1
Автор: Jinhong Tong
Принадлежит: Intermolecular Inc

Provided is a two-step ALD deposition process for forming a gate dielectric involving an erbium oxide layer deposition followed by a hafnium oxide layer deposition. Hafnium oxide can provide a high dielectric constant, high density, large bandgap and good thermal stability. Erbium oxide can act as a barrier against oxygen diffusion, which can lead to increasing an effective oxide thickness of the gate dielectric and preventing hafnium-silicon reactions that may lead to higher leakage current.

Подробнее
16-01-2014 дата публикации

Deposition Of N-Metal Films Comprising Aluminum Alloys

Номер: US20140017408A1
Принадлежит: Individual

Provided are methods of depositing films comprising alloys of aluminum, which may be suitable as N-metal films. Certain methods comprise exposing a substrate surface to a metal halide precursor comprising a metal halide selected from TiCl 4 , TaCl 5 and HfCl 4 to provide a metal halide at the substrate surface; purging metal halide; exposing the substrate surface to an alkyl aluminum precursor comprising one or more of dimethyaluminum hydride, diethylhydridoaluminum, methyldihydroaluminum, and an alkyl aluminum hydrides of the formula [(CxHy) 3-a AlH a ] n , wherein x has a value of 1 to 3, y has a value of 2x+2, a has a value of 1 to 2, and n has a value of 1 to 4; and exposing the substrate surface to an alane-containing precursor comprising one or more of dimethylethylamine alane, methylpyrrolidinealane, di(methylpyrolidine)alane, and trimethyl amine alane borane. Other methods comprise exposing a substrate surface to a metal precursor and trimethyl amine alane borane.

Подробнее
06-01-2022 дата публикации

ATOMIC LAYER DEPOSITION OF RHENIUM CONTAINING THIN FILMS

Номер: US20220002868A1
Принадлежит:

Methods for depositing rhenium-containing thin films are provided. In some embodiments metallic rhenium-containing thin films are deposited. In some embodiments rhenium sulfide thin films are deposited. In some embodiments films comprising rhenium nitride are deposited. The rhenium-containing thin films may be deposited by cyclic vapor deposition processes, for example using rhenium halide precursors. The rhenium-containing thin films may find use, for example, as 2D materials. 1. A method for depositing a thin film comprising rhenium sulfide on a substrate , the method comprising two or more sequential deposition cycles each comprising alternately and sequentially contacting the substrate with a vapor-phase rhenium precursor comprising a rhenium halide compound and a vapor-phase sulfur reactant.2. The method of claim 1 , wherein the method is an atomic layer deposition (ALD) process.3. The method of claim 1 , wherein the method is a sequential or pulsed chemical vapor deposition (CVD) process.4. The method of claim 1 , wherein the vapor-phase rhenium precursor comprises ReClor ReF.5. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises hydrogen and sulfur.6. The method of claim 1 , wherein the vapor-phase sulfur reactant is an alkylsulfur compound.7. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises elemental sulfur.8. The method of claim 1 , wherein the vapor-phase sulfur reactant has the formula R—S—H claim 1 , wherein R is a substituted or unsubstituted hydrocarbon.9. The method of claim 8 , wherein R is a C1-C8 alkyl or substituted alkyl10. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises HS claim 1 , wherein n is from 4 to 10.11. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises one or more of HS claim 1 , (CH)S claim 1 , (NH)S claim 1 , ((CH)SO) claim 1 , and HS.12. The method of claim 1 , wherein the vapor-phase sulfur reactant comprises (NH)S.13. The method of ...

Подробнее
07-01-2016 дата публикации

ENHANCED DEPOSITION OF LAYER ON SUBSTRATE USING RADICALS

Номер: US20160002783A1
Автор: LEE Sang In
Принадлежит:

Embodiments relate to using radicals to at different stages of deposition processes. The radicals may be generated by applying voltage across electrodes in a reactor remote from a substrate. The radicals are injected onto the substrate at different stages of molecular layer deposition (MLD), atomic layer deposition (ALD), and chemical vapor deposition (CVD) to improve characteristics of the deposited layer, enable depositing of material otherwise not feasible and/or increase the rate of deposition. Gas used for generating the radicals may include inert gas and other gases. The radicals may disassociate precursors, activate the surface of a deposited layer or cause cross-linking between deposited molecules. 1. A method of performing atomic layer deposition , comprising:generating radicals of a gas or a mixture of gases;injecting the generated radicals onto a surface of a substrate to increase a number of nucleation sites on the substrate by placing the surface of the substrate in a reactive state;injecting a first source precursor onto the surface of the substrate placed in the reactive state, adsorption of the first source precursor on the substrate facilitated by increase in the number of nucleation sites; andinjecting a first reactant precursor onto the substrate injected with the first source precursor to deposit a layer on the surface of the substrate.2. The method of claim 1 , wherein the gas or mixture of gases comprise inert gas.3. The method of claim 2 , wherein the inert gas is Argon.4. The method of claim 1 , further comprising:injecting the generated radicals onto the surface of the substrate deposited with the layer;injecting a second source precursor onto the surface of the substrate deposited with the layer; andinjecting a second reactant precursor onto the surface of the substrate deposited with the layer to deposit another layer.5. The method of claim 4 , wherein the second source precursor is a same material as the first source precursor claim 4 , ...

Подробнее
07-01-2016 дата публикации

BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS

Номер: US20160002786A1
Принадлежит:

Bis(alkylimido)-bis(alkylamido)molybdenum compounds, their synthesis, and their use for the deposition of molybdenum-containing films are disclosed. 1. An atomic layer deposition method for forming a molybdenum-containing film on a substrate , the method comprising:{'sub': 2', '2, 'introducing a molybdenum-containing precursor into a vapor deposition chamber containing a substrate, the molybdenum-containing precursor having the formula Mo(NR)(NHR′), wherein R and R′ are independently chosen from the group consisting of a C1-C4 alkyl group, a C1-04 perfluoroalkyl group, and an alkylsilyl group; and'}depositing at least part of the molybdenum-containing precursor on the substrate by atomic layer deposition to form the molybdenum-containing film.2. The atomic layer deposition method of claim 1 , wherein the molybdenum-containing precursor is selected from the group consisting of Mo(NMe)(NHMe) claim 1 , Mo(NMe)(NHEt) claim 1 , Mo(NMe)(NHPr) claim 1 , Mo(NMe)(NHiPr) claim 1 , Mo(NMe)(NHBu) claim 1 , Mo(NMe)(NHiBu) claim 1 , Mo(NMe)(NHsBu) claim 1 , Mo(NMe)(NHtBu) claim 1 , Mo(NMe)(NHtAmyl) claim 1 , Mo(NEt)(NHMe) claim 1 , Mo(NEt)(NHEt) claim 1 , Mo(NEt)(NHPr) claim 1 , Mo(NEt)(NHiPr) claim 1 , Mo(NEt)(NHBu) claim 1 , Mo(NEt)(NHiBu) claim 1 , Mo(NEt)(NHsBu) claim 1 , Mo(NEt)(NHtBu) claim 1 , Mo(NEt)(NHtAmyl) claim 1 , Mo(NPr)(NHMe) claim 1 , Mo(NPr)(NHEt) claim 1 , Mo(NPr)(NHPr) claim 1 , Mo(NPr)(NHiPr) claim 1 , Mo(NPr)(NHBu) claim 1 , Mo(NPr)(NHiBu) claim 1 , Mo(NPr)(NHsBu) claim 1 , Mo(NPr)(NHtBu) claim 1 , Mo(NPr)(NHtAmyl) claim 1 , Mo(NiPr)(NHMe) claim 1 , Mo(NiPr)(NHEt) claim 1 , Mo(NiPr)(NHPr) claim 1 , Mo(NiPr)(NHiPr) claim 1 , Mo(NiPr)(NHBu) claim 1 , Mo(NiPr)(NHiBu) claim 1 , Mo(NiPr)(NHsBu) claim 1 , Mo(NiPr)(NHtBu) claim 1 , Mo(NiPr)(NHtAmyl) claim 1 , Mo(NBu)(NHMe) claim 1 , Mo(NBu)(NHEt) claim 1 , Mo(NBu)(NHPr) claim 1 , Mo(NBu)(NHiPr) claim 1 , Mo(NBu)(NHBu) claim 1 , Mo(NBu)(NHiBu) claim 1 , Mo(NBu)(NHsBu) claim 1 , Mo(NBu)(NHtBu) claim 1 , Mo(NBu)( ...

Подробнее
02-01-2020 дата публикации

Tin-Containing Precursors and Methods of Depositing Tin-Containing Films

Номер: US20200002814A1
Принадлежит: Applied Materials Inc

Tin containing precursors and methods of forming tin-containing thin films are described. The tin precursor has a tin-diazadiene bond and is homoleptic or heteroleptic. A suitable reactant is used to provide one of a metallic tin film or a film comprising one or more of an oxide, nitride, carbide, boride and/or silicide. Methods of forming ternary materials comprising tin with two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten are also described.

Подробнее
03-01-2019 дата публикации

Deposition of Molybdenum Thin Films Using A Molybdenum Carbonyl Precursor

Номер: US20190003050A1
Принадлежит:

Transition metal precursors are disclosed herein along with methods of using these precursors to deposit metal thin films. Advantageous properties of these precursors and methods are also disclosed, as well as superior films that can be achieved with the precursors and methods. 1. A method for forming a molybdenum-containing film by a deposition process , the method comprising delivering at least one organometallic precursor of the formula [CH(Cl)P]Mo(CO)to a substrate.2. The method of claim 1 , wherein the deposition process is chemical vapor deposition or atomic layer deposition.3. The method of claim 2 , wherein the chemical vapor deposition is selected from the group consisting of pulsed chemical vapor deposition claim 2 , liquid injection chemical vapor deposition claim 2 , and continuous flow chemical vapor deposition and the atomic layer deposition is liquid injection atomic layer deposition or plasma-enhanced atomic layer deposition.4. (canceled)5. (canceled)6. (canceled)7. The method of claim 1 , wherein the substrate is at a temperature from 250° C. to 450° C.8. The method of claim 7 , wherein the temperature is a temperature from 300° C. to 350° C.9. The method of claim 8 , wherein the temperature is a temperature from 330° C. to 345° C.10. The method of claim 1 , wherein the deposition process is conducted in a chamber pressure from 0.2 Torr to 10 Torr.11. The method of claim 10 , wherein the pressure is a pressure from 0.7 Torr to 2 Torr.12. The method of claim 10 , wherein the pressure is a pressure from 0.7 Torr to 1.3 Torr.13. The method of claim 1 , wherein the substrate is exposed to hydrogen for at least 2 seconds for every 1 second of organometallic precursor exposure.14. The method of claim 13 , wherein the substrate is exposed to hydrogen for at least 14 seconds for every 1 second of organometallic precursor exposure.15. The method of claim 14 , wherein the substrate is exposed to hydrogen for at least 100 seconds for every 1 second of ...

Подробнее
07-01-2021 дата публикации

Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures

Номер: US20210005723A1
Принадлежит: ASM IP Holding BV

Methods for forming a metal silicate film on a substrate in a reaction chamber by a cyclical deposition process are provided. The methods may include: regulating the temperature of a hydrogen peroxide precursor below a temperature of 70° C. prior to introduction into the reaction chamber, and depositing the metal silicate film on the substrate by performing at least one unit deposition cycle of a cyclical deposition process. Semiconductor device structures including a metal silicate film formed by the methods of the disclosure are also provided.

Подробнее
02-01-2020 дата публикации

Deposition And Etch Processes Of Chromium-Containing Thin Films For Semiconductor Manufacturing

Номер: US20200006056A1
Принадлежит:

Chromium containing precursors and methods of forming chromium-containing thin films are described. The chromium precursor has a chromium-diazadiene bond or cyclopentadienyl ligand and is homoleptic or heteroleptic. A suitable reactant is used to provide one of a metallic chromium film or a film comprising one or more of an oxide, nitride, carbide, boride and/or silicide. Methods of forming ternary materials comprising chromium with two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten are also described. Methods of filling gaps in a substrate with a chromium-containing film are also described. 2. The method of claim 1 , wherein the chromium precursor and the reactant are exposed to the substrate separately.3. The method of claim 2 , wherein the chromium precursor and an oxygenating agent are separated temporally.4. The method of claim 2 , wherein the chromium precursor and an oxygenating agent are separated spatially.5. The method of claim 1 , wherein the chromium precursor comprises a homoleptic chromium-diazadiene complexes includes compounds with the general formula Cr(DAD) claim 1 , where DAD is a diazadiene.9. The method of claim 8 , wherein the chromium precursor comprises a heteroleptic chromium-diazadiene complex.10. The method of claim 8 , wherein the chromium precursor comprises a homoleptic chromium-diazadiene complex.11. The method of claim 10 , wherein the chromium precursor comprises bis(1 claim 10 ,4-ditertbutyldiazadienyl)chromium(II).12. The method of claim 1 , wherein the film comprises one or more of chromium metal claim 1 , an oxide claim 1 , nitride claim 1 , carbide claim 1 , boride or silicide.13. The method of claim 1 , wherein the reactant comprises one or more of an alcohol claim 1 , ammonia claim 1 , molecular hydrogen claim 1 , hydrazine claim 1 , substituted hydrazine claim 1 , substituted cyclohexadiene claim 1 , substituted dihydropyrazine claim 1 , aluminum-containing molecules or plasma ...

Подробнее
03-01-2019 дата публикации

CHALCOGENIDE FILMS FOR SELECTOR DEVICES

Номер: US20190006586A1
Принадлежит:

Methods are provided for depositing doped chalcogenide films. In some embodiments the films are deposited by vapor deposition, such as by atomic layer deposition (ALD). In some embodiments a doped GeSe film is formed. The chalcogenide film may be doped with carbon, nitrogen, sulfur, silicon, or a metal such as Ti, Sn, Ta, W, Mo, Al, Zn, In, Ga, Bi, Sb, As, V or B. In some embodiments the doped chalcogenide film may be used as the phase-change material in a selector device. 1. An atomic layer deposition (ALD) method for forming a selector device comprising depositing a doped chalcogenide film on a substrate by a process comprising multiple deposition cycles in which the substrate is alternately and sequentially contacted with two or more reactants for forming the chalcogenide film , and wherein the substrate is contacted with a third dopant precursor in one or more of the deposition cycles.2. The method of claim 1 , wherein the substrate is alternately and sequentially contacted with each of the reactants in the one or more of the deposition cycles.3. The method of claim 1 , wherein the ALD method comprises two or more deposition cycles in which the substrate is alternately and sequentially contacted with the first reactant claim 1 , the second reactant and the dopant precursor to form the doped chalcogenide film.4. The method of claim 1 , wherein the ALD method comprises a first primary deposition sub-cycle in which the substrate is alternately and sequentially contacted with the first reactant and a second reactant to form a chalcogenide material and a second dopant sub-cycle in which the substrate is contacted with the dopant precursor.5. The method of claim 4 , wherein the substrate is alternately and sequentially contacted with one or both of the first and second reactants and the dopant precursor in the dopant sub-cycle.6. The method of claim 4 , wherein the dopant sub-cycle is provided at one or more intervals in the ALD method to obtain the desired dopant ...

Подробнее
20-01-2022 дата публикации

METHOD FOR MANUFACTURING MOLYBDENUM-CONTAINING THIN FILM AND MOLYBDENUM-CONTAINING THIN FILM MANUFACTURED THEREBY

Номер: US20220018017A1
Принадлежит:

The present invention provides a method for manufacturing a molybdenum-containing thin film and a molybdenum-containing thin film manufactured thereby. By using a molybdenum (0)-based hydrocarbon compound and a predetermined reaction gas, the method for manufacturing a molybdenum-containing thin film according to the present invention enables easy manufacturing of a highly pure thin film in a simple process. 1. A method of manufacturing a molybdenum-containing thin film , the method comprising:using a molybdenum(0)-based hydrocarbon compound as a precursor for depositing a thin film, andusing iodine, (C1-C3)alkyl iodide, iodo silane, or a mixture thereof as a reaction gas to manufacture the molybdenum-containing thin film.2. The method of claim 1 , wherein the method is performed by atomic layer deposition (ALD) claim 1 , chemical vapor deposition (CVD) claim 1 , metalorganic chemical vapor deposition (MOCVD) claim 1 , low pressure chemical vapor deposition (LPCVD) claim 1 , plasma-enhanced chemical vapor deposition (PECVD) claim 1 , or plasma-enhanced atomic layer deposition (PEALD).3. The method of claim 1 , wherein the method includes:a) maintaining a temperature of a substrate mounted in a chamber at 80 to 500° C.;b) injecting a carrier gas and the molybdenum(0)-based hydrocarbon compound; andc) injecting a reaction gas which is iodine, (C1-C3)alkyl iodide, iodo silane, or a mixture thereof to manufacture the molybdenum-containing thin film on the substrate.4. The method of claim 1 , wherein the reaction gas is used at 0.1 to 200 mol claim 1 , based on 1 mol of the molybdenum(0)-based hydrocarbon compound.5. The method of claim 3 , further comprising claim 3 , after c) claim 3 , performing heat treatment.6. The method of claim 5 , wherein the heat treatment is performed at 200 to 700° C.8. The method of claim 1 , wherein the reaction gas is I claim 1 , CHI claim 1 , CHI claim 1 , CHI claim 1 , CHCHI claim 1 , CHCHI claim 1 , ICHCHI claim 1 , CHCHCHI claim 1 , ...

Подробнее
20-01-2022 дата публикации

Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films

Номер: US20220018026A1

Disclosed are Group 6 film forming compositions comprising Group 6 transition metal-containing precursors selected from the group consisting of:M(=O)2(OR)2  Formula I,M(=O)(NR2)4  Formula II,M(=O)2(NR2)2  Formula III,M(=NR)2(OR)2  Formula IV, andM(=O)(OR)4  Formula V,wherein M is Mo or W and each R is independently H, a C1 to C6 alkyl group, or SiR′3, wherein R′ is H or a C1 to C6 alkyl group. Also disclosed are methods of synthesizing and using the disclosed compositions to deposit Group 6 transition metal-containing films on substrates via vapor deposition processes.

Подробнее
20-01-2022 дата публикации

Structures and methods for use in photolithography

Номер: US20220019149A1
Принадлежит: ASM IP Holding BV

Methods of forming structures including a stress management layer for photolithography and structures including the stress management layer are disclosed. Further disclosed are systems for depositing a stress management layer. Exemplary methods include forming the stress management layer using one or more of plasma-enhanced cyclic (e.g., atomic layer) deposition and plasma-enhanced chemical vapor deposition.

Подробнее
20-01-2022 дата публикации

Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories

Номер: US20220020862A1
Принадлежит: Entegris Inc

Provided are carbon-free (i.e., less than about 0.1 atomic percentage of carbon) Zr doped HfO2 films, where Zr can be up to the same level of Hf in terms of atomic percentage (i.e., 1% to 60%). The Zr doping can be achieved also by nanometer m laminated ZrO2 and HfO2 films useful in ferroelectric memories (FeRAM). The laminated films are comprised of about 5 to 10 layers of HfO2 and ZrO2 (i.e., alternating) films, each of which for example can be a thickness of about 1 to about 2 nm, wherein the laminated films are a total of about 5 to 10 nm in thickness.

Подробнее
27-01-2022 дата публикации

RAW MATERIAL FOR FORMING THIN FILM BY ATOMIC LAYER DEPOSITION METHOD, METHOD OF PRODUCING THIN FILM, AND ALKOXIDE COMPOUND

Номер: US20220024953A1
Принадлежит: ADEKA CORPORATION

Provided is a thin-film forming raw material, which is used in an atomic layer deposition method, including an alkoxide compound represented by the following general formula (1): 2. A method of producing a thin-film containing a tin atom on a surface of a substrate by an atomic layer deposition method ,the method comprising the steps of:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'vaporizing the thin-film forming raw material of , which is used in an atomic layer deposition method, followed by deposition of the raw material on the surface of the substrate to form a precursor thin-film; and'}causing the precursor thin-film to react with a reactive gas to form the thin-film containing a tin atom on the surface of the substrate. The present invention relates to a thin-film forming raw material, which is used in an atomic layer deposition method, containing an alkoxide compound having a specific structure, a method of producing a thin-film, and an alkoxide compound.A thin-film containing a tin atom shows specific electrical characteristics. Accordingly, the thin-film containing a tin atom has been applied to various applications including a transparent electrode, a resistance film, and a barrier film.As a method of producing a thin-film, there are given, for example, a sputtering method, an ion plating method, an MOD method, such as a coating thermal decomposition method and a sol-gel method, and a CVD method. Of those, an atomic layer deposition method (hereinafter sometimes referred to as ALD method) is an optimum production process because the atomic layer deposition method has a number of advantages, such as excellent composition controllability and step coverage, suitability for mass production, and capability of hybrid integration.Various materials that can be used in vapor phase thin-film formation methods, such as the CVD method and the ALD method, have been reported. A thin-film forming raw material applicable to the ALD method is required to have a ...

Подробнее
14-01-2021 дата публикации

METHOD OF PRODUCING HIGH BULK DENSITY MOLYBDENUM OXYCHLORIDE

Номер: US20210009436A1
Автор: Takahashi Hideyuki
Принадлежит:

Provided is a method of producing a high purity molybdenum oxychloride by including means of sublimating and reaggregating a raw material molybdenum oxychloride in a reduced-pressure atmosphere, or means of retaining a gaseous raw material molybdenum oxychloride, which was synthesized in a vapor phase, in a certain temperature range, and thereby growing crystals to obtain a higher purity molybdenum oxychloride having a high bulk density and high hygroscopicity resistance. 1. A method of producing a molybdenum oxychloride comprising the steps of heating a raw material molybdenum oxychloride , which is a crystal powder , at a temperature range of 70° C. or higher and 150° C. or less in a reduced-pressure atmosphere , sublimating a molybdenum oxychloride from the raw material , and cooling/reaggregating a product thereof to obtain molybdenum oxychloride having a bulk density that is higher than that of the raw material.2. The method of producing a molybdenum oxychloride according to claim 1 , wherein the reduced-pressure atmosphere is an atmosphere of a pressure of 1 kPa or more and 20 kPa or less.3. A method of producing a molybdenum oxychloride comprising the steps of retaining a gaseous raw material molybdenum oxychloride claim 1 , which was synthesized based on a reaction of a molybdenum oxide powder and chlorine gas at 700° C. or higher in a vapor phase claim 1 , at a temperature range of 40° C. or higher and 120° C. or less in an atmospheric pressure claim 1 , growing crystals of molybdenum oxychloride from the raw material claim 1 , and obtaining a molybdenum oxychloride having a bulk density that is higher than that of the raw material.4. The method of producing a molybdenum oxychloride according to claim 3 , wherein the molybdenum oxychloride is one among molybdenum dichloride dioxide (MoOCl) claim 3 , molybdenum trichloride oxide (MoOCl) or molybdenum tetrachloride oxide (MoOCl).5. The method of producing a molybdenum oxychloride according to claim 4 , ...

Подробнее
27-01-2022 дата публикации

DEPOSITION OF SEMICONDUCTOR INTEGRATION FILMS

Номер: US20220026807A1
Принадлежит:

Embodiments disclosed herein include methods of depositing a metal oxo photoresist using dry deposition processes. In an embodiment, the method comprises forming a first metal oxo film on the substrate with a first vapor phase process including a first metal precursor vapor and a first oxidant vapor, and forming a second metal oxo film over the first metal oxo film with a second vapor phase process including a second metal precursor vapor and a second oxidant vapor. 1. A method of forming a photoresist layer over a substrate , comprising:forming a first metal oxo film on the substrate with a first vapor phase process including a first metal precursor vapor and a first oxidant vapor, wherein a flowrate of the first metal precursor vapor and the first oxidant vapor is non-uniform across a surface of the substrate; andforming a second metal oxo film over the first metal oxo film with a second vapor phase process including a second metal precursor vapor and a second oxidant vapor, wherein a flowrate of the second metal precursor vapor and the second oxidant vapor is non-uniform across the surface of the substrate.2. The method of claim 1 , wherein a material composition of the first metal oxo film is different than a material composition of the second metal oxo film.3. The method of claim 1 , wherein a thickness of the first metal oxo film is approximately 5 nm or less.4. The method of claim 3 , wherein the first metal precursor vapor is different than the second metal precursor vapor claim 3 , and/or the first oxidant vapor is different than the second oxidant vapor.5. The method of claim 1 , wherein the first vapor phase process and the second vapor phase process are chemical vapor deposition (CVD) processes claim 1 , plasma enhanced CVD (PE-CVD) processes claim 1 , atomic layer deposition (ALD) processes claim 1 , or plasma enhanced ALD (PE-ALD) processes.6. The method of claim 1 , wherein a temperature of the substrate is between approximately 0° C. and ...

Подробнее
11-01-2018 дата публикации

Process for producing flexible organic-inorganic laminates

Номер: US20180010249A1
Принадлежит: BASF COATINGS GMBH, BASF SE

Processes for producing flexible organic-inorganic laminates by atomic layer deposition are described, as well as barrier films comprising flexible organic-inorganic laminates. In particular, a process for producing a laminate including (a) depositing an inorganic layer by an atomic layer deposition process, and (b) depositing an organic layer comprising selenium by a molecular layer deposition process is provided.

Подробнее
27-01-2022 дата публикации

METHODS FOR FORMING A RHENIUM-CONTAINING FILM ON A SUBSTRATE BY A CYCLICAL DEPOSITION PROCESS AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер: US20220028694A1
Автор: Sharma Varun
Принадлежит:

Methods for forming a rhenium-containing film on a substrate by a cyclical deposition are disclosed. The method may include: contacting the substrate with a first vapor phase reactant comprising a rhenium precursor; and contacting the substrate with a second vapor phase reactant. Semiconductor device structures including a rhenium-containing film formed by the methods of the disclosure are also disclosed. 1. A method for forming a rhenium-containing film on a substrate by a cyclical deposition process , the method comprising:contacting the substrate with a first vapor phase reactant comprising a rhenium oxyhalide precursor, an alkyl rhenium oxide precursor, a cyclopentadienyl based rhenium precursor, or a rhenium carbonyl halide precursor; andcontacting the substrate with a second vapor phase reactant,wherein the rhenium-containing film comprises boron, sulfur, carbon, nitrogen, phosphorus, or a combination thereof.2. The method of claim 1 , wherein the rhenium-containing film comprises at least one of a rhenium boride film claim 1 , a rhenium sulfide film claim 1 , a rhenium carbide film claim 1 , a rhenium nitride film claim 1 , and a rhenium phosphide film.3. The method of claim 1 , wherein the second vapor phase reactant comprises at least one of an oxygen containing precursor claim 1 , a boron containing precursor claim 1 , a sulfur containing precursor claim 1 , and a hydrogen containing precursor.4. The method of claim 1 , further comprising forming a conductive capping layer over a surface of the rhenium-containing film.5. The method of claim 4 , wherein the conductive capping layer comprises at least one of a titanium nitride claim 4 , a rhenium boride claim 4 , a rhenium carbide claim 4 , a rhenium phosphide claim 4 , a rhenium nitride claim 4 , a tantalum nitride claim 4 , tantalum claim 4 , a tungsten carbide claim 4 , molybdenum claim 4 , or a niobium boride.6. The method of claim 1 , wherein the rhenium-containing film comprises a rhenium boron carbide ...

Подробнее
09-01-2020 дата публикации

Methods For Atomic Layer Deposition Of SiCO(N) Using Halogenated Silylamides

Номер: US20200010954A1
Принадлежит:

Methods for the formation of films comprising Si, C, O and N are provided. Certain methods involve sequential exposures of a hydroxide terminated substrate surface to a silicon precursor and an alcohol-amine to form a film with hydroxide terminations. Certain methods involved sequential exposures of hydroxide terminated substrate surface to a silicon precursor and a diamine to form a film with an amine terminated surface, followed by sequential exposures to a silicon precursor and a diol to form a film with a hydroxide terminated surface. 1. A method of depositing a film comprising Si , C , O and N , the method comprising:exposing a substrate surface to a silicon precursor to form a film with silicon-halogen terminations, wherein the silicon precursor comprises a halogenated silyl amide; andexposing the film with silicon-halogen terminations to an alcohol-amine to form a film comprising —OH terminations.2. The method of claim 1 , wherein the silicon precursor comprises substantially no Si—C bonds.3. The method of claim 1 , wherein the silicon precursor comprises a compound having the general formula XSi(NRR′) claim 1 , where n is 1-3 claim 1 , each X is independently F claim 1 , Cl claim 1 , Br or I claim 1 , each of R and R′ is an alkyl or aryl having in the range of 1 to 8 carbon atoms.4. The method of claim 3 , wherein each X is selected from Br or I.5. The method of claim 1 , wherein the alcohol-amine has a general formula HN—R″—OH claim 1 , where R″ is an alkyl claim 1 , alkyenyl or alkynyl group having in the range of 1 to 16 carbons atoms.6. The method of claim 5 , wherein R″ has in the range of 2 to 8 carbon atoms.7. The method of claim 6 , wherein R″ has in the range of 2 to 4 carbon atoms.8. The method of claim 1 , further comprising repeating exposures to the silicon precursor and alcohol-amine to form a film having a predetermined thickness.9. A method of depositing a film comprising Si claim 1 , C claim 1 , O and N claim 1 , the method comprising: ...

Подробнее
11-01-2018 дата публикации

Tin oxide thin film spacers in semiconductor device manufacturing

Номер: US20180012759A1
Принадлежит: Lam Research Corp

Thin tin oxide films are used as spacers in semiconductor device manufacturing. In one implementation, thin tin oxide film is conformally deposited onto a semiconductor substrate having an exposed layer of a first material (e.g., silicon oxide or silicon nitride) and a plurality of protruding features comprising a second material (e.g., silicon or carbon). For example, 10-100 nm thick tin oxide layer can be deposited using atomic layer deposition. Next, tin oxide film is removed from horizontal surfaces, without being completely removed from the sidewalls of the protruding features. Next, the material of protruding features is etched away, leaving tin oxide spacers on the substrate. This is followed by etching the unprotected portions of the first material, without removal of the spacers. Next, underlying layer is etched, and spacers are removed. Tin-containing particles can be removed from processing chambers by converting them to volatile tin hydride.

Подробнее
14-01-2021 дата публикации

METHODS FOR FORMING A METALLIC FILM ON A SUBSTRATE BY CYCLICAL DEPOSITION AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер: US20210013042A1
Принадлежит:

Methods for forming a metallic film on a substrate by cyclical deposition are provided. In some embodiments methods may include contacting the substrate with a first reactant comprising a non-halogen containing metal precursor comprising at least one of copper, nickel or cobalt and contacting the substrate with a second reactant comprising a hydrocarbon substituted hydrazine. In some embodiments related semiconductor device structures may include at least a portion of a metallic interconnect formed by cyclical deposition processes. 121-. (canceled)22. A system for forming a metallic film on a substrate , comprising:a reaction chamber constructed and arranged to hold the substrate therein;a first precursor reactant source fluidly coupled to the reaction chamber, wherein the first precursor reactant source is constructed and arranged to hold a non-halogen containing metal precursor comprising at least one of copper, nickel, or cobalt, wherein the non-halogen containing metal precursor comprises at least one ligand bonded to a metal atom through at least one oxygen atom and at least one nitrogen atom;a second precursor reactant source fluidly coupled to the reaction chamber, wherein the second precursor reactant source is constructed and arranged to hold a hydrocarbon substituted hydrazine precursor; anda system operation and control in electronic communication with the first precursor reactant source and the second precursor reactant source, wherein the system operation and control is configured to selectively allow the non-halogen containing metal precursor to flow from the first precursor reactant source to the reaction chamber and the hydrocarbon substituted hydrazine precursor to flow from the second precursor reactant source to the reaction chamber.23. The system of claim 22 , further comprising a purge gas source fluidly coupled to the reaction chamber constructed and arranged to hold a purge gas.24. The system of claim 22 , wherein the purge gas comprises at ...

Подробнее
09-01-2020 дата публикации

Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES

Номер: US20200013611A1
Принадлежит:

Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%). 1. A plasma enhanced atomic layer deposition method of depositing a silicon nitride thin film on a three-dimensional structure on a substrate in a reaction space , the method comprising a plurality of deposition cycles , each deposition cycle comprising:(a) introducing a vapor-phase silicon reactant into the reaction space so that a silicon precursor is adsorbed on a surface of the substrate;(b) moving the substrate;(c) exposing the substrate surface to reactive species generated by a plasma from a nitrogen precursor; and(d) moving the substrate;wherein the deposition cycle is repeated to form the silicon nitride thin film; andwherein the silicon nitride film has a uniform etch rate on the vertical and horizontal portions of the three-dimensional structure.2. The method of claim 1 , wherein the silicon reactant comprises a precursor having a formula:{'br': None, 'sub': 2n+2−y−z−w', 'n', 'y', 'z', 'w, 'HSiIAR'} {'br': None, 'sub': 2n−y−z−w', 'n', 'y', 'z', 'w, 'HSiIAR'}, 'wherein, n=1-10, y=from 1 up to 2n+2−z−w, z=from 0 up to 2n+2−y−w, w=from 0 up to 2n+2−y−z, A is a halogen other than I, and R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines and unsaturated hydrocarbon;'} {'br': None, 'sub': 2n+2−y−z−w', 'n', 'y', 'z', 'w, 'sup': 'II', 'HSiIAR'}, 'wherein, the silicon-containing precursor is a cyclic compound, n=3-10, y ...

Подробнее
15-01-2015 дата публикации

ALD OF METAL-CONTAINING FILMS USING CYCLOPENTADIENYL COMPOUNDS

Номер: US20150017348A1
Принадлежит:

Atomic layer deposition (ALD) type processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl precursors as a metal source material. In preferred embodiments the metal containing cyclopentadienyl reactant comprises a metal atom that is not directly bonded to an oxygen or halide atom. In other embodiments the metal atom is bonded to a cyclopentadienyl compound and separately bonded to at least one ligand via a nitrogen atom. In still other embodiments the metal containing cyclopentadienyl compound comprises a nitrogen-bridged ligand. 131-. (canceled)32. A plasma enhanced atomic layer deposition process for producing a metal containing thin film on a substrate comprising alternately and sequentially contacting the substrate with vapor phase pulses of at least one volatile metal containing cyclopentadienyl compound , and a second reactant at a temperature that is low enough to prevent decomposition of the metal containing cyclopentadienyl compound and the second reactant , wherein the metal comprises zirconium , and wherein the metal containing cyclopentadienyl compound does not contain a metal directly bonded to a halide or oxygen atom , and wherein the metal containing cyclopentadienyl compound comprises at least one cyclopentadienyl bonded to the metal and at least one ligand that is separately bonded to the metal via nitrogen.33. The process of claim 32 , wherein the metal containing cyclopentadienyl compound has the formula: (RRRRRCp)-MR—(NRR)wherein M is zirconium;{'sup': 1', '2', '3', '4', '5', '0, 'wherein each R, R, R, R, R, and Ris independently selected from(i) hydrogen;{'sub': 1', '20, '(ii) linear and branched C-Calkyl, alkenyl and alkynyl groups, which are independently substituted or unsubstituted;'}(iii) carbocyclic groups, such as aryl, phenyl, cyclopentadienyl, alkylaryl, and halogenated carbocyclic groups; and(iv) heterocyclic groups; andwherein both x and y are ≧ ...

Подробнее
19-01-2017 дата публикации

Deposition of Metal Films Using Beta-Hydrogen Free Precursors

Номер: US20170016113A1
Принадлежит:

Methods of depositing a metal-containing film by exposing a substrate surface to a first precursor and a reactant, where one or more of the first precursor and the react comprises a compound having the general formula of one or more of M(XR), M(XR), M(XR), M(XR)and M(XR)where M is selected from the group consisting of Al, Ti, Ta, Zr, La, Hf, Ce, Zn, Cr, Sn, V and combinations thereof, each X is one or more of C, Si and Ge and each R is independently a methyl or ethyl group and comprises substantially no β-H. 2. The method of claim 1 , wherein M is Al.3. The method of claim 1 , wherein each X is independently C claim 1 , Si or Ge.4. The method of claim 1 , wherein each R is independently an alkyl.5. The method of claim 1 , wherein exposing the substrate surface to the first precursor and the reactant occurs sequentially.6. The method of claim 1 , wherein exposing the substrate surface to the first precursor and the reactant occurs simultaneously.7. The method of claim 1 , wherein the reactant comprises a metal halide.8. The method of claim 1 , wherein the metal-containing film comprises a substantially pure metal.9. The method of claim 1 , wherein the metal-containing film comprises a substantially pure metal alloy.10. The method of claim 1 , wherein the metal-containing film comprises a metal nitride.11. The method of claim 1 , wherein the metal-containing film comprises a metal oxide.13. The method of claim 12 , wherein the film comprises substantially pure aluminum.14. The method of claim 12 , wherein the film comprises substantially pure aluminum alloy.15. The method of claim 12 , wherein the reactant comprises the compound with the general structure and the film comprises substantially no aluminum.16. The method of claim 12 , wherein the first precursor and the reactant are sequentially exposed to the substrate surface.17. The method of claim 12 , wherein the first precursor and the reactant are exposed to the substrate surface at the same time.18. The method of ...

Подробнее
21-01-2016 дата публикации

OXYGEN-FREE ATOMIC LAYER DEPOSITION OF INDIUM SULFIDE

Номер: US20160017485A1
Принадлежит:

A method for synthesizing an In(III) N,N′-diisopropylacetamidinate precursor including cooling a mixture comprised of diisopropylcarbodiimide and diethyl ether to approximately −30° C., adding methyllithium drop-wise into the mixture, allowing the mixture to warm to room temperature, adding indium(III) chloride as a solid to the mixture to produce a white solid, dissolving the white solid in pentane to form a clear and colorless solution, filtering the mixture over a celite plug, and evaporating the solution under reduced pressure to obtain a solid In(III) N,N′-diisopropylacetamidinate precursor. This precursor has been further used to develop a novel atomic layer deposition technique for indium sulfide by dosing a reactor with the precursor, purging with nitrogen, dosing with dilute hydrogen sulfide, purging again with nitrogen, and repeating these steps to increase growth. 1. A method for synthesizing an In(III) N ,N′-diisopropylacetamidinate precursor , the method comprising:cooling a mixture comprised of diisopropylcarbodiimide and a first solvent to approximately −30° C.;adding methyllithium drop-wise into the mixture;allowing the mixture to warm to room temperature;adding indium(III) chloride as a solid to the mixture to produce a white solid;dissolving the white solid in a second solvent to form a clear and colorless solution; andevaporating the solution under reduced pressure to obtain a solid In(III) N,N′-diisopropylacetamidinate precursor.2. The method of claim 1 , wherein the first solvent is diethyl ether and the second solvent is pentane.3. The method of claim 1 , further comprising allowing a reaction of the mixture comprised of diisopropylcarbodiimide claim 1 , diethyl ether claim 1 , methyllithium and indium(III) chloride to take place overnight at room temperature prior to the evaporating step.4. The method of claim 1 , further comprising removing volatiles under a reduced pressure prior to the evaporating step.5. The method of claim 1 , further ...

Подробнее
18-01-2018 дата публикации

Multi-layer coating with diffusion barrier layer and erosion resistant layer

Номер: US20180016678A1
Принадлежит: Applied Materials Inc

A multi-layer coating for a surface of an article comprising a diffusion barrier layer and an erosion resistant layer. The diffusion barrier layer may be a nitride film including but not limited to TiN x , TaN x , Zr 3 N 4 , and TiZr x N y . The erosion resistant layer may be a rare oxide film including but not limited to YF 3 , Y 2 O 3 , Er 2 O 3 , Al 2 O 3 , ZrO 2 , ErAl x O y , YO x F y , YAl x O y , YZr x O y and YZr x Al y O z . The diffusion barrier layer and the erosion resistant layer may be deposited on the article's surface using a thin film deposition technique including but not limited to, ALD, PVD, and CVD.

Подробнее
17-01-2019 дата публикации

Methods and Apparatus for Depositing Yttrium-Containing Films

Номер: US20190017171A1
Принадлежит:

Methods for depositing a yttrium-containing film through an atomic layer deposition process are described. Some embodiments of the disclosure utilize a plasma-enhanced atomic layer deposition process. Also described is an apparatus for performing the atomic layer deposition of the yttrium containing films. 1. A method of depositing a film , the method comprising:{'sub': 1', '2', '3', '1', '2', '3, 'exposing a substrate to a yttrium precursor to form a yttrium species on the substrate, the yttrium precursor comprises a complex with a general formula YRRR, where R, Rand Rare independently selected from halides, carbonyl, cyclopentadienes, amines, acac, hfac, amidinates or diazadienes; and'}exposing the substrate to one or more of a nitrogen reactant or an oxygen reactant to react with the yttrium species on the substrate to form one or more of a yttrium nitride or yttrium oxide film.2. The method of claim 1 , wherein the nitrogen reactant comprises one or more of nitrogen claim 1 , ammonia or hydrazine.3. The method of claim 1 , wherein the nitrogen reactant comprises a reactant plasma.4. The method of claim 1 , further comprising exposing the yttrium nitride film on the substrate to a treatment plasma to change a property of the film.5. The method of claim 4 , wherein the treatment plasma comprises one or more of nitrogen claim 4 , argon claim 4 , hydrogen claim 4 , or helium.6. The method of claim 1 , further comprising exposing the substrate to a silicon precursor so that the yttrium species on the substrate is a silicon-yttrium species and after exposure to the nitrogen reactant the film formed is a silicon-yttrium nitride film claim 1 , the silicon precursor comprising a species with a general formula SiXR claim 1 , Si(NRR′)R″ claim 1 , or a siloxane claim 1 , where n is 1 to 4 claim 1 , a is 0 to 2n+2 claim 1 , X is a halide and R claim 1 , R′ and R″ are independently selected from H claim 1 , alkyl or aryl.7. The method of claim 6 , wherein the silicon ...

Подробнее
21-01-2021 дата публикации

Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films

Номер: US20210017198A1
Принадлежит: Versum Materials US, LLC

Amino-functionalized cyclic oligosiloxanes, which have at least three silicon and three oxygen atoms as well as at least one organoamino group and methods for making the oligosiloxanes are disclosed. Methods for depositing silicon and oxygen containing films using the organoamino-functionalized cyclic oligosiloxanes are also disclosed. 2. The composition of further comprising at least one selected from the group consisting of a solvent and a purge gas.3. The composition of wherein each of Ris independently selected from the group consisting of hydrogen and a Cto Calkyl group.4. The composition of claim 1 , wherein Ris selected from the group consisting of a Cto Ccyclic alkyl group and a Cto Caryl group.6. The composition of claim 1 , wherein the composition is substantially free of one or more impurities selected from the group consisting of a halide claim 1 , metal ions claim 1 , metal claim 1 , and combinations thereof.7. The composition of claim 1 , wherein the organoamino-functionalized cyclic oligosiloxane compound is selected from the group consisting of: 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6-trimethylcyclotrisiloxane claim 1 , 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,6-tetramethylcyclotrisiloxane claim 1 , 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,8-tetramethylcyclotetrasiloxane claim 1 , 2 claim 1 ,4-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,6 claim 1 ,8 claim 1 ,8-hexamethylcyclotetrasiloxane claim 1 , 2 claim 1 ,6-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,6 claim 1 ,8-tetramethylcyclotetrasiloxane claim 1 , 2 claim 1 ,6-bis(dimethylamino)-2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,8 claim 1 ,8-hexamethylcyclotetrasiloxane claim 1 , 2-dimethylamino-2 claim 1 ,4 claim 1 ,6 claim 1 ,8 claim 1 ,10-pentamethylcyclopentasiloxane claim 1 , 2-dimethylamino-2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6 claim 1 ,8 claim 1 ,8 claim 1 ,10 claim 1 ,10-nonamethylcyclopentasiloxane claim 1 , 2 ...

Подробнее
21-01-2021 дата публикации

SURFACE TREATMENT AGENT, SURFACE TREATMENT METHOD, AND AREA SELECTIVE DEPOSITION METHOD

Номер: US20210017204A1
Принадлежит:

A surface treatment agent including a compound represented by the following general formula (P-1) and an acid. In the formula, Rrepresents a linear or branched alkyl group having 8 or more carbon atoms, a linear or branched fluorinated alkyl group having 8 or more carbon atoms, or an aromatic hydrocarbon group; Rand Reach independently represents a hydrogen atom, a linear or branched alkyl group having 8 or more carbon atoms, a linear or branched fluorinated alkyl group having 8 or more carbon atoms, or an aromatic hydrocarbon group 2. The surface treatment agent according to claim 1 , wherein the acid is a carboxylic acid.3. The surface treatment agent according to claim 1 , wherein the acid is an inorganic acid.4. The surface treatment agent according to claim 1 , wherein said surface treatment agent is used for treating a surface including two or more regions claim 1 , which is made of different materials from each other with respect to adjacent regions of the two or more regions.5. The surface treatment agent according to claim 4 , wherein at least one region of the two or more regions contains a metal surface.7. The surface treatment agent according to claim 6 , wherein said surface treatment agent is used for treating a surface including two or more regions claim 6 , which is made of different materials from each other with respect to adjacent regions of the two or more regions.8. The surface treatment agent according to claim 7 , wherein at least one region of the two or more regions contains a metal surface.9. The surface treatment agent according to claim 8 , wherein the metal is copper.10. A surface treatment method claim 8 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'exposing a surface of a substrate to the surface treatment agent according to ,'}wherein the surface includes two or more regions, which is made of different materials from each other with respect to adjacent regions of the two or more regions, andthe contact angle between ...

Подробнее
21-01-2021 дата публикации

Organoamino-Functionalized Linear And Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films

Номер: US20210017339A1
Принадлежит: Versum Materials US, LLC

Amino-functionalized linear and cyclic oligosiloxanes, which have at least two silicon and two oxygen atoms as well as an organoamino group and methods for making the oligosiloxanes are disclosed. Methods for depositing silicon and oxygen containing films using the organoamino-functionalized linear and cyclic oligosiloxanes are also disclosed. 2. The method of wherein each of Ris independently selected from hydrogen and a Cto Calkyl group.4. The method of wherein each of Ris independently selected from hydrogen and a Cto Calkyl group.7. The method of wherein each of Ris independently selected from hydrogen and a Cto Calkyl group.8. The method of claim 6 , wherein the at least one silicon precursor compound is selected from the group consisting of 2-dimethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-diethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-ethylmethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-iso-propylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6-pentamethylcyclotrisiloxane claim 6 , 2-dimethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-diethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-ethylmethylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-iso-propylamino-2 claim 6 ,4 claim 6 ,4 claim 6 ,6 claim 6 ,6 claim 6 ,8 claim 6 ,8-heptamethylcyclotetrasiloxane claim 6 , 2-dimethylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-diethylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-ethylmethylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-iso-propylamino-2 claim 6 ,4 claim 6 ,6-trimethylcyclotrisiloxane claim 6 , 2-dimethylamino-2 claim 6 ,4 ...

Подробнее
21-01-2021 дата публикации

CHAMFER-LESS VIA INTEGRATION SCHEME

Номер: US20210017643A1
Принадлежит:

Methods and apparatuses for processing semiconductor substrates in an integration scheme to form chamferless vias are provided herein. Methods include bifurcating etching of dielectric by depositing a conformal removable sealant layer having properties for selective removal relative to dielectric material without damaging dielectric material. Some methods include forming an ashable conformal sealant layer. Methods also include forming hard masks including a Group IV metal and removing conformal removable sealant layers and hard masks in one operation using same etching chemistries. 1. A method comprising:providing a substrate having a trench formed in a dielectric material; anddepositing a selectively removable sealant layer conformally in the trench,wherein the selectively removable sealant layer comprises a Group IV metal.2. The method of claim 1 , wherein the Group IV metal is selected from the group consisting of tin and lead.3. The method of claim 1 , wherein the selectively removable sealant layer is selected from the group consisting of tin oxide claim 1 , tin nitride claim 1 , tin sulfide claim 1 , lead oxide claim 1 , lead nitride claim 1 , lead sulfide claim 1 , and combinations thereof; and wherein the selectively removable sealant layer comprises one or more than one layer.4. The method of claim 1 , further comprising:selectively removing the selectively removable sealant layer relative to the dielectric material using hydrogen gas or an organic acid.5. The method of claim 1 , wherein the trench comprises an opening and a bottom claim 1 , and a distance from the opening to the bottom is at least about 25% of a total thickness of the dielectric material.6. (canceled)7. The method of claim 1 , wherein the substrate further comprises a metal hard mask over the dielectric material.8. The method of claim 1 , further comprising:forming a second patterned hard mask on the selectively removable sealant layer, wherein the second patterned hard mask is removable ...

Подробнее
21-01-2021 дата публикации

METHOD OF FORMING STRUCTURES USING A NEUTRAL BEAM, STRUCTURES FORMED USING THE METHOD AND REACTOR SYSTEM FOR PERFORMING THE METHOD

Номер: US20210017648A1
Принадлежит:

Methods of forming structures using a neutral beam, structures formed using a neutral beam, and reactor systems for forming the structures are disclosed. The neutral beam can be used to provide activated species during deposition of a layer and/or to provide activated species to treat a deposited layer. 1. A method of forming a structure , the method comprising the steps of:forming a layer;{'sub': 2', '2', '2, 'forming a neutral beam generated from one or more gases selected from the group consisting of hydrogen-containing gases, helium, ammonia, oxygen, NO, CO, Ar, Xe, and N; and'}exposing the layer to species generated from the neutral beam.2. The method of claim 1 , wherein the layer comprises one or more of an oxide claim 1 , a nitride claim 1 , and a carbide.3. The method of claim 1 , wherein the layer comprises one or more of SiO claim 1 , SiN claim 1 , SiOC claim 1 , SiCN claim 1 , SiC claim 1 , SiON claim 1 , SiOCN claim 1 , SiBN claim 1 , SiBO claim 1 , GeO claim 1 , GeN claim 1 , AlO claim 1 , TiO claim 1 , and TaO.4. The method of claim 1 , wherein the step of forming a layer comprises depositing material using one or more of PEALD claim 1 , PECVD claim 1 , NBEALD claim 1 , and NBECVD.5. The method of claim 1 , wherein the step of forming a layer comprises depositing material using one or more of NBEALD and NBECVD.6. The method of claim 1 , wherein the step of forming a layer comprises a cyclic deposition process claim 1 , the method further comprising:repeating a number of cycles of the cyclic deposition prior to the step of exposing.7. The method of claim 6 , wherein a thickness of a layer formed during the step of repeating is less than 10 nm.8. The method of claim 1 , further comprising repeating the steps of forming a layer and exposing the layer.9. The method of claim 1 , wherein a reaction chamber temperature during the step of forming a layer is less than 400° C. claim 1 , less than 300° C. claim 1 , less than 200° C. claim 1 , less than 100° C. ...

Подробнее
21-01-2021 дата публикации

SEQUENTIAL INFILTRATION SYNTHESIS OF GROUP 13 OXIDE ELECTRONIC MATERIALS

Номер: US20210017649A1
Принадлежит: UCHICAGO ARGONNE, LLC

The sequential infiltration synthesis (SIS) of group 13 indium and gallium oxides (InOand GaO) into polymethyl methacrylate (PMMA) thin films is demonstrated. Examples highlight the an SIS process using trimethylindium (TMIn) and trimethylgallium (TMGa), respectively, with water. In situ Fourier transform infrared (FTIR) spectroscopy reveals that these metal alkyl precursors reversibly associate with the carbonyl groups of PMMA in analogy to trimethylaluminum (TMAl), however with significantly lower affinity. SIS with TMIn and water enables the growth of InOat 80° C., well below the onset temperature of atomic layer deposition (ALD) using these precursors. 1. A method depositing a group 13 oxide comprising:providing a base material in a reactor; and pulsing a first metal precursor comprising indium or gallium into the reactor for a first metal precursor pulse time;', 'exposing the base material to the first metal precursor for a first metal precursor exposure time and at a first partial pressure, the first metal precursor infiltrating at least a portion of the base material and binding therein with the base material;', 'purging the reactor of the first metal precursor;', 'pulsing a co-reactant precursor into the reactor for a first co-reactant pulse time;', 'exposing the base material to the co-reactant precursor for a co-reactant precursor exposure time and at a second partial pressure, the co-reactant precursor infiltrating at least a portion of the base material and binding therein to form the oxide; and', 'purging the reactor of the co-reactant precursor., 'depositing an oxide of indium or gallium using sequential infiltration synthesis (SIS) process including at least one cycle of2. The method of claim 1 , wherein the first metal precursor pulse time is greater than 0 seconds to 30 seconds.3. The method of claim 1 , wherein the first metal precursor exposure time is greater than 0 seconds to 500 seconds.4. The method of claim 1 , wherein purging the reactor ...

Подробнее
16-01-2020 дата публикации

Semiconductor Device, Method, and Tool of Manufacture

Номер: US20200017969A1
Принадлежит:

In an embodiment, an apparatus includes: a susceptor including substrate pockets; a gas injector disposed over the susceptor, the gas injector having first process regions, the gas injector including a first gas mixing hub and first distribution valves connecting the first gas mixing hub to the first process regions; and a controller connected to the gas injector and the susceptor, the controller being configured to: connect a first precursor material and a carrier gas to the first gas mixing hub; mix the first precursor material and the carrier gas in the first gas mixing hub to produce a first precursor gas; rotate the susceptor to rotate a first substrate disposed in one of the substrate pockets; and while rotating the susceptor, control the first distribution valves to sequentially introduce the first precursor gas at each of the first process regions as the first substrate enters each first process region. 1. An apparatus comprising:a susceptor comprising substrate pockets;a gas injector disposed over the susceptor, the gas injector having first process regions, the gas injector comprising a first gas mixing hub and first distribution valves connecting the first gas mixing hub to the first process regions; and connect a first precursor material and a carrier gas to the first gas mixing hub;', 'mix the first precursor material and the carrier gas in the first gas mixing hub to produce a first precursor gas;', 'rotate the susceptor to rotate a first substrate disposed in one of the substrate pockets; and', 'while rotating the susceptor, control the first distribution valves to sequentially introduce the first precursor gas at each first process region of the first process regions as the first substrate enters each first process region., 'a controller connected to the gas injector and the susceptor, the controller being configured to2. The apparatus of claim 1 , wherein the controller is located separately from the gas injector.3. The apparatus of claim 1 , ...

Подробнее
16-01-2020 дата публикации

Water-insensitive methods of forming metal oxide films and products related thereto

Номер: US20200017970A1
Автор: Eric R. Dickey
Принадлежит: Lotus Applied Technology LLC

Water-insensitive methods for forming metal oxide films disclosed herein can be used to form coated substrates. The methods can be used with moisture-laden substrates. Moisture-sensitive films can be deposited on the metal oxide films.

Подробнее
03-02-2022 дата публикации

COMPOSITION AND METHODS USING SAME FOR CARBON DOPED SILICON CONTAINING FILMS

Номер: US20220037151A1
Принадлежит: Versum Materials US, LLC

A composition and method for using the composition in the fabrication of an electronic device are disclosed. Compounds, compositions and methods for depositing a low dielectric constant (<4.0) and high oxygen ash resistance silicon-containing film such as, without limitation, a carbon doped silicon oxide, are disclosed. 1. A method for forming a carbon doped silicon oxide film having carbon content ranging from 15 at. % to 30 at. % via a thermal ALD process , the method comprising:a) placing one or more substrates comprising a surface feature into a reactor;b) heating to reactor to one or more temperatures ranging from ambient temperature to about 550° C. and optionally maintaining the reactor at a pressure of 100 torr or less;c) introducing into the reactor at least one silicon precursor having two Si—C—Si linkages selected from the group consisting of 1-chloro-1,3-disilacyclobutane, 1-bromo-1,3-disilacyclobutane, 1,3-dichloro-1,3-1,3-disilacyclobutane, 1,3-dibromo-1,3-disilacyclobutane, 1,1,3-trichloro-1,3-disilacyclobutane, 1,1,3-tribromo-1,3-disilacyclobutane, 1,1,3,3-tetrachloro-1,3-disilacyclobutane, 1,1,3,3-tetrabromo-1,3-disilacyclobutane, 1,3-dichloro-1,3-dimethyl-1,3-disilacyclobutane, 1,3-bromo-1,3-dimethyl-1,3-disilacyclobutane, 1,1,1,3,3,5,5,5-octachloro-1,3,5-trisilapentane, 1,1,3,3,5,5-hexachloro-1,5-dimethyl-1,3,5-trisilapentane, 1,1,1,5,5,5-hexachloro-3,3-dimethyl-1,3,5-trisilapentane, 1,1,3,5,5-pentachloro-1,3,5-trimethyl-1,3,5-trisilapentane, 1,1,1,5,5,5-hexachloro-1,3,5-trisilapentane, 1,1,5,5-tetraachloro-1,3,5-trisilapentane;d) purging with an inert gas;e) providing a nitrogen source into the reactor to react with the surface to form a carbon doped silicon nitride film;f) purging with inert gas to remove reaction by-products;g) repeating steps c to f to provide a desired thickness of a resulting carbon doped silicon nitride;h) treating the resulting carbon doped silicon nitride film with an oxygen source at one or more temperatures ranging from ...

Подробнее
03-02-2022 дата публикации

Systems and Methods for Improving Planarity using Selective Atomic Layer Etching (ALE)

Номер: US20220037162A1
Принадлежит:

Methods are provided for planarizing a patterned substrate in a spatial atomic layer processing system comprising a rotating platen. The patterned substrate may generally include features having higher regions and lower regions. To planarize the patterned substrate, or reduce a height differential between the higher and lower regions, a selective atomic layer etching (ALE) process is disclosed to preferentially form a modified layer on the higher regions of the features by exposing a surface of the patterned substrate to a precursor gas while the rotating platen spins at a high rotational speed. By preferentially forming the modified layer on the higher regions of the features, and subsequently removing the modified layer, the selective ALE process described herein preferentially etches the higher regions of the features to lessen the height differential between the higher and lower regions until a desired planarization of the features is achieved. 1. A method for planarizing a patterned substrate in a spatial atomic layer processing system , the method comprising:providing at least a first layer as part of the patterned substrate, wherein the first layer comprises at least a portion of one or more features formed on the patterned substrate, wherein the one or more features have higher regions and lower regions, and wherein a height differential exists between the higher regions and the lower regions;providing the patterned substrate on a rotating platen of the spatial atomic layer processing system;forming a modified layer on the first layer, at least one step of the forming the modified layer on the first layer being exposing a surface of the first layer to a first precursor gas, which adsorbs on and reacts with the surface of the first layer to produce the modified layer, wherein spinning the rotating platen at higher rotational speeds aids in the modified layer being preferentially formed onto the higher regions of the one or more features as compared to the ...

Подробнее
03-02-2022 дата публикации

Semiconductor Device and Method of Manufacture

Номер: US20220037321A1

A semiconductor device and method of manufacture are provided. In embodiments a dielectric fin is formed in order to help isolate adjacent semiconductor fins. The dielectric fin is formed using a deposition process in which deposition times and temperatures are utilized to increase the resistance of the dielectric fin to subsequent etching processes.

Подробнее
03-02-2022 дата публикации

MANUFACTURING METHOD FOR GATE ELECTRODE AND THIN FILM TRANSISTOR AND DISPLAY PANEL

Номер: US20220037476A1
Принадлежит:

The present application discloses a manufacturing method for a gate electrode and a thin film transistor, and a display panel, including: depositing an aluminum film on a substratum by physical vapor deposition; depositing a molybdenum film over the aluminum film by atomic layer deposition; and etching the aluminum film and the molybdenum film to form the gate electrode of a predetermined pattern. 1. A manufacturing method for a gate electrode , comprising:depositing an aluminum film on a substratum by physical vapor deposition;depositing a molybdenum film over the aluminum film by atomic layer deposition; andetching the aluminum film and the molybdenum film to form the gate electrode of a predetermined pattern.2. The manufacturing method for the gate electrode according to claim 1 , wherein the thickness of the deposited aluminum film is 3500 Å-4500 Å.3. The manufacturing method for the gate electrode according to claim 1 , wherein depositing the molybdenum film over the aluminum film by atomic layer deposition comprising:placing the substratum with the aluminum film in a reaction chamber of an atomic layer deposition apparatus;continuously introducing a molybdenum precursor for a preset time period into the atomic layer deposition apparatus, remaining the molybdenum precursor for a preset time period after the introduction, and introducing an inert gas for purging;continuously introducing a reducing gas for a preset time into the atomic layer deposition apparatus, remaining the reducing gas for a preset time period after the introduction, and introducing the inert gas for purging; andrepeating the steps B and C for a preset number of times to form the molybdenum film.4. The manufacturing method for the gate electrode according to claim 3 , wherein the molybdenum precursor is at least one of molybdenum hexacarbonyl claim 3 , molybdenum chloride claim 3 , molybdenum fluoride; the reducing gas comprises a plasma H;the inert gas comprises at least one of argon and ...

Подробнее
25-01-2018 дата публикации

Si-CONTAINING FILM FORMING PRECURSORS AND METHODS OF USING THE SAME

Номер: US20180022761A1
Принадлежит:

Mono-substituted TSA precursor Si-containing film forming compositions are disclosed. The precursors have the formula: (SiH)N—SiH—X, wherein X is selected from a halogen atom; an isocyanato group; an amino group; an N-containing C-Csaturated or unsaturated heterocycle; or an alkoxy group. Methods for forming the Si-containing film using the disclosed mono-substituted TSA precursor are also disclosed. 1. An ALD silicon and oxygen containing film formation process , the process comprising the steps of:{'sub': 3', '2', '2', '2', '1', '6', '3', '1', '6, 'depositing a silicon and oxygen containing film on a substrate by sequentially introducing a vapor of a mono-substituted TSA precursor and an oxygen-containing reactant into a reactor containing the substrate, the mono-substituted TSA precursor having a formula (SiH)N—SiH—X, wherein X is a halogen atom or an amino group [—NR] and each R is independently selected from the group consisting of H; a C-Chydrocarbyl group; or a silyl group [SiR′] with each R′ being independently selected from H or a C-Chydrocarbyl group.'}2. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is Cl.3. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is NiPr.4. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is NEt.5. The ALD silicon and oxygen containing film formation process of claim 1 , wherein X is N(SiH).6. The ALD silicon and oxygen containing film formation process of claim 1 , wherein the oxygen-containing reactant is selected from the group consisting of O claim 1 , O claim 1 , HO claim 1 , HO claim 1 , NO claim 1 , NO claim 1 , NO claim 1 , alcohols claim 1 , diols claim 1 , carboxylic acids claim 1 , ketones claim 1 , ethers claim 1 , O atoms claim 1 , O radicals claim 1 , O ions claim 1 , and combinations thereof.7. The ALD silicon and oxygen containing film formation process of claim 6 , wherein the oxygen-containing reactant is ...

Подробнее
25-01-2018 дата публикации

Method to improve precursor utilization in pulsed atomic layer processes

Номер: US20180023195A1
Принадлежит: Individual

A method and system is provided to improve precursor utilization in pulsed atomic layer processes. The system integrates a chiller with a precursor ampoule to lower the temperature of the precursor ampoule, and thereby reduce the precursor vapor pressure. By lowering the ampoule temperature, the loss of excess unreacted precursor molecules is reduced, in order to improve precursor utilization efficiency in atomic layer processes.

Подробнее
10-02-2022 дата публикации

COMPOSITIONS AND PROCESSES FOR DEPOSITING CARBON-DOPED SILICON-CONTAINING FILMS

Номер: US20220041870A1
Принадлежит: Versum Materials US, LLC

Described herein are compositions for depositing a carbon-doped silicon containing film comprising: a precursor comprising at least one compound selected from the group consisting of: an organoaminosilane having a formula of RN(SiRLH), wherein R, R, and L are defined herein. Also described herein are methods for depositing a carbon-doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD). 13-. (canceled)4. A method of forming a carbon-doped silicon nitride film via an atomic layer deposition process , the method comprising the steps of:a. providing a substrate in a reactor;{'sup': 9', '9, 'sub': '2', 'b. introducing into the reactor a precursor comprising at least one organoaminosilane having a formula of RN(SiRLH), wherein'}{'sup': '8', 'Ris selected from the group consisting of a C1 to C10 linear or branched alkyl group, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group;'}{'sup': '9', 'Rselected from the group consisting of hydrogen, C1 to C10 linear or branched alkyl, a C3 to C10 cyclic alkyl group, a linear or branched C2 to C10 alkenyl group, a linear or branched C2 to C10 alkynyl group, a C5 to C10 aromatic group, and a C3 to C10 saturated or unsaturated heterocyclic group; and'}L is selected from the group consisting of Cl, Br, and I;c. purging the reactor with a purge gas;d. introducing a nitrogen source into the reactor wherein the nitrogen source is selected from the group consisting of ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and mixture thereof; ande. purging the reactor with a purge gas, wherein steps b through ...

Подробнее
10-02-2022 дата публикации

SYSTEM AND METHOD FOR ATOMIC LAYER DEPOSITION OF RARE-EARTH OXIDES ON OPTICAL GRADE MATERIALS FOR LASER GAIN MEDIA

Номер: US20220042172A1
Принадлежит:

A method is disclosed for doping a quantity of powder particles. A container having a central chamber is initially charged with a quantity of powder particles. A quantity of precursor is sublimed to form a heated precursor. A quantity of carrier gas is mixed with the precursor to form a mixture of heated precursor/carrier gas. The central chamber is charged with the heated precursor/carrier gas and then moved to cause interaction of the powder particles with the heated precursor/carrier gas to form a first monolayer coating on the powder particles. The heated precursor/carrier gas is then removed from the central chamber and the central chamber is charged with a O2/O3 gas under a plasma. The central chamber is then further moved to produce interaction of the O2/O3 gas with the first monolayer coating on the powder particles to modify the first monolayer coating to create a different, single monolayer coating forming an oxide coating on the powder particles. 1. A method of doping a quantity of powder particles , comprising:filling a container having a central chamber with a quantity of powder particles;heating a quantity of precursor to sublime the precursor;mixing a quantity of carrier gas with the heated precursor to form a mixture of heated precursor/carrier gas;charging the central chamber with the heated precursor/carrier gas and causing movement of the chamber to cause interaction of the powder particles with the heated precursor/carrier gas to form a first monolayer coating on each of the powder particles;removing the heated precursor/carrier gas from the central chamber and charging the central chamber with a O2/O3 gas under a plasma; andcausing further movement of the central chamber to produce interaction of the O2/O3 gas with the first monolayer coating on each powder particle to modify the first monolayer coating to create a different, single monolayer coating forming an oxide coating on each of the powder particles.2. The method of claim 1 , wherein the ...

Подробнее
24-01-2019 дата публикации

Method of Producing a Thin Metal-Organic Framework Film Using Vapor Phase Precursors

Номер: US20190024235A1
Принадлежит:

A method of producing a metal-organic framework (MOF) film on a substrate is disclosed, the method comprising providing a substrate having a main surface and forming on said main surface a MOF film using an organometallic compound pre-cursor and at least one organic ligand, wherein each of said organometallic compound precursor and said at least one organic ligand is provided only in vapour phase. 1. (canceled)2. (canceled)3. (canceled)4. (canceled)5. (canceled)6. (canceled)7. (canceled)8. (canceled)9. (canceled)10. (canceled)11. (canceled)12. (canceled)13. (canceled)14. A substrate structure comprising:a substrate having a main surface; anda metal organic framework (MOF) film on said main surface, wherein said MOF film has a thickness range of 1 nm to 250 nm and is pin-hole free.15. The substrate structure according to claim 14 , wherein said main surface is covered with a conformal layer of a dielectric material.16. The substrate structure according to claim 14 , wherein said substrate structure further comprises a stack consisting of layers of MOF films and layers of materials having a refractive index higher than 1.4 claim 14 , wherein each layer of said MOF film is disposed alternating with each layer of said high refractive index materials.17. (canceled)18. The substrate structure according to claim 14 , wherein said substrate is a Si substrate.19. The substrate structure according to claim 14 , wherein said substrate is a bulk Si substrate.20. The substrate structure according to claim 15 , wherein the conformal layer of the dielectric material is deposited by Atomic Lay Deposition (ALD).21. The substrate structure according to claim 15 , wherein the conformal layer of dielectric material comprises an oxide layer.22. The substrate structure according to claim 21 , wherein the oxide layer is an electrical conductor.23. The substrate structure according to claim 21 , wherein the oxide layer is an electrical insulator.24. The substrate structure according to ...

Подробнее
23-01-2020 дата публикации

THIO(DI)SILANES

Номер: US20200024737A1
Принадлежит:

A method of forming a film on a substrate is disclosed. The method comprises: heating a thiodisilane according to formula (I) (RRRCS)(RN)(Si—Si)XH(I) in a chemical vapor deposition (CVD) or atomic layer deposition (ALD) process under thermal or plasma conditions to give a silicon-containing film disposed on the substrate, wherein: subscript s, n, x, h and R, R, R, R, and X are as described herein. 1. A method of forming a silicon-containing film on a substrate , the method comprising: heating a thiodisilane according to formula (I){'br': None, 'sup': 1a', '1b', '1c', '2, 'sub': s', '2', 'n', 'x', 'h, '(RRRCS)(RN)(Si—Si)XH\u2003\u2003(I),'}wherein: subscript s is an integer from 1 to 6; subscript n is an integer from 0 to 5; subscript x is an integer from 0 to 5; subscript h is an integer from 0 to 5; with the proviso that sum s+n+x+h=6;each H, when present in formula (I), is independently bonded to the same or different one of the silicon atoms in formula (I);each X is a monovalent halogen atom F, Cl, I, or Br and, when present in formula (I), is independently bonded to the same or different one of the silicon atoms in formula (I);{'sup': 1a', '1b', '1c, 'wherein R, R, and Rare defined by limitation (a), (b), or (c){'sup': 1a', '1a', '1b', '1c, 'sub': 2', '20', '1', '20, '(a) at least one Rindependently is (C-C)alkyl or phenyl and each of any remaining R, R, and Rindependently is H or (C-C)hydrocarbyl; or'}{'sup': 1a', '1b', '1c', '1a', '1b', '1c, 'sub': 6', '20', '1', '20, '(b) there is at least one group RRRC that independently is a substituted or unsubstituted (C-C)aryl, and each of any remaining R, R, and Rindependently is H or (C-C)hydrocarbyl; or'}{'sup': 1a', '1b', '1c', '1', '1a', '1b', '1c', '11', '11', '1a', '1b', '1c, 'sub': 2', '3', '20', '1', '20, '(c) any two of R, R, and R(collectively Rgroups), in the same or different RRRC group, are bonded together to form a divalent group, —R—, wherein —R— is a CHor a (C-C)hydrocarbylene and each of any remaining ...

Подробнее
23-01-2020 дата публикации

ALD OF METAL-CONTAINING FILMS USING CYCLOPENTADIENYL COMPOUNDS

Номер: US20200024738A1
Принадлежит:

Atomic layer deposition (ALD) type processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl precursors as a metal source material. In preferred embodiments the metal containing cyclopentadienyl reactant comprises a metal atom that is not directly bonded to an oxygen or halide atom. In other embodiments the metal atom is bonded to a cyclopentadienyl compound and separately bonded to at least one ligand via a nitrogen atom. In still other embodiments the metal containing cyclopentadienyl compound comprises a nitrogen-bridged ligand. 1. (canceled)2. A process for depositing a thin film on a substrate in a reactor comprising:contacting the substrate with a vapor phase first precursor comprising a first zirconium compound comprising at least one cyclopentadienyl ligand and at least one ligand comprising bidentate bonding;contacting the substrate with an inert purge gas; andcontacting the substrate with a vapor phase first reactant.3. The process of claim 2 , wherein the first reactant is a plasma reactant.4. The process of claim 2 , wherein an inert gas serves as a carrier gas for the first precursor.5. The process of claim 2 , wherein the first reactant comprises N claim 2 , C or O.6. The process of claim 2 , wherein the thin film is an elemental metal thin film.7. The process of claim 2 , wherein the thin film is a metal carbide thin film.8. The process of claim 7 , wherein the first reactant comprises a hydrocarbon.9. The process of claim 2 , wherein the thin film is a metal nitride thin film.10. The process of claim 2 , wherein the thin film is a multicomponent thin film.11. The process of claim 10 , wherein the process comprises contacting the substrate with a second precursor.12. The process of claim 11 , wherein the second precursor comprises a second metal compound having a metal that is different from the metal of the first metal precursor.13. (canceled)14. (canceled)15. ( ...

Подробнее
28-01-2021 дата публикации

ALUMINUM PRECURSOR AND PROCESS FOR THE GENERATION OF METAL-CONTAINING FILMS

Номер: US20210024549A1
Принадлежит:

The present disclosure is in the field of processes for the generation of thin inorganic films on substrates, in particular atomic layer deposition processes. Described herein is a process for preparing metal-containing films including: 2. The process according to claim 1 , wherein R comprises no hydrogen atom in the 1-position.3. The process according to claim 2 , wherein R is tert-butyl.4. The process according to claim 1 , wherein Z is ethylene.5. The process according to claim 1 , wherein the compound of general formula (I) has a molecular weight of not more than 600 g/mol.6. The process according to claim 1 , wherein the compound of general formula (I) has a vapor pressure of at least 1 mbar at a temperature of 200° C.7. The process according to claim 1 , wherein (a) and (b) are successively performed at least twice.8. The process according to claim 1 , wherein the metal-containing compound contains Ti claim 1 , Ta claim 1 , Mn claim 1 , Mo claim 1 , W claim 1 , or Al.9. The process according to claim 1 , wherein the metal-containing compound is a metal halide.10. The process according to claim 1 , wherein a temperature does not exceed 350° C.12. The compound according to claim 11 , wherein R comprises no hydrogen atom in the 1-position.13. The compound according to claim 12 , wherein R is tert-butyl.14. The compound according to claim 11 , wherein Z is ethylene. The present invention is in the field of processes for the generation of thin inorganic films on substrates, in particular atomic layer deposition processes.With the ongoing miniaturization, e.g. in the semiconductor industry, the need for thin inorganic films on substrates increases while the requirements on the quality of such films become stricter. Thin metal films serve different purposes such as barrier layers, conducting features, or capping layers. Several methods for the generation of metal films are known. One of them is the deposition of film forming compounds from the gaseous state on a ...

Подробнее
28-01-2021 дата публикации

SiC PRECURSOR COMPOUND AND THIN FILM FORMING METHOD USING THE SAME

Номер: US20210024550A1
Принадлежит: DUKSAN TECHOPIA CO., LTD.

Provided is a SiC precursor for performing SiOCN thin film deposition and a method of forming SiOCN thin film, the method of forming thin film containing a silicon according to the subject matter is performed on a low temperature process that does not require a catalyst, and film deposition rate and process efficiency are excellent according to the subject matter. 2. The SiC precursor compound of claim 1 , wherein Rand Rare each independently n-propyl claim 1 , iso-propyl claim 1 , n-butyl claim 1 , or iso-butyl claim 1 , and Rand Rare each independently hydrogen claim 1 , methyl or ethyl.3. The SiC precursor compound of claim 2 , wherein Rand Rare iso-propyl claim 2 , one of Rand Rmay be hydrogen and the other may be methyl claim 2 , and n is an integer of 1.5. A method of forming a SiOCN thin film comprising a deposition step vaporizing one or more of the SiC precursor according to on a silicon substrate claim 1 , or a metal claim 1 , ceramic or plastic structure.6. The method of forming a SiOCN thin film of claim 5 , wherein chemical vapor deposition (CVD) or atomic layer deposition (ALD) is used in the deposition step.7. The method of forming a SiOCN thin film of claim 6 , wherein the deposition step is performed at 400-550° C.8. The method of forming a SiOCN thin film of claim 7 , wherein the atomic layer deposition is used and the method comprises a) positioning the substrate in a reaction chamber; b) injecting a gaseous SiC precursor into the reaction space; c) removing excess SiC precursor using an inert gas; d) contacting the oxygen precursor with SiC species adsorbed on the substrate; e) removing excess oxygen precursor and reaction byproducts using an inert gas; f) contacting the nitrogen precursor with SiC—O species adsorbed on the substrate; and g) removing excess nitrogen precursor and reaction byproducts using an inert gas.9. A method of forming a SiOCN thin film comprising a deposition step vaporizing one or more of the SiC precursor according to on ...

Подробнее
25-01-2018 дата публикации

MONOLAYER FILM MEDIATED PRECISION FILM DEPOSITION

Номер: US20180025908A1
Автор: RANJAN ALOK, Ventzek Peter
Принадлежит:

A method of forming a thin film is described. The method includes treating at least a portion of a surface exposed on a substrate with an adsorption-promoting agent to alter a functionality of the exposed surface and cause subsequent adsorption of an organic precursor, and thereafter, adsorbing the organic precursor to the functionalized surface to form a carbon-containing film. Then, at least a portion of the surface of the carbon-containing film is exposed to an ion flux to mix the adsorbed carbon-containing film with the material of the underlying substrate and form a mixed film. 1. A method of forming a thin film , comprising:treating at least a portion of a surface exposed on a substrate with an adsorption-promoting agent to alter a functionality of the exposed surface and cause subsequent adsorption of an organic precursor;thereafter, adsorbing the organic precursor to the functionalized surface to form a carbon-containing film; andexposing at least a portion of the surface of the carbon-containing film to an ion flux to mix the adsorbed carbon-containing film with the material of the underlying substrate and form a mixed film.2. The method of claim 1 , wherein the substrate comprises silicon claim 1 , germanium claim 1 , or a silicon-germanium alloy.3. The method of claim 1 , wherein the adsorption-promoting agent is NHformed using an ammonia-based plasma.4. The method of claim 1 , further comprising:pre-treating the surface of the substrate with a pre-treatment charged particle flux prior to treating the surface with the adsorption-promoting agent.5. The method of claim 4 , wherein the pre-treatment charged particle flux includes an ion flux from an inert gas plasma.6. The method of claim 1 , wherein the organic precursor includes a —CH containing precursor.7. The method of claim 1 , wherein the mixed film includes an Si-C containing film.8. The method of claim 1 , further comprising:adsorbing a Si-containing precursor onto the surface of the substrate prior ...

Подробнее
25-01-2018 дата публикации

SELECTIVE DEPOSITION OF TUNGSTEN

Номер: US20180025939A1
Принадлежит:

A method for selectively depositing a metal film onto a substrate is disclosed. In particular, the method comprising flowing a metal precursor onto the substrate and flowing a non-metal precursor onto the substrate, while contacting the non-metal precursor with a hot wire. Specifically, a reaction between a tungsten precursor and a hydrogen precursor selectively forms a tungsten film, where the hydrogen precursor is excited by a tungsten hot wire. 1. A method of selectively forming a film comprising metal , the method comprising:providing a substrate for processing in a reaction chamber and a hot wire element for contacting at least a gas;exposing the substrate to a metal precursor; andexposing the substrate to a gas which has been exposed to a vicinity of the hot wire;wherein the substrate comprises at least two different materials and the metal film is selectively formed in one of the surfaces.2. The method of claim 1 , wherein the metal precursor comprises transition metal element.3. The method of claim 1 , wherein the metal precursor comprises a tungsten-containing precursor or a molybdenum-containing precursor.4. The method of claim 1 , wherein the gas comprises hydrogen.5. The method of claim 1 , wherein the selectively formed film comprises metallic material.6. The method of claim 1 , wherein an excited claim 1 , radical or atomic species is formed from the gas when the gas has been exposed to a vicinity of the hot wire.7. The method of claim 1 , wherein the substrate comprises a first surface and a second surface.8. The method of claim 7 , wherein the first surface comprises a transition metal.9. The method of claim 7 , wherein the first surface comprises an oxidized metal claim 7 , and underneath the oxidized metal is an elemental metal or metallic conductive film.10. The method of claim 7 , wherein the second surface comprises Si—O bonds.11. The method of claim 7 , wherein the second surface comprise silicon oxide claim 7 , silicon nitride claim 7 , ...

Подробнее
10-02-2022 дата публикации

FUNCTIONALIZED CYCLOSILAZANES AS PRECURSORS FOR HIGH GROWTH RATE SILICON-CONTAINING FILMS

Номер: US20220044929A1
Принадлежит: VERSUM PATENTS US, LLC

Described herein are functionalized cyclosilazane precursor compounds and compositions and methods comprising same to deposit a silicon-containing film such as, without limitation, silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, silicon oxycarbonitride, or carbon-doped silicon oxide via a thermal atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) process, or a combination thereof. 1. A method of depositing a silicon-containing film onto a substrate , the method comprising the steps of:a) providing a substrate in a reactor;{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'b) introducing into the reactor at least one silicon precursor compound of ;'}c) purging the reactor with purge gas;d) introducing an oxygen-containing or nitrogen-containing source (or combination thereof) into the reactor; ande) purging the reactor with purge gas,wherein steps b through e are repeated until a desired thickness of film is deposited, andwherein the method is conducted at one or more temperatures ranging from about 25° C. to 600° C.2. The method of claim 1 , wherein the at least one silicon precursor compound is selected from the group consisting of 2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1-silyl-2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1-(iso-propylaminosilyl)-2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1-(dimethylaminosilyl)-2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1-(iso-propylaminosilyl)-2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1-(methylaminosilyl)-2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1-(dimethylaminomethylsilyl)-2 claim 1 ,2 claim 1 ,4 claim 1 ,4 claim 1 ,6 claim 1 ,6-hexamethylcyclotrisilazane claim 1 , 1 ...

Подробнее
24-01-2019 дата публикации

VAPOR DISPOSITION OF SILICON-CONTAINING FILMS USING PENTA-SUBSTITUTED DISILANES

Номер: US20190027357A1
Принадлежит:

Disclosed are methods of depositing silicon-containing films on one or more substrates via vapor deposition processes using penta-substituted disilanes, such as pentahalodisilane or pentakis(dimethylamino)disilane. 1. A thermal vapor deposition method of depositing a silicon film on a substrate , the method comprising:a) setting a reactor containing the substrate to a temperature ranging from approximately 550° C. to approximately 800° C. and a pressure ranging from approximately 0.1 to approximately 100 Torr (13 Pa to 13,332 Pa);b) introducing a vapor of pentachlorodisilane into the reaction chamber to form the silicon film on the substrate.2. The method of claim 1 , further comprising introducing an inert gas.3. The method of claim 2 , wherein the inert gas is N.4. The method of claim 1 , further comprising introducing a reducing gas.5. The method of claim 1 , wherein the silicon film contains between approximately 0 atomic % and 5 atomic % C; between approximately 0 atomic % and 1 atomic % N; and between approximately 0 atomic % and 1 atomic % Cl.6. The method of claim 5 , wherein the silicon film is an amorphous silicon film.7. The method of claim 5 , wherein the silicon film is a polysilicon film.8. The method of claim 3 , wherein the silicon film contains between approximately 0 atomic % and 5 atomic % C; between approximately 0 atomic % and 1 atomic % N; and between approximately 0 atomic % and 1 atomic % Cl.9. The method of claim 8 , wherein the silicon film is an amorphous silicon film.10. The method of claim 8 , wherein the silicon film is a polysilicon film. This application is a divisional of U.S. patent application Ser. No. 15/459,158, filed Mar. 15, 2017, which is a divisional of U.S. patent application Ser. No. 14/979,816, filed Dec. 28, 2015 and matured to grant as U.S. Pat. No. 9,633,838, the entire contents of which are incorporated herein by reference.Disclosed are methods of depositing silicon-containing films on one or more substrates via vapor ...

Подробнее
29-01-2015 дата публикации

METHOD AND SYSTEM FOR CONTINUOUS ATOMIC LAYER DEPOSITION

Номер: US20150031157A1
Принадлежит:

A system and method for continuous atomic layer deposition. The system and method includes a housing, a moving bed which passes through the housing, a plurality of precursor gases and associated input ports and the amount of precursor gases, position of the input ports, and relative velocity of the moving bed and carrier gases enabling exhaustion of the precursor gases at available reaction sites. 1. A method for atomic layer deposition , comprising the steps of , providing a housing;providing a moving bed which passes through the housing of the moving bed including a reaction surface;providing a plurality of precursor gases and input; andcontrolling amounts of the precursor gas released into the housing, the amounts of the precursor gas being less then available reaction sites of the reaction surface, thereby leading to exhaustion of the precursor gases released.2. The method as defined in wherein the housing consists of a single volume with no removal ports and no purge components associated with the housing.3. The method as defined in wherein the housing further includes an associated plurality of precursor gas input ports positioned to enable the plurality of precursor gases to react with the available reaction sites claim 1 , thereby exhausting the precursor gases input to the housing.4. The method as defined in wherein the moving bed is constructed to be of a prescribed length passing through the housing to insure complete exhaustion of the precursor gases input to the housing and which react with the available reaction sites.5. The method as defined in further including the step of providing a carrier gas.6. The method as defined in wherein at least one of flow velocity of the carrier gas and velocity of the moving bed is controlled to insure exhaustion of the precursor gases.7. The method as defined in further including a device coupled to the moving bed for mixing particles comprising the reaction surface.8. The method as defined in wherein relative ...

Подробнее
23-01-2020 дата публикации

System And Method For Atomic Layer Deposition Of Solid Electrolytes

Номер: US20200028208A1
Принадлежит: University of Michigan

A method of making an ionically conductive layer for an electrochemical device is disclosed. The method includes the steps of: (a) exposing a substrate to a lithium-containing precursor followed by an oxygen-containing precursor; and (b) exposing the substrate to a boron-containing precursor followed by the oxygen-containing precursor.

Подробнее
28-01-2021 дата публикации

INTEGRATED ELECTROHYDRODYNAMIC JET PRINTING AND SPATIAL ATOMIC LAYER DEPOSITION SYSTEM FOR AREA SELECTIVE-ATOMIC LAYER DEPOSITION

Номер: US20210028006A1
Принадлежит:

An integrated electrohydrodynamic jet printing and spatial atomic layer deposition system for conducting nanofabrication includes an electrohydrodynamic jet printing station that includes an E-jet printing nozzle, a spatial atomic layer deposition station that includes a zoned ALD precursor gas distributor that discharges linear zone-separated first and second ALD precursor gases, a heatable substrate plate supported on a motion actuator controllable to move the substrate plate in three dimensions, and a conveyor on which the motion actuator is supported. The conveyor is operative to move the motion actuator between the electrohydrodynamic jet printing station and the spatial atomic layer deposition station so that the substrate plate is conveyable between a printing window of the E-jet printing nozzle and a deposition window of the zoned ALD precursor gas distributor, respectively. A method of conducting area-selective atomic layer deposition is also disclosed. 1. An integrated electrohydrodynamic jet printing and spatial atomic layer deposition system for conducting nanofabrication , the system comprising:an electrohydrodynamic jet printing station that includes an E-jet printing nozzle;a spatial atomic layer deposition station that includes a zoned ALD precursor gas distributor that discharges linear zone-separated first and second ALD precursor gases;a heatable substrate plate supported on a motion actuator controllable to move the substrate plate in three dimensions; anda conveyor on which the motion actuator is supported, the conveyor being operative to move the motion actuator between the electrohydrodynamic jet printing station and the spatial atomic layer deposition station so that the substrate plate is conveyable between a printing window of the E-jet printing nozzle and a deposition window of the zoned ALD precursor gas distributor, respectively.2. The integrated electrohydrodynamic jet printing and spatial atomic layer deposition system set forth in ...

Подробнее
04-02-2016 дата публикации

Method for forming coating layer and coating material having waterproof property

Номер: US20160032163A1

The present disclosure relates to a method for forming a coating layer and a coating material having waterproof property, and the method for forming a coating layer according to the present disclosure includes (a) supplying a precursor comprising a rare earth metal onto a substrate; (b) purging impurities of remaining precursor after combination of the rare earth metal onto the substrate; (c) supplying an oxidant onto the substrate; and (d) purging remaining impurities after forming a coating layer including a rare earth oxide on the substrate. According to the method for forming a coating layer of the present disclosure, a coating layer with hydrophobic or superhydrophobic property may be formed by controlling a temperature of the substrate so that an atomic ratio of a carbon element in the coating layer is less than 1% to form the coating layer with hydrophobic or superhydrophobic property.

Подробнее
02-02-2017 дата публикации

DEPOSITION SYSTEM AND METHOD USING A DELIVERY HEAD SEPARATED FROM A SUBSTRATE BY GAS PRESSURE

Номер: US20170029949A1
Автор: Levy David H.
Принадлежит:

A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material, wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head. A system capable of carrying out such a process is also disclosed. 1. A process for depositing a thin film material on a substrate , comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate , and wherein the series of gas flows comprises at least a first reactive gaseous material , an inert purge gas , and a second reactive gaseous material , wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material , wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head.21. The process of wherein the gas flows are provided from a series of open elongated output channels claim 1 , substantially in parallel claim 1 , wherein the output face of the delivery head is spaced within mm of the surface of the substrate subject to deposition.3. The process of wherein the substrate is treated by a plurality of delivery heads spaced apart.4. The process of wherein a given area of the substrate is exposed to the gas flow of the first reactive gaseous material for less than about 500 milliseconds at a time ...

Подробнее
04-02-2016 дата публикации

Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films

Номер: US20160032454A1

Bis(alkylimido)-bis(alkylamido)tungsten compounds, their synthesis, and their use for the deposition of tungsten-containing films are disclosed.

Подробнее
04-02-2016 дата публикации

HIGH THROUGH-PUT AND LOW TEMPERATURE ALD COPPER DEPOSITION AND INTEGRATION

Номер: US20160032455A1
Принадлежит:

Methods of depositing a metal layer utilizing organometallic compounds. A substrate surface is exposed to a gaseous organometallic metal precursor and an organometallic metal reactant to form a metal layer (e.g., a copper layer) on the substrate. 1. A method comprising:heating a substrate to a temperature in the range of about 60° C. to about 150° C.;exposing at least a portion of a surface of the substrate to a gaseous organometallic metal precursor to form a film of the organometallic metal precursor on the surface of the substrate, wherein the organometallic metal precursor is a metal aminoalkoxide complex, a metal dialkoxide complex or metal diketonate complex; andexposing a gaseous organometallic metal reactant to the film of the organometallic metal precursor to form a metal layer on the substrate.2. The method of claim 1 , wherein the film is a monolayer or sub-monolayer of the organometallic metal precursor claim 1 , and the metal layer is a monolayer or sub-monolayer.3. The method of claim 2 , which further comprises repeating exposure of the substrate and previously deposited metal layer to the gaseous organometallic metal precursor and gaseous organometallic metal reactant to deposit additional monolayers or sub-monolayers of the metal.4. The method of claim 1 , wherein the metal aminoalkoxide complex claim 1 , metal dialkoxide complex claim 1 , and metal diketonate complex claim 1 , is a liquid at temperatures greater than about 50° C. claim 1 , and wherein each organic ligand bonds to the metal through either an oxygen and a nitrogen coordinate bond or two oxygen coordinate bonds.5. The method of claim 4 , wherein the metal aminoalkoxide complexes claim 4 , metal dialkoxide complexes claim 4 , and metal diketonate complexes do not contain any halides claim 4 , and are a liquid at standard ambient temperature and pressure.6. The method of claim 5 , wherein the metal is Cu claim 5 , and the organometallic metal precursor is selected from the group ...

Подробнее
17-02-2022 дата публикации

Silicon-carbon composite material and preparation method thereof

Номер: US20220048774A1

A silicon-carbon composite material includes a matrix core, a silicon-carbon composite shell formed by uniformly dispersing nano silicon particles in conductive carbon, and a coating layer. The nano silicon particles are formed by high-temperature pyrolysis of a silicon source, and the conductive carbon is formed by high-temperature pyrolysis of an organic carbon source. The coating layer is a carbon coating layer including at least one layer, and the thickness of its single layer is 0.2-3 μm. A silicon-carbon composite material precursor is formed by simultaneous vapor deposition and is then subjected to carbon coating to form the pitaya-like silicon-carbon composite material which has advantages of high first-cycle efficiency, low expansion and long cycle. The grain growth of the silicon material is slowed down during the heat treatment process, the pulverization of the material is effectively avoided, and the cycle performance, conductivity and rate performance of the material are enhanced.

Подробнее
17-02-2022 дата публикации

METHODS FOR FORMING IMPURITY FREE METAL ALLOY FILMS

Номер: US20220049353A1
Принадлежит: Applied Materials, Inc.

Methods of depositing a metal film by exposing a substrate surface to a halide precursor and an organosilane reactant are described. The halide precursor comprises a compound of general formula (I): MQR, wherein M is a metal, Q is a halogen selected from Cl, Br, F or I, z is from 1 to 6, R is selected from alkyl, CO, and cyclopentadienyl, and m is from 0 to 6. The aluminum reactant comprises a compound of general formula (II) or general formula (III): 2. (canceled)3. (canceled)4. The method of claim 1 , wherein Q is Cl or Br.5. The method of claim 1 , wherein Q is Cl.6. The method of claim 1 , wherein at least one of R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , and Rcomprises methyl.7. The method of claim 1 , wherein exposing the substrate surface to the first halide precursor and the organosilane reactant occurs sequentially.8. The method of claim 1 , wherein exposing the substrate surface to the first halide precursor and the organosilane reactant occurs simultaneously.9. The method of claim 1 , wherein the organosilane reactant is selected from one or more bis(trimethylsilyl)cyclohexadiene claim 1 , bis(trimethylsilyl)diaza-cyclohexadiene claim 1 , bis(trimethylsilyl)-aza-cyclohexadiene claim 1 , bis(trimethylsilyl)-dihydro-bipyridine claim 1 , 3 claim 1 ,6-bis(trimethylsilyl)-1 claim 1 ,4-cyclohexadiene claim 1 , 1-methyl-3 claim 1 ,6-bis(trimethylsilyl)-1 claim 1 ,4-cyclohexadiene claim 1 , and 1 claim 1 ,4-bis-(trimethylsilyl)-1 claim 1 ,4-diaza-2 claim 1 ,5-cyclohexadiene.10. (canceled)11. (canceled)12. The method of claim 1 , wherein the substrate is in a processing chamber.13. The method of claim 12 , further comprising purging the processing chamber of each of the first halide precursor and the second halide precursor prior to exposing the substrate to the organosilane reactant.14. The method of claim 13 , further comprising purging the processing chamber of the organosilane reactant.15. (canceled)16. A gate stack comprising:a high-κ ...

Подробнее
01-02-2018 дата публикации

Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Номер: US20180033614A1
Принадлежит: Versum Materials US LLC

A composition and method for using the composition in the fabrication of an electronic device are disclosed. Compounds, compositions and methods for depositing a low dielectric constant (<4.0) and high oxygen ash resistance silicon-containing film such as, without limitation, a carbon doped silicon oxide, are disclosed.

Подробнее
30-01-2020 дата публикации

HALIDOSILANE COMPOUNDS AND COMPOSITIONS AND PROCESSES FOR DEPOSITING SILICON-CONTAINING FILMS USING SAME

Номер: US20200032389A1
Принадлежит:

Halidosilane compounds, processes for synthesizing halidosilane compounds, compositions comprising halidosilane precursors, and processes for depositing silicon-containing films (e.g., silicon, amorphous silicon, silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon carbonitride, doped silicon films, and metal-doped silicon nitride films) using halidosilane precursors. Examples of halidosilane precursor compounds described herein, include, but are not limited to, monochlorodisilane (MCDS), monobromodisilane (MBDS), monoiododisilane (MIDS), monochlorotrisilane (MCTS), and monobromotrisilane (MBTS), monoiodotrisilane (MITS). Also described herein are methods for depositing silicon containing films such as, without limitation, silicon, amorphous silicon, silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon carbonitride, doped silicon films, and metal-doped silicon nitride films, at one or more deposition temperatures of about 500° C. or less. 1. A method for forming a silicon nitride or silicon carbonitride film on at least one surface of a substrate by a deposition process selected from a chemical vapor deposition process and an atomic layer deposition process , the method comprising:providing the at least one surface of the substrate in a reactor;introducing at least one halidosilane precursor from the group consisting of monochlorodisilane (MCDS), monobromodisilane (MBDS), monoiododisilane (MIDS), monochlorotrisilane (MCTS), and monobromotrisilane (MBTS), monoiodotrisilane (MITS), andintroducing a nitrogen-containing source into the reactor wherein the at least one silicon containing precursor and the nitrogen-containing source react to form the silicon nitride on the at least one surface.2. The method of wherein the nitrogen-containing source is selected from the group consisting of ammonia claim 1 , hydrazine claim 1 , monoalkylhydrazine claim 1 , dialkylhydrazine claim 1 , organoamine claim 1 , organodiamine claim 1 ...

Подробнее
30-01-2020 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20200032395A1
Принадлежит: Tokyo Electron Ltd

A plasma processing method executed by a plasma processing apparatus in the present disclosure includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film on the side walls of an opening in the processing target, the first film having different thicknesses along a spacing between pairs of side walls facing each other. In the second step, the plasma processing apparatus forms a second film by performing a film forming cycle once or more times after the first step, the second film having different thicknesses along the spacing between the pairs of side walls facing each other.

Подробнее
30-01-2020 дата публикации

ZIRCONIUM, HAFNIUM, TITANIUM PRECURSORS AND DEPOSITION OF GROUP 4 CONTAINING FILMS USING THE SAME

Номер: US20200032397A1
Принадлежит:

Group 4 transition metal-containing film forming compositions comprising Group 4 transition metal precursors having the formula: 2. The Group 4 transition metal-containing film forming composition of claim 1 , wherein the −1 anionic ligand is selected from the group consisting of NR′ claim 1 , OR′ claim 1 , Cp claim 1 , Amidinate claim 1 , β-diketonate claim 1 , and keto-iminate claim 1 , wherein R′ is a H or a C-Chydrocarbon group.3. The Group 4 transition metal-containing film forming composition of claim 2 , wherein the Group 4 transitional metal-containing precursor is selected from E is C.4. The Group 4 transition metal-containing film forming composition of claim 3 , wherein M is Zr.5. The Group 4 transition metal-containing film forming composition of claim 4 , wherein the Group 4 transitional metal precursor is selected from the group consisting of (MeN)—Zr—CH-1-(CH—CH—NMe)-3-(CH—CH—NMe)- claim 4 , (MeN)-Zr—CH-1-Me-2-(CH—CH—NMe)-4-(CH—CH—NMe)- claim 4 , (Cp)-Zr-CH-1-(CH—CH-NMe)-3-(CH—CH—NMe)- claim 4 , and (Cp)-Zr—CH-1-Me-2-(CH—CH—NMe)-4-(CH—CH-NMe)-.6. The Group 4 transition metal-containing film forming composition of claim 3 , wherein M is Hf.7. The Group 4 transition metal-containing film forming composition of claim 6 , wherein the Group 4 transitional metal precursor is selected from the group consisting of (MeN)—Hf—CH-1-(CH—CH—NMe)-3-(CH—CH—NMe)- claim 6 , (MeN)—Hf—CH-1-Me-2-(CH—CH—NMe)-4-(CH—CH—NMe)- claim 6 , (Cp)-Hf—CH-1-(CH—CH—NMe)-3-(CH—CH—NMe)- and (Cp)-Hf—CH-1-Me-2-(CH—CH—NMe)-4-(CH—CH—NMe)-.8. The Group 4 transition metal-containing film forming composition of claim 3 , wherein M is Ti. This application is divisional of U.S. patent application Ser. No. 15/396,183, filed Dec. 30, 2016, the entire contents of which are incorporated herein by reference.Disclosed are Group 4 transition metal-containing film forming compositions comprising Group 4 transition metal precursors having the following chemical formula L-M-CR-1-[(ER)-(ER)-L′]-2-[(ER)-(ER ...

Подробнее
04-02-2021 дата публикации

Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same

Номер: US20210032275A1

Methods for forming a Ge-containing film on a substrate comprise the steps of introducing a vapor of a cyclic Ge(II) silylamido precursor into a reactor having the substrate disposed therein and depositing at least part of the cyclic Ge(II) silylamido precursor onto the substrate to form the Ge-containing film using a vapor deposition method. The cyclic Ge(II) silylamido precursor is [SiMe 3 -(N—)—SiMe 2 -(N—)—SiMe 3 ]Ge(II) or [tBu-(N—)—SiMe 2 -(N—)-tBu]Ge(II).

Подробнее
04-02-2021 дата публикации

METHODS FOR COATING A SUBSTRATE WITH MAGNESIUM FLUORIDE VIA ATOMIC LAYER DEPOSITION

Номер: US20210032744A1
Принадлежит:

Atomic layer deposition methods for coating an optical substrate with magnesium fluoride. The methods include two primary processes. The first process includes the formation of a magnesium oxide layer over a surface of a substrate. The second process includes converting the magnesium oxide layer to a magnesium fluoride layer. These two primary processes may be repeated a plurality of times to create multiple magnesium fluoride layers that make up a magnesium fluoride film. The magnesium fluoride film may serve as an antireflective coating layer for an optical substrate, such as an optical lens. 1. An atomic layer deposition method for coating an optical lens with a magnesium fluoride layer , the method comprising:(i) exposing an optical lens to a precursor gas comprising magnesium, thereby forming a magnesium-containing precursor layer over a surface of the lens;(ii) exposing the magnesium-containing precursor layer to a first oxygen-containing gas, thereby forming a magnesium oxide layer;(iii) exposing the magnesium oxide layer to a source gas comprising fluorine, thereby forming an intermediate layer comprising magnesium and fluoride; and(iv) exposing the intermediate layer to a second oxygen-containing gas, thereby forming a magnesium fluoride layer.2. The method of claim 1 , wherein exposing the magnesium-containing precursor layer to the first oxygen-containing gas is performed at a temperature in a range of 100 degrees C. to 300 degrees C.3. The method of claim 1 , wherein exposing the magnesium-containing precursor layer to the first oxygen-containing gas is performed at a temperature in a range of 240 degrees C. to 260 degrees C.4. The method of claim 1 , wherein the first oxygen-containing gas comprises a gas selected from the group consisting of: water claim 1 , ozone claim 1 , hydrogen peroxide claim 1 , menthol claim 1 , ethanol claim 1 , plasma with oxygen claim 1 , and plasma with oxygen-containing chemicals.5. The method of claim 1 , wherein the first ...

Подробнее
04-02-2021 дата публикации

CORROSION RESISTANT FILM ON A CHAMBER COMPONENT AND METHODS OF DEPOSITING THEREOF

Номер: US20210032745A1
Принадлежит:

Disclosed is a coated chamber component comprising a body having a reduced metal surface such that the reduced metal surface has less metal oxide as compared to an amount of metal oxide on a metal surface that has not been reduced. The metal surface may be reduced by pulsing a reducing alcohol thereon. The reduced metal surface may be coated with a corrosion resistant film that may be deposited onto the reduced metal surface by a dry atomic layer deposition process. 1. A method for depositing a corrosion resistant film on a metal surface of a chamber component , the method comprising:performing x reduction cycles to reduce a base metal oxide on the metal surface of the chamber component; andthereafter, performing y atomic layer deposition (ALD) cycles to form the corrosion resistant film onto the reduced metal surface,wherein x and y are independent integers.2. The method of claim 1 , wherein an ALD cycle from the y ALD cycles comprises:forming an adsorption layer of a metal containing species onto the metal surface of the process chamber component by injecting a metal-containing precursor into a deposition chamber containing the chamber component; andreacting an alcohol reactant with the adsorption layer to form a metal oxide layer by injecting the alcohol reactant into the deposition chamber.3. The method of claim 2 , wherein the metal-containing precursor comprises at least one of triethylaluminum claim 2 , diethylaluminum ethoxide claim 2 , tris(ethylmethylamido)aluminum claim 2 , aluminum sec-butoxide claim 2 , aluminum tribromide claim 2 , aluminum trichloride claim 2 , triethylaluminum claim 2 , triisobutylaluminum claim 2 , trimethylaluminum claim 2 , or tris(diethylamido)aluminum claim 2 , tris(N claim 2 ,N-bis(trimethylsilyl)amide)yttrium (III) claim 2 , yttrium (III)butoxide claim 2 , tris(cyclopentadienyl)yttrium(III) claim 2 , Y(thd)3 (thd=2 claim 2 ,2 claim 2 ,6 claim 2 ,6-tetramethyl-3 claim 2 ,5-heptanedionato) claim 2 , zirconium (IV) bromide claim ...

Подробнее
04-02-2021 дата публикации

MULTILAYER ENCAPSULATION STACKS BY ATOMIC LAYER DEPOSITION

Номер: US20210032749A1
Принадлежит: Applied Materials, Inc.

Methods of depositing an encapsulation stack without damaging underlying layers are discussed. The encapsulation stacks are highly conformal, have low etch rates, low atomic oxygen concentrations, good hermeticity and good adhesion. These films may be used to protect chalcogen materials in PCRAM devices. Some embodiments utilize a two-step process comprising a first ALD process to form a protective layer and a second plasma ALD process to form an encapsulation layer. 2. The method of claim 1 , wherein the surface of the feature comprises materials that are easily damaged by plasma claim 1 , chemical exposure or heat.3. The method of claim 2 , wherein the surface of the feature comprises chalcogen materials.4. The method of claim 1 , wherein the protective layer substantially adheres to the surface of the feature.5. The method of claim 1 , wherein the surface of the feature comprises a carbon material surface and the protective layer substantially adheres to the carbon material surface.6. The method of claim 1 , wherein aspect ratio is greater than or equal to about 5:1.7. The method of claim 1 , wherein the protective layer comprises a dielectric comprising one or more of silicon nitride claim 1 , amorphous silicon claim 1 , aluminum nitride or aluminum oxide.8. The method of claim 1 , wherein forming the protective layer comprises exposing the substrate to a first plasma with a power less than or equal to about 200 W.9. The method of claim 8 , wherein the surface of the substrate is nitridated less than or equal to about 10 Å.10. The method of claim 1 , wherein the substrate is maintained at a pressure greater than or equal to about 5 Torr during formation of the protective layer.11. The method of claim 1 , wherein the protective layer is formed at a rate of greater than or equal to about 1 Å/min.12. The method of claim 1 , wherein the second plasma has a power greater than or equal to about 50 W.13. The method of claim 1 , wherein the substrate is maintained at a ...

Подробнее
17-02-2022 дата публикации

METHODS FOR DEPOSITING A TITANIUM ALUMINUM CARBIDE FILM STRUCTURE ON A SUBSTRATE AND RELATED SEMICONDUCTOR STRUCTURES

Номер: US20220051895A1
Принадлежит:

Methods for depositing a titanium aluminum carbide (TiAlC) film structure on a substrate are disclosed. The methods may include: depositing a first TiAlC film on a substrate utilizing a first cyclical deposition process, and depositing a second TiAlC film over the first TiAlC film utilizing a second cyclical deposition process. Semiconductor structures including titanium aluminum carbide (TiAlC) film structures deposited by the methods of the disclosure are also disclosed. 1. A method for depositing a titanium aluminum carbide (TiAlC) film structure on a substrate , the method comprising:depositing a first TiAlC film on the substrate utilizing at least one first unit deposition cycle of a first cyclical deposition process at a first growth rate per cycle; anddepositing a second TiAlC film over the first TiAlC film utilizing at least one second unit deposition cycle of a second cyclical deposition process at a second growth rate per cycle;wherein the first growth rate per cycle is greater than the second growth rate per cycle.2. The method of claim 1 , wherein the first unit deposition cycle and the second unit deposition cycle comprise: contacting the substrate with a titanium precursor claim 1 , and contacting the substrate with a metalorganic aluminum precursor.3. The method of claim 2 , wherein the first cyclical deposition process utilizes a first metalorganic aluminum precursor as the metalorganic aluminum precursor and the second cyclical deposition process utilizes a second metalorganic aluminum precursor different from the first metalorganic aluminum precursor as the metalorganic aluminum precursor.4. The method of claim 3 , wherein the first metalorganic aluminum precursor is more reactive than the second metalorganic aluminum precursor.5. The method of claim 3 , wherein the first metalorganic aluminum precursor contains a greater number of carbon atoms than the second metalorganic aluminum precursor.6. The method of claim 3 , wherein the first metalorganic ...

Подробнее
05-02-2015 дата публикации

LITHIUM MICROBATTERY PROTECTED BY A COVER

Номер: US20150037660A1
Принадлежит:

A lithium microbattery comprises a stack of active layers containing lithium and a protective cover covering the stack of active layers. The protective cover is fixed to the stack of active layers by means of a layer of glue. 1. A lithium microbattery comprising a stack of active layers containing lithium and a protective cover covering the stack of active layers , wherein the protective cover is fixed to the stack of active layers by a layer of glue and wherein the lithium microbattery comprises a buffer structure comprising at least one alumina layer arranged between the stack of active layers and the layer of glue , the buffer structure being in contact with said stack of active layers.2. The microbattery according to claim 1 , wherein the alumina layer of the buffer structure is in contact with the layer of glue.3. The microbattery according to claim 1 , wherein at least the alumina layer of the buffer structure completely covers the stack of active layers.4. The microbattery according to claim 1 , wherein the stack of active layers comprises a metallic lithium electrode in contact with the buffer structure.5. The microbattery according to claim 1 , wherein the alumina layer has a thickness comprised between 5 nm and 50 nm.6. A fabrication method of a lithium microbattery claim 1 , comprising the following steps:providing a stack of active layers containing lithium;depositing a buffer structure comprising at least one alumina layer on the stack of active layers;covering the stack of active layers and the buffer structure by a protective cover, a layer of polymerizable material being disposed between the buffer structure and the protective cover; andcross-linking the polymerizable material to stick the protective cover to the stack of active layers via the buffer structure.7. The method according to claim 6 , wherein the layer of polymerizable material is deposited either on the buffer structure prior to fitting of the protective cover or on the protective cover ...

Подробнее
08-02-2018 дата публикации

LAMINATE AND METHOD FOR FABRICATING THE SAME

Номер: US20180037017A1
Автор: KANO Mitsuru, Sato Jin
Принадлежит: TOPPAN PRINTING CO., LTD.

A laminate includes a substrate made of an organic polymer having a functional group containing an oxygen atom or a nitrogen atom, a functional layer bonded to the functional group of the organic polymer contained in the substrate and formed by an atomic layer deposition process, and an overcoat layer provided to cover the functional layer and containing transition metal atoms. Because the adhesion between the substrate and the functional layer is improved and the functional layer is protected by the overcoat layer, it is possible to achieve both improved gas barrier properties and/or improved durability against an environmental stress such as heat, humidity and the like. 1. A laminate comprising:a substrate that contains an organic polymer having a functional group containing an oxygen atom or a nitrogen atom;a functional layer formed on at least a part of a surface of the substrate and made of an atomic layer deposition film bonded to the functional group present on the surface of the substrate; andan overcoat layer formed on the functional layer and made of an inorganic film containing an element or elements selected from the group consisting of an element of Group III, an element of Group IV, an element of Group V, and a lanthanoid element.2. The laminate of claim 1 , characterized in that the overcoat layer is made of an inorganic film containing tantalum atoms.3. The laminate of claim 1 , wherein the inorganic film of the overcoat layer is formed by any one of a sputtering process claim 1 , a CVD process and a vacuum deposition process.4. The laminate of claim 1 , wherein the overcoat layer has a thickness of not less than 5 nm to not larger than 1000 nm.5. The laminate of claim 1 , wherein the functional layer has a thickness of not less than 2 nm.6. The laminate of claim 1 , wherein the water vapor transmission rate is not larger than 0.01 g/m/day.7. A method for fabricating a laminate wherein a functional layer and an overcoat layer are stacked in this ...

Подробнее
11-02-2016 дата публикации

BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS

Номер: US20160040289A1
Принадлежит:

Bis(alkylimido)-bis(alkylamido)molybdenum compounds, their synthesis, and their use for the deposition of molybdenum-containing films are disclosed. 1. An atomic layer deposition method for forming a molybdenum-containing film on a substrate , the method comprising:{'sub': 2', '2, 'introducing a molybdenum-containing precursor into a vapor deposition chamber containing a substrate, the molybdenum-containing precursor having the formula Mo(NR)(NHR′), wherein R and R′ are independently chosen from the group consisting of a C1-C4 alkyl group, a C1-C4 perfluoroalkyl group, and an alkylsilyl group; and'}depositing at least part of the molybdenum-containing precursor on the substrate by atomic layer deposition to form the molybdenum-containing film.2. The atomic layer deposition method of claim 1 , wherein the molybdenum-containing precursor is selected from the group consisting of Mo(NMe)(NHMe) claim 1 , Mo(NMe)(NHEt) claim 1 , Mo(NMe)(NHPr) claim 1 , Mo(NMe)(NHiPr) claim 1 , Mo(NMe)(NHBu) claim 1 , Mo(NMe)(NHiBu) claim 1 , Mo(NMe)(NHsBu) claim 1 , Mo(NMe)(NHtBu) claim 1 , Mo(NEt)(NHMe) claim 1 , Mo(NEt)(NHEt) claim 1 , Mo(NEt)(NHPr) claim 1 , Mo(NEt)(NHiPr) claim 1 , Mo(NEt)(NHBu) claim 1 , Mo(NEt)(NHiBu) claim 1 , Mo(NEt)(NHsBu) claim 1 , Mo(NEt)(NHtBu) claim 1 , Mo(NPr)(NHMe) claim 1 , Mo(NPr)(NHEt) claim 1 , Mo(NPr)(NHPr) claim 1 , Mo(NPr)(NHiPr) claim 1 , Mo(NPr)(NHBu) claim 1 , Mo(NPr)(NHiBu) claim 1 , Mo(NPr)(NHsBu) claim 1 ,Mo(NPr)(NHtBu) claim 1 , Mo(NiPr)(NHMe) claim 1 , Mo(NiPr)(NHEt) claim 1 , Mo(NiPr)(NHPr) claim 1 , Mo(NiPr)(NHiPr) claim 1 , Mo(NiPr)(NHBu) claim 1 , Mo(NiPr)(NHiBu) claim 1 , Mo(NiPr)(NHsBu) claim 1 , Mo(NiPr)(NHtBu) claim 1 , Mo(NBu)(NHMe) claim 1 , Mo(NBu)(NHEt) claim 1 , Mo(NBu)(NHPr) claim 1 , Mo(NBu)(NHiPr) claim 1 , Mo(NBu)(NHBu) claim 1 , Mo(NBu)(NHiBu) claim 1 , Mo(NBu)(NHsBu) claim 1 , Mo(NBu)(NHtBu) claim 1 , Mo(NiBu)(NHMe) claim 1 , Mo(NiBu)(NHEt) claim 1 , Mo(NiBu)(NHPr) claim 1 , Mo(NiBu)(NHiPr) claim 1 , Mo(NiBu)(NHBu) claim 1 ...

Подробнее
08-02-2018 дата публикации

DIAZADIENYL COMPOUND, RAW MATERIAL FOR FORMING THIN FILM, METHOD FOR PRODUCING THIN FILM, AND DIAZADIENE COMPOUND

Номер: US20180037540A1
Принадлежит: ADEKA CORPORATION

A diazadienyl compound represented by General Formula (I) below: 2. The diazadienyl compound according to claim 1 , wherein Rand Rin General Formula (I) are the different groups.3. The diazadienyl compound according to claim 1 , Rin General Formula (I) is hydrogen.4. The diazadienyl compound according to claim 1 , wherein M in General Formula (I) is copper claim 1 , iron claim 1 , nickel claim 1 , cobalt or manganese.5. A raw material for forming a thin film claim 1 , comprising the diazadienyl compound according to .6. A method for manufacturing a thin film claim 5 , comprising: introducing a vapor including a diazadienyl compound obtained by vaporizing the raw material for forming a thin film according to into a film formation chamber in which a substrate is disposed; and forming claim 5 , on a surface of the substrate claim 5 , a thin film including at least one atom selected from a metal atom and a silicon atom by inducing decomposition and/or chemical reaction of the diazadienyl compound.8. The diazadienyl compound according to claim 2 , wherein M in General Formula (I) is copper claim 2 , iron claim 2 , nickel claim 2 , cobalt or manganese.9. The diazadienyl compound according to claim 3 , wherein M in General Formula (I) is copper claim 3 , iron claim 3 , nickel claim 3 , cobalt or manganese.10. A raw material for forming a thin film claim 2 , comprising the diazadienyl compound according to .11. A raw material for forming a thin film claim 3 , comprising the diazadienyl compound according to .12. A raw material for forming a thin film claim 4 , comprising the diazadienyl compound according to .13. A raw material for forming a thin film claim 8 , comprising the diazadienyl compound according to .14. A raw material for forming a thin film claim 9 , comprising the diazadienyl compound according to .15. A method for manufacturing a thin film claim 10 , comprising: introducing a vapor including a diazadienyl compound obtained by vaporizing the raw material for ...

Подробнее
30-01-2020 дата публикации

METHOD OF FORMING TITANIUM NITRIDE FILMS WITH (200) CRYSTALLOGRAPHIC TEXTURE

Номер: US20200035481A1
Автор: Tapily Kandabara
Принадлежит:

A substrate processing method is described for forming a titanium nitride material that may be used for superconducting metallization or work function adjustment applications. The substrate processing method includes depositing by vapor phase deposition at least one monolayer of a first titanium nitride film on a substrate, and treating the first titanium nitride film with plasma excited hydrogen-containing gas, where the first titanium nitride film is polycrystalline and the treating increases the (200) crystallographic texture of the first titanium nitride film. The method further includes depositing by vapor phase deposition at least one monolayer of a second titanium nitride film on the treated at least one monolayer of the first titanium nitride film, and treating the at least one monolayer of the second titanium nitride film with plasma excited hydrogen-containing gas.

Подробнее
30-01-2020 дата публикации

Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices

Номер: US20200035493A1
Принадлежит: Tokyo Electron Ltd

A method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices is described. The hafnium zirconium based films can be either doped or undoped. The method includes depositing a hafnium zirconium based film with a thickness greater than 5 nanometers on a substrate, depositing a cap layer on the hafnium zirconium based film, heat-treating the substrate to crystallize the hafnium zirconium based film in a non-centrosymmetric orthorhombic phase, a tetragonal phase, or a mixture thereof. The method further includes removing the cap layer from the substrate, thinning the heat-treated hafnium zirconium based film to a thickness of less than 5 nanometers, where the thinned heat-treated hafnium zirconium based film maintains the crystallized non-centrosymmetric orthorhombic phase, the tetragonal phase, or the mixture thereof.

Подробнее
04-02-2021 дата публикации

CERAMIC PEDESTAL HAVING ATOMIC PROTECTIVE LAYER

Номер: US20210035849A1
Автор: Nosrati Mohammad
Принадлежит: WATLOW ELECTRIC MANUFACTURING COMPANY

A method of manufacturing a support pedestal for use in semiconductor processing includes applying a protective layer on a conductive member of the support pedestal with an atomic layer deposition (ALD) process. The support pedestal has a support plate bonded to a tubular shaft. The support plate has a substrate, an electric element embedded in the substrate, and a conductive member connected to the electric element, and the tubular shaft defines an internal chamber. The ALD process introducing first precursors into the chamber of the tubular shaft to form a first monolayer on the conductive member, and introducing second precursors into the chamber of the tubular shaft to form a second monolayer on the first monolayer. 1. A method of manufacturing a support pedestal for use in semiconductor processing , the support pedestal comprising a support plate bonded to a tubular shaft , the support plate comprising a substrate , an electric element embedded in the substrate , and a conductive member connected to the electric element , and the tubular shaft defining an internal chamber , the method comprising:applying a protective layer on the conductive member by an atomic layer deposition (ALD) process.2. The method according to claim 1 , wherein the ALD process comprises:introducing first precursors into the chamber of the tubular shaft to form a first monolayer on the conductive member; andintroducing second precursors into the chamber of the tubular shaft to form a second monolayer on the first monolayer.3. The method according to claim 2 , wherein the ALD process further comprises introducing a purge gas into the chamber of the tubular shaft before the second precursors are introduced into the chamber.4. The method according to further comprising heating the chamber of the tubular shaft during the ALD process.5. The method according to further comprising connecting a plasma chamber to the tubular shaft for heating the chamber of the tubular shaft.6. The method ...

Подробнее
11-02-2016 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM

Номер: US20160042940A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

A method of manufacturing a semiconductor device includes: (a) forming a first film containing a metal element on a substrate by performing a cycle a predetermined number of times, the cycle including: (a-1) supplying a first precursor gas being a fluorine-free inorganic gas containing the metal element to the substrate; and (a-2) supplying a first reactant gas having reducibility to the substrate; (b) forming a second film containing the metal element on the first film by performing a cycle a predetermined number of times, the cycle including: (b-1) supplying a second precursor gas containing the metal element and fluorine to the substrate; and (b-2) supplying a second reactant gas having reducibility to the substrate; and (c) forming a film containing the metal element and obtained by the first film and the second film being laminated on the substrate by performing the (a) and (b). 1. A method of manufacturing a semiconductor device , comprising: [ (a-1) supplying a first precursor gas being a fluorine-free inorganic gas containing the metal element to the substrate; and', '(a-2) supplying a first reactant gas to the substrate; and, '(a) forming the first film on the substrate by performing a first cycle a first predetermined number of times, the first cycle including, (b-1) supplying a second precursor gas containing the metal element and fluorine to the substrate; and', '(b-2) supplying a second reactant gas to the substrate,, '(b) forming the second film on the first film by performing a second cycle a second predetermined number of times, the second cycle including, 'wherein at least one of the first reactant gas or the second reactant gas includes a gas containing the metal element and an amino group or an inorganic hydrogen-containing gas., 'forming a film composed of a first film containing a metal element and a second film containing the metal element on a substrate, the first film and the second film being laminated, by performing2. The method according ...

Подробнее
24-02-2022 дата публикации

ORGANOMETALLIC COMPOUNDS

Номер: US20220056061A1
Принадлежит: UMICORE AG & CO. KG

The invention relates to a two-stage synthesis for the production of bis(tertbutylimido)bis(dialkylamido)tungsten compounds according to the general formula [W(NtBu)(NRR)] (I), starting from [W(NtBu)(NHtBu)]. The invention also relates to compounds according to the general formula [W(NtBu)(NRR)] (I), obtainable according to the claimed method, compounds according to general formula [W(NtBu)(NRR)] (I), with the exception of [W(NtBu)(NMe)] and [W(NtBu)(NEtMe)], the use of a compound [W(NtBu)(NRR)] (I), and a substrate which, on a surface, has a tungsten layer or a tungsten-containing layer. Defined bis(tertbutylimido)bis(dialkylamido)tungsten compounds of the type [W(NtBu)(NRR)] (I) can be produced easily, economically and reproducibly in high purity and good yields by means of the described method. On account of their high purity, the compounds are suitable for producing high-quality substrates which have tungsten layers or tungsten-containing layers. 1. Method for the production of bis(tertbutylimido)bis(dialkylamido)tungsten compounds {'br': None, 'i': 't', 'sub': 2', '2, 'sup': A', 'B, '[W(NBu)(NRR)]\u2003\u2003(I),'}, 'according to the general formula'}wherein{'sup': A', 'B, 'Rand Rare independently selected from the group consisting of linear and branched alkyl radicals having 1 to 20 carbon atoms,'}comprising the following steps:{'sub': 2', '2, 'a) provision of [W(NtBu)(NHtBu)]'}and{'sub': 2', '2', 'U, 'sup': A', 'B, 'b) reaction of [W(NtBu)(NHtBu)] from step a) with an amine according to the general formula HNRRin a solvent M,'} {'sup': A', 'B, 'Rand Rare independently selected from the group consisting of linear and branched alkyl radicals having 1 to 20 carbon atoms,'}, 'wherein'} {'sub': 2', '2, 'sup': A', 'B, 'a molar ratio [W(NtBu)(NHtBu)]:HNRRis <1:2.'}, 'and'}2. Method according to claim 1 , wherein the provision of [W(NtBu)(NHtBu)] comprises a reaction of WClwith tBuNHin the presence of an auxiliary base in an aprotic solvent M claim 1 ,{'sub': 6', '2, ...

Подробнее
08-02-2018 дата публикации

LOW-TEMPERATURE ATOMIC LAYER DEPOSITION OF BORON NITRIDE AND BN STRUCTURES

Номер: US20180040476A1
Принадлежит:

Methods of the disclosure include a BN ALD process at low temperatures using a reactive nitrogen precursor, such as thermal NH, and a boron containing precursor, which allows for the deposition of ultra thin (less than 5 nm) films with precise thickness and composition control. Methods are self-limiting and provide saturating atomic layer deposition (ALD) of a boron nitride (BN) layer on various semiconductors and metallic substrates. 1. A method for atomic layer deposition (ALD) of boron nitride , the method comprising:placing a substrate in an ALD reactor;heating the substrate to a deposition temperature; andsequential exposing the substrate to a reactive nitrogen containing precursor and a boron containing precursor.2. The method of claim 1 , wherein the reactive nitrogen containing precursor comprises such as hydrazine (NH).3. The method of claim 2 , wherein the boron containing precursor comprises one of BCl claim 2 , BBr claim 2 , BF claim 2 , BH claim 2 , borazine (BH)(NH) claim 2 , tris(dimethylamino)borane (TDMAB) and organometallic boron compounds.4. The method of claim 1 , wherein the boron containing precursor comprises one of BCl claim 1 , BBr claim 1 , BF claim 1 , BH claim 1 , borazine (BH)(NH) claim 1 , tris(dimethylamino)borane (TDMAB) and organometallic boron compounds.5. The method of claim 1 , wherein the substrate comprise one of silicon claim 1 , silicon germanium (SiGe) claim 1 , indium gallium arsenide (InGaAs) claim 1 , indium gallium antiminide (InGaSb) claim 1 , or indium gallium nitride (InGaN) claim 1 , a 2-dimensional semiconductor substrate claim 1 , or a metallic substrates.6. The method of claim 5 , wherein the 2-dimensional semiconductor substrate comprises such highly ordered pyrolytic graphite (HOPG) or molybdenum disulfide (MoS)7. The method of claim 5 , wherein the metallic substrate comprises copper.8. The method of claim 1 , wherein the substrate comprises a metal interconnect.9. The method of claim 1 , wherein the substrate ...

Подробнее
24-02-2022 дата публикации

MANUFACTURING METHOD FOR GRAPHENE FILM, POROUS SILICA POWDER AND TRANSPARENT CONDUCTIVE LAYER

Номер: US20220056578A1
Принадлежит:

The present application discloses a manufacturing method for a graphene film, a porous silica powder and a transparent conductive layer. The manufacturing method for a graphene film includes steps of: providing a porous material powder; placing the porous material powder in an atomic layer deposition device; forming a porous material template having a metal catalyst layer in pores; and preparing the graphene film on the porous material template. 1. A manufacturing method for a graphene film , comprising steps of:providing a porous material powder;putting the porous material powder into an atomic layer deposition device;depositing a metal catalyst layer in pores of the porous material powder to form a porous material powder having the metal catalyst layer in the pores;depositing the porous material powder in an organic alcohol solution and pressing to form a silica template, andintroducing a carbon source precursor on the silica template, letting grow for a preset time to form a graphene film.2. The manufacturing method for a graphene film according to claim 1 , wherein in the step of providing a porous material powder:the porous material powder is a hierarchical porous silica powder.3. The manufacturing method for a graphene film according to claim 1 , wherein in the step of depositing a metal catalyst layer in pores of the porous material powder to form a porous material powder having the metal catalyst layer in the pores:the metal catalyst layer comprises a copper catalyst layer or a nickel catalyst layer.4. The manufacturing method for a graphene film according to claim 3 , wherein the thickness of the copper catalyst layer or the nickel catalyst layer is 10-30 nm.5. The manufacturing method for a graphene film according to claim 3 , wherein the step of depositing a metal catalyst layer in pores of the porous material powder to form a porous material powder having the metal catalyst layer in the pores comprises:continuously introducing a copper precursor or a ...

Подробнее
12-02-2015 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM

Номер: US20150044881A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

According to the present disclosure, a film containing carbon added at a high concentration is formed with high controllability. A method of manufacturing a semiconductor device includes forming a film containing silicon, carbon and a predetermined element on a substrate by performing a cycle a predetermined number of times. The predetermined element is one of nitrogen and oxygen. The cycle includes supplying a precursor gas containing at least two silicon atoms per one molecule, carbon and a halogen element and having an Si—C bonding to the substrate, and supplying a modifying gas containing the predetermined element to the substrate. 1. A method of manufacturing a semiconductor device , the method comprising forming a film containing silicon , carbon and a predetermined element on a substrate by performing a cycle a first predetermined number of times , the predetermined element being one of nitrogen and oxygen , the cycle comprising:supplying a first precursor gas containing at least two silicon atoms per one molecule, carbon and a halogen element and having an Si—C bonding to the substrate; andsupplying a modifying gas containing the predetermined element to the substrate.2. The method of claim 1 , wherein supplying the modifying gas includes supplying a first modifying gas containing one of nitrogen and oxygen to the substrate claim 1 ,wherein the cycle further comprises supplying a second modifying gas containing one of nitrogen and oxygen that is not contained in the first modifying gas to the substrate, andwherein the film contains silicon, carbon, nitrogen and oxygen.3. The method of claim 2 , wherein claim 2 , in the act of forming the film claim 2 , the cycle comprising: supplying the first precursor gas; supplying the first modifying gas; and supplying the second modifying gas is performed the first predetermined number of times.4. The method of claim 2 , wherein the cycle further comprises:performing a first set a second predetermined number of times, ...

Подробнее
06-02-2020 дата публикации

METAL DEPOSITION METHODS

Номер: US20200040448A1
Принадлежит:

A method of forming conformal amorphous metal films is disclosed. A method of forming crystalline metal films with a predetermined orientation is also disclosed. An amorphous nucleation layer is formed on a substrate surface. An amorphous metal layer is formed from the nucleation layer by atomic substitution. A crystalline metal layer is deposited on the amorphous metal layer by atomic layer deposition. 1. A method of forming an amorphous metal layer , the method comprising:exposing a substrate surface of a substrate material to a nucleation precursor to form a conformal amorphous nucleation layer; andconverting the conformal amorphous nucleation layer to a conformal amorphous metal layer by substituting atoms of the conformal amorphous nucleation layer with metal atoms from a metal precursor.2. The method of claim 1 , wherein the substrate material consists essentially of a metal material.3. The method of claim 1 , wherein the substrate material comprises a dielectric material.4. The method of claim 3 , wherein the substrate material comprises aluminum oxide or titanium nitride.5. The method of claim 1 , wherein the nucleation precursor comprises a silicon precursor.6. The method of claim 5 , wherein the nucleation precursor comprises one or more silane claim 5 , polysilane or halosilane.7. The method of claim 1 , wherein the nucleation precursor comprises boron.8. The method of claim 7 , wherein the nucleation precursor comprises one or more borane claim 7 , alkylborane or haloborane.9. The method of claim 1 , wherein the metal precursor comprises tungsten and the conformal amorphous metal layer comprises tungsten.10. The method of claim 9 , wherein the metal precursor comprises one or more of WF claim 9 , WCl claim 9 , and WCl.11. A method of forming a 110-oriented tungsten film claim 9 , the method comprising:exposing a surface of an amorphous substrate material to a nucleation precursor to form an amorphous nucleation layer;converting the amorphous nucleation ...

Подробнее
06-02-2020 дата публикации

METHOD TO INCREASE DEPOSITION RATE OF ALD PROCESS

Номер: US20200040454A1
Принадлежит:

A method of increasing the deposition rate of an atomic layer deposition (ALD) process by co-flowing a volatile base with metal organic, a metal halide, or metal hybride precursor. The base does not react with the precursor with which it is flowed such that the base generates no measurable film on the substrate or particles in the processing chamber during the flow time. The addition of the base catalyst increases the rate of adsorption of the precursor with which it is flowed. 1. A method for increasing a deposition rate of an atomic layer deposition (ALD) process , the method comprising:providing a processing chamber, wherein a substrate is within the chamber;flowing a first precursor into the chamber, the first precursor comprising a metal organic, a metal halide, or metal hybride, wherein adsorption of the first precursor results in a growth of a film on the substrate;co-flowing a base in a gaseous phase with the first precursor into the chamber to co-expose a surface of the substrate to the first precursor and the base, wherein the base does not generate any measurable film on the surface of the substrate and the base does not generate any measurable particles in the chamber; andflowing a second precursor into the chamber, wherein adsorption of the second precursor provides oxidation or nitridation of the film.2. The method as recited in claim 1 , further comprising purging the processing chamber after co-flowing the base and before flowing the second precursor.3. The method as recited in claim 1 , wherein the base is selected from the group consisting of pyridines claim 1 , amines and ammonias.4. The method as recited in claim 1 , wherein co-flowing the base comprises pulsing the base and the first precursor together.5. The method as recited in claim 1 , wherein co-flowing the base comprises alternating pulses of the first precursor with pulses of the base.6. The method as recited in claim 1 , further comprising co-flowing a base with the second precursor.7. ...

Подробнее
06-02-2020 дата публикации

METHODS AND APPARATUS FOR ALD PROCESSES

Номер: US20200040455A1
Принадлежит:

The present disclosure relates to methods and apparatus for an atomic layer deposition (ALD) chamber. In one embodiment, a lid assembly is provided that includes a multi-channel showerhead having a plurality of first gas channels and a plurality of second gas channels that are fluidly isolated from each of the first gas channels, and a flow guide coupled to opposing sides of the multi-channel showerhead, each of the flow guides being fluidly coupled to the plurality of second gas channels. 1. A lid assembly for use in an atomic layer deposition (ALD) chamber , the lid assembly comprising:a multi-channel showerhead having a plurality of first gas channels and a plurality of second gas channels that are fluidly isolated from each of the first gas channels; anda flow guide coupled to each opposing side of the multi-channel showerhead, each of the flow guides being fluidly coupled to the plurality of second gas channels, wherein the flow guides are operable to flow gases in a first direction and a second direction across the multi-channel showerhead, the second direction being opposite to the first direction.2. The lid assembly of claim 1 , wherein the multi-channel showerhead includes a first plate and a second plate.3. The lid assembly of claim 2 , wherein each of the plurality of first gas channels are formed through the first plate.4. The lid assembly of claim 3 , wherein a plurality each of the plurality of first gas channels are formed through the first plate and are in fluid communication with a one or more first orifices formed through the second plate.5. The lid assembly of claim 2 , wherein each of the plurality of second gas channels are formed in the second plate.6. The lid assembly of claim 2 , wherein at least a portion of the plurality of second gas channels is bounded by the first plate.7. The lid assembly of claim 1 , wherein each of the flow guides includes a manifold.8. The lid assembly of claim 7 , wherein each manifold is fluidly coupled to each of ...

Подробнее

©DocSearch.ru 2020-2024