Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 2352. Отображено 198.
27-12-2007 дата публикации

ИМПЛАНТАТ И СПОСОБ ОБРАБОТКИ ПОВЕРХНОСТИ ИМПЛАНТАТА

Номер: RU2313307C2
Принадлежит: АСТРА ТЕК АБ (SE)

Изобретение относится к способу обработки поверхности имплантата, предназначенного для имплантации в костную ткань, включающему предоставление фтора и/или фторида, по меньшей мере, на части поверхности имплантата и обеспечение на поверхности имплантата микрошероховатости, имеющей среднеквадратическую шероховатость (Rq и/или Sq) = 250 нм, и/или включающей поры, имеющие диаметр пор ≤1 мкм и глубину пор ≤500 нм. Изобретение также относится к имплантату, включающему поверхность, имеющую указанные выше характеристики. 5 н. и 36 з.п. ф-лы, 12 ил., 7 табл.

Подробнее
30-12-1985 дата публикации

Раствор для травления титановых сплавов

Номер: SU1201347A1
Принадлежит:

РАСТВОР ДЛЯ ТРАВЛЕШ-Ш ТИТАНОВЫХ СПЛАВОВ, преимущественно термообработанных, содержащих хлористоводородную кислоту, а тмоний фтористый и ноду, отличающ и и с я тем, что, с целью повьшения качества травления и улучшения условий труда, он дополнительно содержит сульфат натрия или аммония при следующем соотношении компонентов, нас.%: Хлористоводородная кислота8,0-10,0 Аммоний фтористый 2,0-3,0 Сульфат натрия или аммония 1,0-3,0 ВодаОстальное ...

Подробнее
02-04-2009 дата публикации

Zusammensetzung zum Ätzen eines Metallhartmaskenmaterials in der Halbleiterbearbeitung

Номер: DE112007000643T5
Принадлежит: INTEL CORP, INTEL CORPORATION

Nassätzlösung, die zum selektiven Ätzen von Titan in der Lage ist, während dasjenige von Wolfram, Kupfer, oxidischem dielektrischem Material und mit Kohlenstoff dotiertem Oxid unterdrückt wird.

Подробнее
25-03-1953 дата публикации

Improvements in an etch for making lithographic printing plates

Номер: GB0000689338A
Автор:
Принадлежит:

... 689,338. Etching. INTERCHEMICAL CORPORATION. Dec. 11, 1950 [July 20, 1950], No. 30214/50. Class 100(ii) An etch for use in making lithographic printing plates which will dissolve chromium without attacking copper, and which has only a slow action on a light-hardened bichromated gum arabic film, consists of a concentrated aqueous solution of calcium or magnesium chloride, a minor proportion of a concentrated solution of a stannic or chromic salt, and a small amount of acid sufficient to reduce the pH to below 2.0. The stannic or chromic salt is preferably the chloride. The acid is present to the extent of about 2 liquid ounces per gallon of the calcium or magnesium chloride solution, and may be hydrochloric, nitric, sulphuric, acetic, phosphoric, lactic, hydroxy-acetic, citric, oxalic or tartaric acid or resorcinol may be used. Example 1. 16 liquid oz. 41.5 degrees Be1 calcium chloride solution (79 per cent CaCl 2 .6H 2 O), 4 oz. 45 degrees Be1 chromic chloride solution ...

Подробнее
06-12-1967 дата публикации

Production of printing plates

Номер: GB0001093855A
Принадлежит:

... 1,093,855. Etching. BADISCHE ANILIN- & SODA-FABRIK A.G. March 5, 1965 [March 6, 1964], No. 9399/65. Heading B6J. [Also in Division G2] Chromium is etched with a mixture of HCI, CaCl 2 and Ca(OCl) 2 .

Подробнее
25-03-2020 дата публикации

Chemical Milling

Номер: GB0002562467B

Подробнее
04-05-2011 дата публикации

Application of treatment fluids to components

Номер: GB0201104928D0
Автор:
Принадлежит:

Подробнее
15-01-1985 дата публикации

PROCEDURE FOR THE ACTIVATION OF TITANIUM SURFACES.

Номер: AT0000010954T
Принадлежит:

Подробнее
15-09-2004 дата публикации

PREPARIERUNG AN IMPLANT SURFACE

Номер: AT0000274861T
Принадлежит:

Подробнее
16-11-2004 дата публикации

SURFACE TREATMENT PROCESS FOR IMPLANTS MADE OF TITANIUM ALLOY

Номер: CA0002467320A1
Принадлежит:

A titanium 6 A1/4V alloy is provided with a surface topography that is similar to the Osseotite.RTM. surface produced on commercially pure titanium. Native oxide is removed from the Ti 6A/J4V alloy, followed by contacting the metal at ambient temperature with an aqueous hydrochloric acid solution containing a relatively small amount of hydrofluoric acid.

Подробнее
16-01-2003 дата публикации

MULTIPLE MATERIAL GOLF CLUB HEAD

Номер: CA0002386117A1
Принадлежит:

A golf club (40) having a club head (42) with a face component (60) and an aft body (61) is disclosed herein. The face component (60) has a striking plate portion (72) and a return portion (74). The aft-body (61) is composed of a crown portion (62), a sole portion (64) and optionally a ribbon section (90). The face component (60) is composed of a metal material, and the aft-body (61) is composed of a non-metal material such as a composite material or a thermoplastic material. The striking plate portion (72) preferably has an aspect ratio less than 1.7. The striking plate portion (72) preferably has concentric regions of thickness with the thickness portion in the center (102). The club head (42) has a volume in the range of 300 cubic centimeters to 600 cubic centimeters, a weight in the range of 165 grams to 300 grams, and a striking plate portion (72) surface area in the range of 4.00 square inches to 7.50 square inches. The golf club head (42) has a coefficient of restitution greater ...

Подробнее
14-02-2008 дата публикации

COMPOSITE METALLIC MATERIALS, USES THEREOF AND PROCESS FOR MAKING SAME

Номер: CA0002660141A1
Принадлежит:

A lightweight, high strength and corrosion resistant composite metallic m aterial is disclosed herein. The composite metallic material typically compr ises a high-to-weight ratio, low density core material; and a corrosion resi stant protective refractory metal layer. The method for making the composite metallic material comprises the steps of surface activating the core materi al and forming a refractory metal on the surface of the surface activated co re material by physical, chemical or electrochemical processes. Such a compo site material is suitable for making biomaterials, corrosion resistant equip ment and industrial electrodes.

Подробнее
19-03-2009 дата публикации

METHOD FOR OBTAINING A SURFACE OF A TITANIUM-BASED METAL IMPLANT INTENDED TO BE INSERTED INTO BONE TISSUE

Номер: CA0002699036A1
Принадлежит:

The invention relates to a method for obtaining a surface of a titanium-based metal implant intended to be inserted into bone tissue, comprising the following steps in which: (a) aluminium oxide particles are pressure-sprayed against the external area of the implant; (b) the shotblasted external area of the implant is chemically treated with an acid composition containing sulphuric acid and hydrofluoric acid; and (c) following said chemical treatment, the shotblasted external area of the implant is thermally treated using heat at a temperature of 200-450 °C for 15-120 min. The invention also relates to a metal implant having said surface. The resulting surface has: good micrometer-scale roughness with a suitable morphology, a composition that is practically free of impurities, and a thickness that is approximately three times the thickness of traditional surfaces, said characteristics imparting very good osteointegration properties to the implant.

Подробнее
29-06-2012 дата публикации

The method for manufacturing a watch piece part and a watch.

Номер: CH0000704289A2
Принадлежит:

On présente une méthode de fabrication dune pièce de montre (40) dont la variation de dureté et de lustre métallique est faible. La configuration comporte un procédé de traitement thermique qui traite de manière thermique une pièce de montre (40) et rend plus grossiers une pluralité de cristaux (41), (42) et (43) de titane ou analogue, un procédé de façonnage (traitement de forme) qui traite la forme de la pièce de montre (40), un procédé de gravure qui grave le titane ou analogue, produit un fini miroir sur les surfaces (41s), (42s) et (43s) de chaque cristal (41), (42) et (43), et rend les directions normales (41v), (42v) et (43v) des surfaces (41s), (42s) et (43s) de chaque cristal (41), (42) et (43) différentes lune de lautre, et un procédé danodisation qui effectue une anodisation sur la surface (40s) de la pièce de montre (40).

Подробнее
30-09-2005 дата публикации

Surface-modified implants.

Номер: CH0000694935A5
Принадлежит: STRAUMANN HOLDING AG

Подробнее
30-06-2016 дата публикации

A method of manufacturing a part piece watch and watch.

Номер: CH0000704289B1
Принадлежит: SEIKO INSTR INC, Seiko Instruments Inc.

On présente une méthode de fabrication d’une pièce de montre (40) dont la variation de dureté et de lustre métallique est faible. La méthode de fabrication comporte une étape de traitement thermique, dans laquelle, en appliquant un traitement thermique à une pièce de montre (40), on fait grossir une pluralité de cristaux (41, 42 et 43) de titane ou analogue, une étape de façonnage (traitement de forme), dans laquelle on façonne la pièce de montre (40), une étape de gravure, dans laquelle, en soumettant le titane ou analogue à une gravure, on produit un fini-miroir sur les surfaces externes (41s, 42s et 43s) des cristaux (41, 42 et 43), et on rend les directions normales (41v, 42v et 43v) à ces surfaces (41s, 42s et 43s) différentes entre elles, et une étape d’anodisation, dans laquelle on effectue une anodisation sur la surface (40s) de la pièce de montre (40).

Подробнее
13-04-2018 дата публикации

Method of making a body with a oßeointegrativen topography formed on the surface thereof.

Номер: CH0000713033A2
Принадлежит:

Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung eines Körpers mit einer auf dessen Oberfläche gebildeten osseointegrativen Topographie. Das Verfahren umfasst die Schritte, dass a) ein Primärkörper aus einer Titan-Zirkon-Legierung enthaltend 13 bis 17 Gew.-% Zirkon vorgelegt wird, b) der Primärkörper sandgestrahlt wird und c) der sandgestrahlte Primärkörper mit einer Salzsäure, Schwefelsäure und Wasser enthaltenden Ätzlösung bei einer Temperatur oberhalb 80 °C geätzt wird, um den Körper zu erhalten, wobei das Ätzen während einer Dauer von wenigstens 350 Sekunden durchgeführt wird.

Подробнее
10-09-2015 дата публикации

ELECTROLYTE SOLUTION AND ELECTROPOLISHING METHODS

Номер: UA0000109537C2
Автор:
Принадлежит:

Подробнее
30-07-2015 дата публикации

ELECTROLYTE SOLUTION AND METHODS OF ELECTROLYTIC POLISHING OF

Номер: EA0201500017A1
Автор:
Принадлежит:

Подробнее
26-09-2012 дата публикации

Metal polishing slurry and application thereof

Номер: CN102690607A
Принадлежит:

The present invention relates to a metal polishing slurry and an application thereof. The metal polishing slurry contains abrasive grains, a metal-oxide-dissolving agent, an organic solvent and water, wherein the abrasive grains contain first abrasive grains having an average secondary particle diameter being in the range of 5 to 39 nm, and second abrasive grains having an average secondary particle diameter being in the range of 40 to 300 nm and the pH of the metal polishing slurry is in the range of 2 to 5.

Подробнее
13-07-1973 дата публикации

PROCESS FOR REMOVING CONTAMINANTS FROM ZIRCONIUM SURFACES

Номер: FR0002007345B1
Автор:
Принадлежит:

Подробнее
27-12-1974 дата публикации

Fissuring chromium surfaces - using sulphuric acid soln contg metal salts

Номер: FR0002194800B1
Автор:
Принадлежит:

Подробнее
29-05-2015 дата публикации

CHEMICAL PICKLING SOLUTION APPLICABLE TO HYDROFLUORIC ACID FREE TITANIUM AND TITANIUM ALLOY

Номер: FR0003005318B1
Принадлежит: RUIMI, TECHNETT

Подробнее
01-05-2020 дата публикации

CHEMICAL MATTING PROCESS

Номер: FR0003087794A1
Принадлежит:

Подробнее
06-07-2012 дата публикации

SYSTEM AND METHOD FOR INCREASING THE EMISSIVITY OF A MATERIAL

Номер: KR0101152509B1
Автор:
Принадлежит:

Подробнее
31-12-2018 дата публикации

ETCHANT COMPOSITION AND FABRICATION METHOD OF METAL PATTERN AND THIN FILM TRANSISTOR SUBSTRATE USING SAME

Номер: KR1020180138272A
Принадлежит:

According to an embodiment of the present invention, an etchant composition comprises persulfate, a fluoride, a 4-nitrogen-based ring type compound, a 1-nitrogen-based ring type compound, a 3-nitrogen-based ring type compound having a sulfur atom, and water. The etchant composition forms a metal pattern by etching a metal film having copper and titanium, and can be used for manufacturing a thin film transistor substrate. COPYRIGHT KIPO 2019 ...

Подробнее
04-12-2013 дата публикации

ETCHING LIQUID FOR FILM OF MULTILAYER STRUCTURE CONTAINING COPPER LAYER AND MOLYBDENUM LAYER

Номер: KR1020130132246A
Автор:
Принадлежит:

Подробнее
16-06-2011 дата публикации

Method and composition for chemical mechanical planarization of a metal-containing substrate

Номер: TW0201120165A
Автор: SHI XIAOBO, SHI, XIAOBO
Принадлежит:

A composition and associated method for chemical mechanical planarization of a metal-containing substrate afford low dishing levels in the polished substrate while simultaneously affording high metal removal rates. Suitable metal-containing substrates include tungsten- and copper-containing substrates. Components in the composition include a silatrane compound, an abrasive, and, optionally, a strong oxidizing agent, such as a per-compound.

Подробнее
01-10-2017 дата публикации

Slide component, bicycle component, bicycle rear sprocket, bicycle front sprocket, bicycle chain, and method of manufacturing slide component

Номер: TW0201733707A
Принадлежит:

A slide component comprises a base member and a plated layer. The base member is made of a titanium alloy including beta phase of titanium. The base member includes a surface having a plurality of recesses. The plated layer is provided on the surface of the base member.

Подробнее
06-04-2004 дата публикации

Ett implantat och ett förfarande för behandling av en implantatyta

Номер: SE0000523288C2
Автор:
Принадлежит:

Подробнее
01-05-2014 дата публикации

Номер: TWI436706B

Подробнее
03-11-2011 дата публикации

COPPER AND TITANIUM COMPOSITION FOR METAL LAYER ETCHING SOLUTION

Номер: WO2011136594A3
Принадлежит:

The present invention relates to a copper and titanium composition for a metal layer etching solution comprising the following, based on the total weight of the composition: 5 to 20 wt % of persulfate; 0.01 to 2 wt % of a fluorine compound; 1-10 wt % of an additive containing one or more acids selected from inorganic acids, salts of inorganic acids, and a mixture thereof; 0.3 to 5 wt % of a cyclic amine compound; 0.1 to 5 wt % of a chlorine compound; 0.1 to 5 wt % of a p-toluene sulfonic acid; and with the remainder being water.

Подробнее
14-12-2010 дата публикации

Etchant and array substrate having copper lines etched by the etchant

Номер: US0007850866B2

An etchant includes hydrogen peroxide (H2O2), and a mixed solution including at least one of an organic acid, an inorganic acid, and a neutral salt.

Подробнее
28-01-1941 дата публикации

Номер: US0002230156A1
Автор:
Принадлежит:

Подробнее
25-09-2018 дата публикации

Method for the electroplating of TiAl alloys

Номер: US0010081877B2
Принадлежит: MTU AERO ENGINES AG, MTU Aero Engines AG

The present invention relates to a method for the coating of a surface of a TiAl alloy, in which at least one layer is electroplated on the surface of the TiAl alloy, wherein the surface of the TiAl alloy is subjected to an at least two-step surface treatment for the formation of a roughened surface, this treatment comprising at least one electrochemical processing and at least one electroless chemical processing.

Подробнее
07-05-2020 дата публикации

PROCESS FOR REGENERATING A BATH FOR CHEMICAL ETCHING OF TITANIUM PARTS

Номер: US20200141011A1
Принадлежит:

Disclosed is a method of regenerating a nitric and hydrofluoric acid bath contained in a machining vessel, the method including, when the etching bath is spent, performing steps of: transferring a portion of the spent etching bath, referred to as the “spent” solution, from the machining vessel into a reactor; adding NaF and NaNOto the spent solution, to form HF, HNO, and NaTiF; separating the resulting precipitate from the supernatant; transferring the supernatant, which is a regenerated solution, into a tank; measuring the concentrations of HF, of HNO, and of dissolved titanium in the tank and in the machining vessel; and determining the volume of regenerated solution that can be added to the spent etching bath to obtain a regenerated bath in which the concentrations of HF, of HNO, and of dissolved titanium lie in acceptable concentration ranges, and transferring the regenerated solution into the machining vessel. 1100. A method of regenerating a nitric and hydrofluoric acid bath for chemically etching parts made of titanium or titanium alloy and contained in a machining vessel () , the method comprising determining whether said etching bath is spent , and if so , in performing the steps consisting in:{'b': 100', '1, 'a) transferring a portion of the spent etching bath, referred to as the “spent” solution, from the machining vessel () into a reactor ();'}{'sub': 3', '3', '2', '6, 'b) adding a quantity of NaF and a quantity of NaNOto the spent solution, and allowing it to react to form HF, HNO, and NaTiF;'}c) settling to separate the resulting precipitate from the supernatant;{'b': '2', 'd) transferring the supernatant, which is a regenerated solution, into a tank ();'}{'sub': '3', 'b': 2', '100, 'e) measuring the concentrations of HF, of HNO, and of dissolved titanium in the tank () and in the machining vessel (); and'}{'sub': '3', 'b': '100', 'f) determining the volume of regenerated solution that can be added to the spent etching bath in order to obtain a ...

Подробнее
12-11-2020 дата публикации

CHEMICAL SOLUTION, METHOD FOR MANUFACTURING CHEMICAL SOLUTION, AND METHOD FOR TREATING SUBSTRATE

Номер: US20200354632A1
Принадлежит: FUJIFILM Corporation

The chemical solution according to an embodiment of the present invention includes one or more kinds of periodic acids selected from the group consisting of a periodic acid and a salt thereof, one or more kinds of first metal components selected from the group consisting of Ti and Zr, and water. In a case where the chemical solution includes one kind of first metal component, a content of the one kind of first metal component is 1 ppt by mass to 100 ppm by mass with respect to a total mass of the periodic acids. In a case where the chemical solution includes two kinds of first metal components, a content of both the two kinds of first metal components is equal to or smaller than 100 ppm by mass with respect to the total mass of the periodic acids, and a content of at least one of the two kinds of first metal components is equal to or greater than 1 ppt by mass with respect to the total mass of the periodic acids.

Подробнее
27-09-2012 дата публикации

APPLICATION OF TREATMENT FLUIDS TO COMPONENTS

Номер: US20120241008A1
Принадлежит: ROLLS-ROYCE PLC

A device for applying a treatment fluid to a target includes an application chamber that defines a substantially laminar application flow path from an inflow region to an outflow region of the application chamber; and a distribution chamber that communicates with the application chamber at an interface, in use, fluid being delivered from the distribution chamber to the application chamber via the interface across the full extent of the inflow region of the application chamber. A process for applying treatment fluid to a target region of a component surface using a treatment device operable to present the treatment fluid to the component surface is also disclosed. The process comprises introducing the treatment device to the target region of the component surface, and drawing treatment fluid through the device, across the target region of the component surface solely under the action of reduced pressure applied at an outlet of the device.

Подробнее
15-01-2013 дата публикации

Etchant and method of manufacturing an array substrate using the same

Номер: US0008354288B2

An etchant includes about 0.1 percent by weight to about 30 percent by weight of ammonium persulfate (NH4)2S2O8, about 0.1 percent by weight to about 10 percent by weight of an inorganic acid, about 0.1 percent by weight to about 10 percent by weight of an acetate salt, about 0.01 percent by weight to about 5 percent by weight of a fluorine-containing compound, about 0.01 percent by weight to about 5 percent by weight of a sulfonic acid compound, about 0.01 percent by weight to about 2 percent by weight of an azole compound, and a remainder of water. Accordingly, the etchant may have high stability to maintain etching ability. Thus, manufacturing margins may be improved so that manufacturing costs may be reduced.

Подробнее
18-11-2010 дата публикации

Еtсhаnt аnd аrrау substrаtе hаving соppеr linеs еtсhеd bу thе еtсhаnt

Номер: US0025913249B2

Аn еtсhаnt inсludеs hуdrоgеn pеrохidе (Н2О2), аnd а miхеd sоlutiоn inсluding аt lеаst оnе оf аn оrgаniс асid, аn inоrgаniс асid, аnd а nеutrаl sаlt.

Подробнее
29-12-1982 дата публикации

Method of etching chromium, and etchant compositions for carrying it out

Номер: EP0000067984A1
Принадлежит:

Aq. acid soln. for etching chromium The soln. consists of water, an inorganic acid, and at least one cpd. consisting of thiourea and/or a substs. thiourea. The soln. is pref. used for the selective etching of Cr, where other Cr zones are covered by a positive photolacquer. The soln. is pref. used for 10 seconds to 10 minutes at 50-90, esp. 60-80 deg.C. The soln. pref. contains by wt. 1.5-20, esp. 8-10% of HF, HCl, H3PO4 and/or H2SO4, and is at pH 0-2, esp. pH 0-1. The acid used is esp. H2SO4. The soln. pref. contains 1-10%, esp. 1-3% thiourea and/or an alkyl-thiourea cpd. and/or a phenyl-thiourea cpd. An esp. pref. soln. contg. 8-10% H2SO4 and 1-3% thiourea and/or a substd. thiourea. Used for rapid etching of Cr without etching Cu.

Подробнее
17-08-2022 дата публикации

AIRCRAFT PART MADE OF SUPERALLOY COMPRISING RHENIUM AND/OR RUTHENIUM AND ASSOCIATED MANUFACTURING METHOD

Номер: EP4041930A1
Принадлежит:

Подробнее
20-11-2001 дата публикации

METHOD FOR MANUFACTURING STRIKING PLATE OF GOLF CLUB HEAD

Номер: JP2001321470A
Принадлежит:

PROBLEM TO BE SOLVED: To provide a method (200) for manufacturing a face member (60) having a thin striking plate and forged into a golf club head (40). SOLUTION: In the forging method (200), a metal rod is heated and pressed several times to obtain a final face member composition (60). The heating of a metal rod is executed at less than 1,000°C for less than 20 minutes. The final face member composition (60) is preferably a striking plate (72) having a varying thickness. The metal rod preferably includes a titanium material. COPYRIGHT: (C)2001,JPO ...

Подробнее
27-08-2008 дата публикации

Номер: JP0004138378B2
Автор:
Принадлежит:

Подробнее
16-08-1977 дата публикации

Номер: JP0052031668B1
Автор:
Принадлежит:

Подробнее
22-02-2007 дата публикации

ETCHING LIQUID COMPOSITION AND PATTERNING METHOD OF CONDUCTIVE FILM USING THE SAME AND MANUFACTURING METHOD OF FLAT PANEL DISPLAY

Номер: JP2007049120A
Принадлежит:

PROBLEM TO BE SOLVED: To provide an etching liquid composition and a patterning method of a conductive film using the same and a manufacturing method of a flat panel display. SOLUTION: In an etching liquid composition and a patterning method of a conductive film using the same and a manufacturing method of a flat panel display, the etching liquid composition contains phosphoric acid, nitric acid, acetic acid, water, and additive; and the additive contains a chlorine compound, a nitrate compound, a sulfate compound, and an oxidative adjuster. In addition, by providing a method of manufacturing a flat panel display through the steps of patterning a gate electrode, a source/drain electrode and a pixel electrode by using the etching liquid composition, the step can be further simplified, thus making it possible to reduce a production cost and improve productivity. COPYRIGHT: (C)2007,JPO&INPIT ...

Подробнее
30-11-1985 дата публикации

Раствор для глубокого травления титановых сплавов

Номер: SU1194907A1
Принадлежит:

РАСТВОР ДЛЯ ГЛУБОКОГО ТРАВЛЕНИЯ ТИТАНОВЫХ СПЛАВОВ, содержавший хлористо-водородную кислоту, фтористый аммоний и воду, отличающийся тем, что, с целью увеличения съема металла и повышения качества поверхности, он дополнительно содержит хлористый натрий и уксусно-кислый натрий при следующем соотношении компонентов, мас.%: Хпористо-водород10 ,0-15,0 пая кислота 2,0-4,0 Фтористый аммоний 2(лористый натрий Oj05-1,0 Уксусно-кислый 0,05-0,5 натрий Вода Остальное 5 ...

Подробнее
27-09-1973 дата публикации

Способ нанесения покрытий на металлокерамические изделия

Номер: SU398699A1
Принадлежит:

Подробнее
15-10-1984 дата публикации

Раствор для химического фрезерования титановых сплавов

Номер: SU1118714A1
Принадлежит:

РЛСТВОР ДЛЯ ХИМИЧЕСКОГО ФРЕЗЕРОВАНИЯ ТИТАНОВЫХ СПЛАВОВ, содержащий азотную И плавиковую кислоты, отличающийся тем, что, с целью снижения бокового нодтравливания рельефных элементов , он дополнительно содержит смесь полиэтиленгликолевых эфиров высших жирных кислот (препарат ОС-20) при следующем соотношении компонентов, мас.%: Азотная кислота (уд. вес 1,4 г/см)55-70 Плавиковая кислота (уд. вес 1,13 г/см)15-25 Препарат ОС-200,5-3,0 ...

Подробнее
27-03-1997 дата публикации

Etching molybdenum surfaces

Номер: DE0019535307A1
Принадлежит:

A molybdenum surface is etchant to produce a fine structure by masking using photolithographic technique followed by etching with an aqueous etching solution containing FeCl3, HCl and Mo<5+> ions added by anodic dissolution.

Подробнее
28-02-1974 дата публикации

Zinc chloride free chromium etchant - based on calcium chloride and alkali metal phosphite esp for processing composite printing plates

Номер: DE0002240585A1
Принадлежит:

The soln. for etching away parts of Cr plated bi- or tri-metal offset printing plates is based on CaCl2 (67%) and contains minor-amts. of HCl and urea, together with 0.2-2% of the alkali metal phosphate, esp. NaN2PO2.H2O being used.

Подробнее
06-06-2001 дата публикации

Set of wood golf club heads with face thickness variation based on loft angle

Номер: GB0000109422D0
Автор:
Принадлежит:

Подробнее
24-01-1973 дата публикации

Номер: GB0001304043A
Автор:
Принадлежит:

Подробнее
01-07-2015 дата публикации

Process for the preparation of a topography for improved protein adherence on a body made of titanium or a titanium alloy

Номер: GB0201508955D0
Автор:
Принадлежит:

Подробнее
15-03-1997 дата публикации

ELECTRODE WITH IMPROVED LIFE SPAN

Номер: AT0000149581T
Принадлежит:

Подробнее
15-07-1996 дата публикации

ETCHING SOLUTION

Номер: AT0000140041T
Принадлежит:

Подробнее
15-09-2006 дата публикации

PROCEDURE FOR THE TREATMENT OF AN IMPLANT FROM TITANIUM OR TITANIUM ALLOY

Номер: AT0000337032T
Принадлежит:

Подробнее
12-12-1966 дата публикации

Storable, pre-sensibilized tri metal pressure plate

Номер: AT0000251010B
Автор:
Принадлежит:

Подробнее
09-09-2004 дата публикации

Metallic implants having roughened surfaces and method for producing the same

Номер: AU2004200704A1
Принадлежит:

Подробнее
14-06-2012 дата публикации

Electrolyte solution and electropolishing methods

Номер: AU2010321725A1
Принадлежит:

An aqueous electrolyte solution including a concentration of citric acid in the range of about 1.6 g/L to about 982 g/L and an effective concentration of ammonium bifluoride (ABF), and being substantially free of a strong acid. Methods of micropolishing a surface of a non-ferrous metal workpiece including exposing the surface to a bath of an aqueous electrolyte solution including a concentration of citric acid in the range of about 1.6 g/L to about 780 g/L and a concentration of ammonium bifluoride in the range of about 2 g/L to about 120 g/L and having no more than about 3.35 g/L of a strong acid, controlling the temperature of the bath to be between the freezing point and the boiling point of the solution, connecting the workpiece to an anodic electrode of a DC power supply and immersing a cathodic electrode of the DC power supply in the bath, and applying a current across the bath.

Подробнее
13-03-1984 дата публикации

PROCESS FOR ETCHING CHROME AND COMPOSITION AS SUITABLE THEREFORE

Номер: CA1163540A

EN981-001 PROCESS FOR ETCHING CHROME AND COMPOSITION AS SUITABLE THEREFORE An aqueous acidic composition suitable for etching which contains an acid and a thiourea compound, and use thereof.

Подробнее
18-06-2020 дата публикации

COBALT CHROME ETCHING PROCESS

Номер: CA3123405A1
Принадлежит:

Compositions and methods for etching cobalt chromium alloys are disclosed. The compositions generally include at least two mineral acids, certain component metals of the alloy to be etched, and optionally iron (Fe). For example, when etching a cobalt chromium molybdenum alloy, the metals may include chromium (Cr), molybdenum (Mo), and optionally, cobalt (Co). The at least two mineral acids may include hydrochloric acid (HCl), nitric acid (HNO3), and hydrofluoric acid (HF). The methods provide for etching an entire surface of a substrate or etching a surface of a substrate in a pattern using selective coating patterns and/or coating removal. Thus, unlimited patterns, as well as etch depths and variations in etch depths are achievable using the compositions and methods disclosed.

Подробнее
14-11-2017 дата публикации

ELECTROLYTE SOLUTION AND ELECTROPOLISHING METHODS

Номер: CA0002781613C
Принадлежит: METCON, LLC, METCON LLC

An aqueous electrolyte solution including a concentration of citric acid in the range of about 1.6 g/L to about 982 g/L and an effective concentration of ammonium bifluoride (ABF), and being substantially free of a strong acid. Methods of micropolishing a surface of a non-ferrous metal workpiece including exposing the surface to a bath of an aqueous electrolyte solution including a concentration of citric acid in the range of about 1.6 g/L to about 780 g/L and a concentration of ammonium bifluoride in the range of about 2 g/L to about 120 g/L and having no more than about 3.35 g/L of a strong acid, controlling the temperature of the bath to be between the freezing point and the boiling point of the solution, connecting the workpiece to an anodic electrode of a DC power supply and immersing a cathodic electrode of the DC power supply in the bath, and applying a current across the bath.

Подробнее
26-12-1993 дата публикации

ELECTRODES OF IMPROVED SERVICE LIFE

Номер: CA0002097789A1
Принадлежит:

ELECTRODES OF IMPROVED SERVICE LIFE A metal surface is now described having enhanced adhesion of subsequently applied coatings combined with excellent coating service life. The substrate metal of the article, such as a valve metal as represented by titanium, is provided with a highly desirable rough surface characteristic for subsequent coating application. This can be achieved by various operations including etching and melt spray application of metal or ceramic oxide to ensure a roughened surface morphology. Usually in subsequent operations a barrier layer is provided on the surface of enhanced morphology. This may be achieved by operations including heating, as well as including thermal decomposition of a layer precursor. Subsequent coatings provide enhanced lifetime even in the most rugged commercial environments.

Подробнее
15-04-2002 дата публикации

A METHOD FOR REVEALING THE STRUCTURE OF SINGLE-CRYSTAL SUPERALLOYS

Номер: UA0000072911C2
Автор:
Принадлежит:

Подробнее
12-05-2004 дата публикации

化学处理方法和化学处理装置

Номер: CN0001495292A
Принадлежит:

... 本发明的化学处理装置是装置(30),在待成膜的材料(C1)上形成的铬膜被该装置刻蚀成预定图案。这一装置包括:阴极电解还原设备(31),用于使用含有氯离子的处理溶液对作为阴极的铬膜进行电解还原处理,和酸浸泡设备(33),用于在由阴极电解还原设备(31)进行电解还原处理之后将铬膜浸泡在酸性处理溶液中。 ...

Подробнее
02-10-2018 дата публикации

Machining method of titanium alloy burner nozzle

Номер: CN0108611640A
Автор: LI QINYAO
Принадлежит:

Подробнее
21-03-2007 дата публикации

Method for forming noble metal film pattern

Номер: CN0001306526C
Принадлежит:

Подробнее
23-11-2005 дата публикации

Golf club made of multiple materials

Номер: CN0001228111C
Принадлежит:

Подробнее
01-03-2002 дата публикации

PROCESS OF STRUCTURAL REVELATION FOR SINGLE-CRYSTAL SUPERALLOYS

Номер: FR0002813392A1
Принадлежит:

Le procédé selon l'invention comporte une étape d'attaque macrographique suivie d'une étape de « blanchiment » par attaque électrolytique au moyen d'un bain comprenant au moins de l'acide phosphorique et un acide faible. Ce procédé permet de réaliser une inspection aisée et efficace de pièces en superalliages, y compris lorsque ceux-ci contiennent des éléments tels que le rhénium ou le ruthénium.

Подробнее
05-10-2020 дата публикации

Etching composition and etching method using the same

Номер: KR1020200112674A
Автор:
Принадлежит:

Подробнее
25-10-2012 дата публикации

Electrolyte Solution and Electropolishing Methods

Номер: US20120267254A1
Принадлежит: MetCon LLC

An aqueous electrolyte solution including a concentration of citric acid in the range of about 1.6 g/L to about 982 g/L and an effective concentration of ammonium bifluoride (ABF), and being substantially free of a strong acid. Methods of micropolishing a surface of a non-ferrous metal workpiece including exposing the surface to a bath of an aqueous electrolyte solution including a concentration of citric acid in the range of about 1.6 g/L to about 780 g/L and a concentration of ammonium bifluoride in the range of about 2 g/L to about 120 g/L and having no more than about 3.35 g/L of a strong acid, controlling the temperature of the bath to be between the freezing point and the boiling point of the solution, connecting the workpiece to an anodic electrode of a DC power supply and immersing a cathodic electrode of the DC power supply in the bath, and applying a current across the bath.

Подробнее
03-01-2013 дата публикации

Method of forming circuit on flexible laminate substrate

Номер: US20130001186A1
Принадлежит: JX Nippon Mining and Metals Corp

Disclosed is a method of forming a circuit on a flexible laminate substrate. When forming a circuit using an adhesiveless flexible laminate which includes a polyimide film as the flexible laminate substrate in which at least one surface thereof is subject to plasma treatment, a tie-coat layer A formed on the polyimide film, a metal conductor layer B formed on the tie-coat layer, and a layer C which has the same components as the tie-coat layer that was formed on the metal conductor layer, the following method is used. The photoresist is coated on the layer C which has the same components as the tie-coat layer that was formed on the metal conductor layer, the photoresist is exposed and developed, the layer C other than the circuit forming parts thereof is selectively removed in advance via pre-etching, the conductor layer B is thereafter removed by supplemental etching with leaving the circuit portion, and the photoresist of the circuit portion is further removed so as to form the circuit. By forming a tie-coat layer or a metal or alloy that is equivalent to the tie-coat layer on the metal conductor layer of the adhesiveless flexible laminate, simultaneously achieved are the inhibition of side etching, which interferes with the achievement of finer pitches of circuit wiring, and the improvement of linearity of the wiring.

Подробнее
14-02-2013 дата публикации

Methods and apparatus for cleaning deposition chamber parts using selective spray etch

Номер: US20130037062A1
Принадлежит: QUANTUM GLOBAL TECHNOLOGIES LLC

In one aspect, a method of cleaning an electronic device manufacturing process chamber part is provided, including a) spraying the part with an acid; b) spraying the part with DI water; and c) treating the part with potassium hydroxide. Other aspects are provided.

Подробнее
28-02-2013 дата публикации

Etching liquid for a copper/titanium multilayer thin film

Номер: US20130048904A1
Принадлежит: Mitsubishi Gas Chemical Co Inc, Sharp Corp

The present invention provides an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, and a method of using it for etching a multilayer thin film containing a copper layer and a titanium layer, that is, an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, which comprises (A) hydrogen peroxide, (B) nitric acid, (C) a fluoride ion source, (D) an azole, (E) a quaternary ammonium hydroxide and (F) a hydrogen peroxide stabilizer and has a pH of from 1.5 to 2.5, and a etching method of using it.

Подробнее
20-06-2013 дата публикации

Method and Composition for Chemical Mechanical Planarization of a Metal-Containing Substrate

Номер: US20130153820A1
Автор: Shi Xiaobo
Принадлежит: AIR PRODUCTS AND CHEMICALS, INC.

A composition and associated method for chemical mechanical planarization of a metal-containing substrate afford low dishing levels in the polished substrate while simultaneously affording high metal removal rates. Suitable metal-containing substrates include tungsten- and copper-containing substrates. Components in the composition include a silatrane compound, an abrasive, and, optionally, a strong oxidizing agent, such as a per-compound. 19-. (canceled)10. A polishing composition comprising:a) an abrasive;b) a silatrane compound comprising a polycyclic compound and having at least one silicon atom present in a first bridgehead position and having at least one nitrogen atom present in a second bridgehead position; andc) an oxidizing agent.12. The composition of wherein X is selected from the group consisting of C-C-alkyl claim 11 , C-C-alkoxy claim 11 , C-C-amino claim 11 , C-C-amino-alcohol claim 11 , C-C-carboxylic acid claim 11 , and C-C-glycol.15. The composition of wherein the polishing composition has a pH from about 1 to about 5.16. The composition of wherein the oxidizing agent is hydrogen peroxide. The present application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/245,312 filed 09/24/2009.This invention relates generally to the chemical-mechanical planarization (CMP) of metal-containing substrates (e.g., tungsten) on semiconductor wafers and slurry compositions therefor. This invention is especially useful for tungsten CMP where low dishing/plug recess on planarized substrates is desired.Chemical mechanical planarization (chemical mechanical polishing, CMP) for planarization of semiconductor substrates is now widely known to those skilled in the art and has been described in numerous patents and open literature publications. An introductory reference on CMP is as follows: “Chemical-Mechanical Polish” by G. B. Shinn et al., Chapter 15, pages 415-460, in Handbook of Semiconductor Manufacturing Technology, editors: Y. Nishi and R. ...

Подробнее
11-07-2013 дата публикации

Method of forming a metal pattern and method of manufacturing a display substrate

Номер: US20130178010A1
Принадлежит: Samsung Display Co Ltd

A method of forming a metal pattern is provided. In the method, a first titanium layer, a copper layer and a second titanium layer are sequentially formed on a substrate. A photo pattern is formed on the second titanium layer. The first titanium layer, the copper layer and the second titanium layer are patterned using the photo pattern to form a first titanium pattern, a copper pattern formed on the first titanium pattern and a second titanium pattern formed on the copper pattern. Therefore, a fine metal pattern may be formed.

Подробнее
09-01-2014 дата публикации

Metal wire etchant and method of forming metal wire using the same

Номер: US20140011352A1
Принадлежит: Samsung Display Co Ltd

A metal wire etchant including persulfate, a sulfonate, a fluorine compound, an azole-based compound, an organic acid, a nitrate, and a chlorine compound, and a method of making the same.

Подробнее
13-03-2014 дата публикации

Etching Composition

Номер: US20140073140A1
Принадлежит:

This disclosure relates to an etching composition containing about 60% to about 95% of at least one sulfonic acid; about 0.005% to about 0.04% of chloride anion; about 0.03% to about 0.27% of bromide anion; about 0.1% to about 20% of nitrate or nitrosyl ion; and about 3% to about 37% of water. 1. An etching composition , comprising:about 60% to about 95% of at least one sulfonic acid;about 0.005% to about 0.04% of chloride anion;about 0.03% to about 0.27% of bromide anion;about 0.1% to about 20% of nitrate or nitrosyl ion; andabout 3% to about 37% of water.2. The composition of claim 1 , wherein the at least one sulfonic acid comprises a compound of formula (1):{'br': None, 'sup': '1', 'sub': '3', 'RSOH\u2003\u2003(1),'}{'sup': '1', 'sub': 1', '12', '3', '12', '1', '12', '3', '12, 'in which Ris substituted or unsubstituted C-Clinear or branched alkyl, substituted or unsubstituted C-Ccyclic alkyl, C-Clinear or branched fluoroalkyl ether, or C-Ccyclic fluoroalkyl ether.'}3. The composition of claim 2 , wherein Ris C-Clinear or branched alkyl or C-Ccyclic alkyl claim 2 , each of which is optionally substituted with halogen claim 2 , C-Calkyl claim 2 , sulfonic acid claim 2 , or phenyl optionally substituted with C-Calkyl or hydroxy.4. The composition of claim 3 , wherein the at least one sulfonic acid is methanesulfonic acid.6. The composition of claim 5 , wherein each of R claim 5 , R claim 5 , and R claim 5 , independently claim 5 , is C-Calkyl claim 5 , C claim 5 , NO claim 5 , OH claim 5 , F claim 5 , or COH; and n is 0 or 1.7. The composition of claim 1 , wherein the at least one sulfonic acid comprises a naphthalenesulfonic acid or anthracenesulfonic acid claim 1 , each of which is optionally substituted with C-Clinear or branched alkyl or SOH.8. The composition of claim 1 , wherein the composition comprises a first sulfonic acid and a second sulfonic acid.9. The composition of claim 8 , wherein the first sulfonic acid comprises a compound of formula (1):{'br': ...

Подробнее
04-01-2018 дата публикации

CHEMICAL LIQUID TREATMENT APPARATUS AND CHEMICAL LIQUID TREATMENT METHOD

Номер: US20180005854A1
Принадлежит: Toshiba Memory Corporation

A chemical liquid treatment apparatus includes processing chambers; a chemical liquid feeding unit configured to cyclically feed a chemical liquid into the processing chambers; and a modifying unit. The modifying unit, when using a chemical liquid in which an effect thereof varies with a chemical liquid discharge time, is configured to calculate a variation of the effect of the chemical liquid based on the chemical liquid discharge time and is configured to modify the chemical liquid discharge time for each of the processing chambers based on the calculated variation of the effect of the chemical liquid and a cumulative time of the chemical liquid discharge time. 1. A chemical liquid treatment apparatus comprising:processing chambers;a chemical liquid feeding unit configured to cyclically feed a chemical liquid into the processing chambers; anda modifying unit, when using a chemical liquid in which an effect thereof varies with a chemical liquid discharge time, being configured to calculate a variation of the effect of the chemical liquid based on the chemical liquid discharge time and being configured to modify the chemical liquid discharge time for each of the processing chambers based on the calculated variation of the effect of the chemical liquid and a cumulative time of the chemical liquid discharge time.2. The apparatus according to claim 1 , wherein the cumulative time of the chemical liquid discharge time is a sum of a time of chemical liquid discharge for each of the processing chambers obtained by measuring the time of chemical liquid discharge in each of the processing chambers.3. The apparatus according to claim 1 , wherein the chemical liquid feeding unit is configured to be capable of feeding one type of chemical liquid or a mixture of two or more types of chemical liquids.4. The apparatus according to claim 1 , wherein the chemical liquid feeding unit includes a chemical liquid tank configured to store the chemical liquid claim 1 , a pump configured ...

Подробнее
20-01-2022 дата публикации

COMPOSITION AND METHOD FOR CREATING NANOSCALE SURFACE GEOMETRY ON AN IMPLANTABLE DEVICE

Номер: US20220017822A1
Принадлежит: Tech Met, Inc.

Compositions and methods for etching a surface of an implantable device are disclosed. The compositions generally include one or more alkali components, such as a metal hydroxide and optionally an amine, one or more chelating agents, and certain dissolved metals, such as component metals of the metal or alloy to be etched and optionally iron. For example, when etching a titanium device, the metals may include titanium (Ti). Alternatively, the composition may be an electrolyte composition useful for electrochemical etching of the implantable device. These compositions and methods may generate nanoscale geometry on the surface of the implantable device to provide implants with accelerate osseointegration and healing after surgery. 1. An alkaline composition for etching a nanoscale surface geometry on a metal surface of a body implantable device , the composition comprising:a metal hydroxide; one or more chelating agents; and optionally component metals of the metal surface,wherein the body implantable device is a bone-contacting device and the nanoscale surface geometry enhances osseointegration when the bone-contacting device is implanted adjacent bone, orwherein the body implantable device is a tissue-contacting device and the nanoscale surface geometry enhances endothelial attachment and proliferation when the tissue-contacting device is implanted adjacent tissue.2. The composition of claim 1 , wherein the metal hydroxide is included in the composition at 5 wt. % to 75 wt. %.3. The composition of claim 1 , wherein the one or more chelating agents comprise a gluconate included in the composition at 0.1 wt. % to 40 wt. %.4. The composition of claim 3 , wherein the metal surface comprises a titanium surface claim 3 , and the component metal of the metal surface comprises dissolved titanium at 0.1 ppm to 7 claim 3 ,000 ppm.5. The composition of claim 4 , comprising:5 to 75 wt. % of the metal hydroxide; and100 to 7,000 ppm of the dissolved titanium.6. The composition of ...

Подробнее
08-01-2015 дата публикации

METHOD OF MANUFACTURING NANOSTRUCTURES ON A SURFACE, ON A MOLD AND ON AN OPTICAL ELEMENT, AND AN OPTICAL ELEMENT AS SUCH MANUFACTURED

Номер: US20150009571A1
Автор: Chin Patrick
Принадлежит:

A method of manufacturing nanostructures on a surface of a metal substrate is provided. The method includes forming the nanostructures by a forming step, which includes subsequently performing at least once the steps of anodizing the surface at a second voltage for forming at the surface a second oxidized metal layer comprising second pores, and performing an etching step on the surface for modifying the dimensions of the second pores. Prior to the forming step, the method comprises a substrate preparation step for enabling the forming a mix of different sized nanostructures during the forming step, the preparation step including the steps of anodizing the surface at a first voltage for forming at the surface an first oxidized metal layer comprising first pores, selectively etching the surface for extending the first pores into the metal underneath the first oxidized metal layer, and removing the first oxidized metal layer. 1. A method of manufacturing nanostructures on a surface of a metal substrate , comprising forming said nanostructures by means of a forming step , said forming step including subsequently performing at least once the steps of;anodizing said surface of said substrate at a second voltage for forming at said surface an second oxidized metal layer comprising second pores; andperforming an etching step on said surface for modifying the dimensions of said second pores;wherein prior to said forming step said method comprises a substrate preparation step for enabling the forming a mix of different sized nanostructures during said forming step, said preparation step including the steps of:anodizing said surface at a first voltage for forming at said surface an first oxidized metal layer comprising first pores;selectively etching said surface for extending said first pores into said metal underneath said first oxidized metal layer; andremoving said first oxidized metal layer.2. The method according to claim 1 , wherein said first voltage is larger than ...

Подробнее
12-01-2017 дата публикации

SLURRY COMPOSITION FOR POLISHING TUNGSTEN

Номер: US20170009353A1
Принадлежит: K.C. Tech Co., Ltd.

A slurry composition for polishing tungsten is provided. The slurry composition for polishing tungsten may include a water-soluble polymer, abrasive particles and an etching adjuster. 1. A slurry composition for polishing tungsten , the slurry composition comprising:a water-soluble polymer;abrasive particles; andan etching adjuster.2. The slurry composition of claim 1 , wherein the water-soluble polymer comprises at least one selected from the group consisting of polystyrene sulfonic acid claim 1 , polyvinyl sulfonic acid claim 1 , polyacrylamide methylpropane sulfonic acid claim 1 , poly-α-methylstyrene sulfonic acid claim 1 , poly-ρ-methylstyrene sulfonic acid and salts thereof.3. The slurry composition of claim 1 , wherein the water-soluble polymer is present in an amount of 0.01% by weight (wt %) to 5 wt % in the slurry composition.4. The slurry composition of claim 1 , wherein the abrasive particles comprise at least one selected from the group consisting of a metal oxide claim 1 , a metal oxide coated with an organic material or inorganic material and the metal oxide in a colloidal phase claim 1 , andwherein the metal oxide comprises at least one selected from the group consisting of silica, ceria, zirconia, alumina, titania, barium titania, germania, mangania and magnesia.5. The slurry composition of claim 1 , wherein the abrasive particles have a particle size of 20 nanometers (nm) to 250 nm claim 1 , and comprise abrasive particles having the same particle size or at least two different particle sizes.6. The slurry composition of claim 1 , wherein the abrasive particles are present in an amount of 1 wt % to 5 wt % in the slurry composition.8. The slurry composition of claim 1 , wherein the etching adjuster comprises at least one selected from the group consisting of lactic acid claim 1 , propionic acid claim 1 , isovaleric acid claim 1 , caproic acid claim 1 , isobutyric acid claim 1 , valeric acid claim 1 , butyric acid claim 1 , cyclopentanecarboxylic ...

Подробнее
19-01-2017 дата публикации

METHOD FOR THE ELECTROPLATING OF TiAl ALLOYS

Номер: US20170016132A1
Принадлежит:

The present invention relates to a method for the coating of a surface of a TiAl alloy, in which at least one layer is electroplated on the surface of the TiAl alloy, wherein the surface of the TiAl alloy is subjected to an at least two-step surface treatment for the formation of a roughened surface, this treatment comprising at least one electrochemical processing and at least one electroless chemical processing. 1. A method for the coating of a surface of a TiAl alloy , in which at least one layer is electroplated on the surface of the TiAl alloy , wherein the surface of the TiAl alloy is subjected to an at least two-step surface treatment for the formation of a roughened surface , in which at least one electrochemical processing and at least one elecroless chemical processing are conducted.2. The method according to claim 1 , wherein in the two-step surface treatment claim 1 , the electrochemical processing occurs in a first step and the electroless chemical treatment occurs in a second step.3. The method according to claim 1 , wherein the electrochemical processing is conducted by anodic etching in an acetic acid-hydrofluoric acid solution claim 1 , wherein concentrations by weight of 800 to 900 g/L of acetic acid and 100 to 200 g/L of hydrofluoric acid are selected for the composition of the acetic acid-hydrofluoric acid solution.4. The method according to claim 1 , wherein the electroless chemical processing is produced by etching in a fluoroboric acid-sodium tetrafluoroborate solution.5. The method according to claim 1 , wherein claim 1 , between the electrochemical processing and the electroless chemical processing and/or prior to the electrochemical processing claim 1 , a cleaning step is carried out with compressed air and/or a water jet and followed by a drying step.6. The method according to claim 1 , wherein claim 1 , prior to the two-step surface treatment claim 1 , a chemical etching of the surface of the TiAl alloy is conducted with a nitric acid ...

Подробнее
16-01-2020 дата публикации

Chemical mechanical polishing method for tungsten

Номер: US20200017715A1

A process for chemical mechanical polishing a substrate containing tungsten is disclosed to reduce static corrosion rate and inhibit dishing of the tungsten and erosion of underlying dielectrics. The process includes providing a substrate; providing a polishing composition, containing, as initial components: water; an oxidizing agent; guar gum; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally a pH adjusting agent; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten (W) is polished away from the substrate, static corrosion rate is reduced, dishing of the tungsten (W) is inhibited as well as erosion of dielectrics underlying the tungsten (W).

Подробнее
21-01-2021 дата публикации

Method to increase barrier film removal rate in bulk tungsten slurry

Номер: US20210017421A1
Принадлежит: CMC Materials LLC

The invention relates to a chemical-mechanical polishing composition comprising (a) a first abrasive comprising cationically modified colloidal silica particles, (b) a second abrasive having a Mohs hardness of about 5.5 or more, (c) a cationic polymer, (d) an iron containing activator, (e) an oxidizing agent, and (f) water. The invention also relates to a method of chemically mechanically polishing a substrate, especially a substrate comprising tungsten and barrier layers (e.g., nitrides), with the polishing composition.

Подробнее
04-02-2016 дата публикации

ETCHING COMPOSITION

Номер: US20160032185A1
Принадлежит:

An etching composition includes about 1 wt % to about 7 wt % of hydrogen peroxide, about 20 wt % to about 80 wt % of phosphoric acid, about 0.001 wt % to about 1 wt % of an amine or amide polymer, 0 wt % to about 55 wt % of sulfuric acid, and about 10 wt % to about 45 wt % of deionized water. 1. An etching composition , comprising:about 1 wt % to about 7 wt % of hydrogen peroxide;about 20 wt % to about 80 wt % of phosphoric acid;about 0.001 wt % to about 1 wt % of an amine or amide polymer;0 wt % to about 55 wt % of sulfuric acid; andabout 10 wt % to about 45 wt % of deionized water having a content of.2. The etching composition as claimed in claim 1 , wherein the amine or amide polymer includes at least one of poly(2-dimethylaminoethyl methacrylate) claim 1 , poly(2-methacryloxyethyltrimethylammonium bromide) claim 1 , poly(2-vinyl-1-methylpyridinium bromide) claim 1 , poly(2-vinylpyridine N-oxide) claim 1 , poly(2-vinylpyridine) claim 1 , poly(3-chloro-2-hydroxypropyl-2-methacryloxyethyldimethylammonium chloride) claim 1 , poly(4-aminostyrene) claim 1 , poly(4-vinylpyridine N-oxide) claim 1 , poly(4-vinylpyridine) claim 1 , poly(allylamine) claim 1 , poly(allylamine hydrochloride) claim 1 , poly(butadiene/acrylonitrile) claim 1 , amine terminated claim 1 , poly(diallyldimethylammonium chloride) claim 1 , poly(ethylene glycol)bis(2-aminoethyl) claim 1 , poly(l-lysine hydrobromide) claim 1 , poly(N-methylvinylamine) claim 1 , poly(N-vinylpyrrolidone) claim 1 , poly(N-vinylpyrrolidone/2-dimethylaminoethyl methacrylate)dimethyl sulfate quaternary claim 1 , poly(vinylamine) hydrochloride claim 1 , polyaniline claim 1 , or polyethylenimine.3. The etching composition as claimed in claim 1 , wherein the etching composition is formulated to etch a metal layer that includes a first metal layer and a second metal layer claim 1 , wherein the first metal layer includes titanium (Ti) or titanium nitride (TiN) and the second metal layer includes tungsten (W).4. The etching ...

Подробнее
02-02-2017 дата публикации

ETCHANT COMPOSITION AND METHOD OF MANUFACTURING A THIN FILM TRANSISTOR SUBSTRATE BY USING THE SAME

Номер: US20170029958A1
Принадлежит:

An etchant composition includes an etchant composition that includes about 0.5 wt % to about 20 wt % of persulfate, about 0.01 wt % to about 2 wt % of a fluorine compound, about 1 wt % to about 10 wt % of an inorganic acid, about 0.5 wt % to about 5 wt % of a cyclic amine compound, about 0.1 wt % to about 5 wt % of a chlorine compound, about 0.1 wt % to about 10 wt % of an aliphatic sulfonic acid, about 1 wt % to about 20 wt % of an organic acid or an organic acid salt, and water based on a total weight of the etchant composition. 1. An etchant composition , comprising:about 0.5 wt % to about 20 wt % of persulfate,about 0.01 wt % to about 2 wt % of a fluorine compound,about 1 wt % to about 10 wt % of an inorganic acid,about 0.5 wt % to about 5 wt % of a cyclic amine compound,about 0.1 wt % to about 5 wt % of a chlorine compound,about 0.1 wt % to about 10 wt % of an aliphatic sulfonic acid,about 1 wt % to about 20 wt % of an organic acid or an organic acid salt, and water,wherein the wt % is based on a total weight of the etchant composition.2. The etchant composition of claim 1 , wherein the persulfate is at least one selected from the group consisting of potassium persulfate claim 1 , sodium persulfate claim 1 , and ammonium persulfate.3. The etchant composition of claim 1 , wherein the fluorine compound is at least one selected from the group consisting of ammonium fluoride claim 1 , sodium fluoride claim 1 , potassium fluoride claim 1 , ammonium bifluoride claim 1 , sodium bifluoride claim 1 , and potassium bifluoride.4. The etchant composition of claim 1 , wherein the inorganic acid is at least one selected from the group consisting of nitric acid claim 1 , sulfuric acid claim 1 , phosphoric acid claim 1 , and perchloric acid.5. The etchant composition of claim 1 , wherein the cyclic amine compound is at least one selected from 5-aminotetrazole claim 1 , imidazole claim 1 , indole claim 1 , purine claim 1 , pyrazole claim 1 , pyridine claim 1 , pyrimidine claim ...

Подробнее
17-02-2022 дата публикации

METHOD FOR MANUFACTURING RUTHENIUM WIRING

Номер: US20220049361A1
Принадлежит:

A method for manufacturing a ruthenium wiring including (i) treating a metal surface including ruthenium using a first chemical solution including a compound having a functional group capable of coordinating to a ruthenium atom, and (ii) carrying out an etching treatment on the metal surface including ruthenium treated with the first chemical solution, using a second chemical solution. 1. A method for manufacturing a ruthenium wiring , the method comprising:(i) treating a metal surface including ruthenium using a first chemical solution comprising a compound having a functional group capable of coordinating to a ruthenium atom; and(ii) carrying out an etching treatment on the metal surface including ruthenium treated with the first chemical solution, using a second chemical solution.2. The method for manufacturing a ruthenium wiring according to claim 1 , wherein a cycle of (i) and (ii) is repeated two or more times.3. The method for manufacturing a ruthenium wiring according to claim 1 , wherein the second chemical solution comprises orthoperiodic acid.4. The method for manufacturing a ruthenium wiring according to claim 3 , wherein the second chemical solution further comprises ammonia.5. The method for manufacturing a ruthenium wiring according to claim 1 , wherein a pH of the second chemical solution is 8 or higher and 10 or lower.6. The method for manufacturing a ruthenium wiring according to claim 1 , wherein the compound included in the first chemical solution is at least one selected from the group consisting of a nitrogen-containing heterocyclic compound and an organic phosphonic acid.7. The method for manufacturing a ruthenium wiring according to claim 1 , the method further comprising cleaning the metal surface including ruthenium before (i). The present invention relates to a method for manufacturing a ruthenium wiring. Priority is claimed on Japanese Patent Application No. 2020-135864, filed in Japan on Aug. 11, 2020, the contents of which are ...

Подробнее
30-01-2020 дата публикации

Compositions and Methods for Activating Titanium Substrates

Номер: US20200032411A1
Принадлежит: The Boeing Company

A method for pretreating a substrate prior to depositing a material thereon, the method including immersing the substrate in an activation solution for a predetermined period of time, the activation solution including a fluoride salt, hydrofluoric acid, sulfuric acid and water. 1. An activation solution comprising:water;a fluoride salt;hydrofluoric acid; andsulfuric acid.2. The activation solution of wherein the fluoride salt comprises at least one of an alkali metal cation and an alkaline earth metal cation.3. The activation solution of wherein the fluoride salt comprises at least one of potassium fluoride claim 1 , lithium fluoride claim 1 , sodium fluoride claim 1 , rubidium fluoride claim 1 , barium fluoride and strontium fluoride.4. The activation solution of wherein the fluoride salt is potassium fluoride.5. The activation solution of wherein the fluoride salt is present at a concentration ranging from about 5 grams per liter to about 120 grams per liter claim 1 , based on a total volume of the activation solution.6. The activation solution of wherein the fluoride salt is present at a concentration ranging from about 10 grams per liter to about 100 grams per liter claim 1 , based on a total volume of the activation solution.7. The activation solution of wherein the fluoride salt is present at a concentration of about 20 grams per liter claim 1 , based on a total volume of the activation solution.8. The activation solution of wherein the hydrofluoric acid is present at a concentration ranging from about 5 milliliters per liter to about 250 milliliters per liter claim 1 , based on a total volume of the activation solution.9. The activation solution of wherein the hydrofluoric acid is present at a concentration ranging from about 20 milliliters per liter to about 150 milliliters per liter claim 1 , based on a total volume of the activation solution.10. The activation solution of wherein the sulfuric acid is present at a concentration ranging from about 1 percent ...

Подробнее
15-02-2018 дата публикации

ETCHING LIQUID AND ETCHING METHOD

Номер: US20180044801A1
Принадлежит:

An object of the present invention is to provide: an etching liquid which is capable of etching titanium selectively in the presence of copper, and is further low in toxicity and excellent in storage stability; and an etching method using this etching liquid. The etching liquid of the present invention which is a liquid includes at least one acid selected from the group consisting of sulfuric acid, hydrochloric acid, and trichloroacetic acid, and at least one organic sulfur compound selected from the group consisting of a thioketone compound and a thioether compound, and makes it possible to etch titanium selectively in the presence of copper. 1. An etching liquid for etching titanium selectively in the presence of copper , comprising:at least one acid selected from the group consisting of sulfuric acid, hydrochloric acid, and trichloroacetic acid; andat least one organic sulfur compound selected from the group consisting of a thioketone compound and a thioether compound.2. The etching liquid according to claim 1 , wherein the thioketone compound is at least one selected from the group consisting of thiourea claim 1 , diethylthiourea claim 1 , and trimethylthiourea.3. The etching liquid according to claim 1 , wherein the thioether compound is at least one selected from the group consisting of methionine claim 1 , ethionine claim 1 , and 3-(methylthio) propionic acid.4. The etching liquid according to claim 1 , further comprising an α-hydroxycarboxylic acid claim 1 , and/or a salt thereof.5. The etching liquid according to claim 4 , wherein the α-hydroxycarboxylic acid is at least one selected from the group consisting of tartaric acid claim 4 , malic acid claim 4 , citric acid claim 4 , lactic acid claim 4 , and glyceric acid.6. The etching liquid according to claim 1 , wherein the concentration of the acid(s) is from 20 to 70% by weight claim 1 , andthe concentration of the organic sulfur compound(s) is from 0.01 to 10% by weight.7. The etching liquid according to ...

Подробнее
25-02-2016 дата публикации

LIQUID COMPOSITION USED IN ETCHING COPPER - AND TITANIUM - CONTAINING MULTILAYER FILM, ETCHING METHOD IN WHICH SAID COMPOSITION IS USED, METHOD FOR MANUFACTURING MULTILAYER-FILM WIRING, AND SUBSTRATE

Номер: US20160053384A1
Принадлежит: MITSUBISHI GAS CHEMICALCOMPANY, INC.

The present invention provides a liquid composition used for etching a copper- and titanium-containing multilayer film, a method for etching a copper- and titanium-containing multilayer film by using said liquid composition, a method for manufacturing multilayer-film wiring according to said etching method, and a substrate provided with multilayer-film wiring manufactured according to said manufacturing method. According to the present invention, a liquid composition comprising (A) a maleic acid ion source, (B) a copper ion source and (C) a fluoride ion source and having the pH value of 0-7 is used. 1. A liquid composition used for etching a multilayer film comprising a layer made of copper or a compound containing copper as a primary component and a layer made of titanium or a compound containing titanium as a primary component , the liquid composition comprising:(A) a maleic acid ion source;(B) a copper ion source; and(C) a fluoride ion source,wherein the pH value is 0-7.2. The liquid composition according to claim 1 , wherein the maleic acid ion source (A) is at least one species selected from the group consisting of maleic acid and maleic anhydride claim 1 , where the concentration thereof is 0.01-5 mol/kg.3. The liquid composition according to claim 1 , wherein the copper ion source (B) is at least one species selected from the group consisting of copper claim 1 , copper sulfate claim 1 , copper nitrate claim 1 , copper hydroxide and cupric chloride claim 1 , where the concentration thereof is 0.01-5 mol/kg.4. The liquid composition according to claim 1 , wherein the mix proportion of the maleic acid ion source (A) to the copper ion source (B) is 0.01-40 on molar basis.5. The liquid composition according to claim 1 , wherein the fluoride ion source (C) is at least one species selected from the group consisting of hydrofluoric acid claim 1 , ammonium fluoride claim 1 , ammonium acid fluoride and buffered hydrogen fluoride claim 1 , where the concentration ...

Подробнее
25-02-2016 дата публикации

ETCHING METHOD, ETCHING LIQUID AND ETCHING LIQUID KIT TO BE USED IN SAID METHOD, AND SEMICONDUCTOR SUBSTRATE PRODUCT MANUFACTURING METHOD

Номер: US20160056054A1
Принадлежит: FUJIFILM Corporation

There is provided an etching method of a semiconductor substrate that includes a first layer containing germanium (Ge) and a second layer containing at least one metal element selected from nickel platinum (NiPt), titanium (Ti), nickel (Ni), and cobalt (Co), the method including: bringing an etching liquid which contains a specific acid compound into contact with the second layer and selectively removing the second layer. 1. An etching method of a semiconductor substrate that includes a first layer containing germanium and a second layer containing at least one metal selected from nickel platinum , titanium , nickel , and cobalt , the method comprising:bringing an etching liquid which contains the following acid compounds into contact with the second layer and selectively removing the second layer.Acid compounds: at least one compound selected from halogen acid and a salt thereof; hexafluorosilicic acid and a salt thereof; tetrafluoroboric acid and a salt thereof, and hexafluorophosphoric acid and a salt thereof2. The etching method according to claim 1 , wherein the concentration of germanium of the first layer is 40% by mass or greater.3. The etching method according to claim 1 , further comprising:applying a heat treatment to at least one of the first layer and the second layer before or after etching with the etching liquid.4. The etching method according to claim 1 , wherein the second layer is selectively removed with respect to the first layer and the following third layer.Third layer: layer containing germanium interposed between the first layer and the second layer and component metals of the second layer5. The etching method according to claim 1 ,wherein the semiconductor substrate further includes a fourth layer containing at least one of TiN, Al, AlO, W, WOx, HfOx, HfSiOx, SiN, SiOCN, and TiAlC, andthe second layer is selectively removed also with respect to the fourth layer.6. The etching method according to claim 1 , wherein claim 1 , with respect to ...

Подробнее
04-03-2021 дата публикации

COMPOSITION AND METHOD FOR CREATING NANOSCALE SURFACE GEOMETRY ON A COBALT CHROMIUM IMPLANTABLE DEVICE

Номер: US20210062347A1
Принадлежит: Tech Met, Inc.

Compositions and methods for etching an implantable device having a cobalt chrome surface are disclosed. The compositions generally include at least two mineral acids, iron (Fe), and certain component metals of the cobalt chrome to be etched. For example, when etching a cobalt chromium molybdenum alloy, the metals may include chromium (Cr), molybdenum (Mo), and optionally, cobalt (Co). The at least two mineral acids may include hydrochloric acid (HCl), nitric acid (HNO), and hydrofluoric acid (HF). Alternatively, the composition may be an electrolyte composition useful for electrochemical etching of the implantable device. These compositions and methods may generate nanoscale geometry on the surface of the implantable device to provide implants with improved osseointegration, biocompatibility, and healing after surgery. 1. A composition for etching a nanoscale surface geometry into a cobalt chromium surface of a body implantable device , the composition comprising:{'sub': 3', '2', '4, 'at least two mineral acids selected from the groups consisting of hydrochloric acid (HCl), nitric acid (HNO), sulfuric acid (HSO), and hydrofluoric acid (HF); and'}component metals of the cobalt chromium alloy,wherein the body implantable device is a bone-contacting device and the nanoscale surface geometry enhances osseointegration when the bone-contacting device is implanted adjacent living bone, orwherein the body implantable device is a tissue-contacting device and the nanoscale surface geometry enhances endothelial attachment and proliferation when the tissue-contacting device is implanted adjacent tissue.2. The composition of claim 1 , wherein the at least two mineral acids comprise hydrochloric acid (HCl) claim 1 , nitric acid (HNO) claim 1 , and hydrofluoric acid (HF).3. The composition of claim 2 , comprising:2N-10N hydrochloric acid (HCl);{'sub': '3', '05N-0.8N nitric acid (HNO); and'}0.6N-1.3N hydrofluoric acid (HF).4. The composition of claim 1 , wherein the component ...

Подробнее
22-05-2014 дата публикации

Etching composition and method of manufacturing semiconductor device using the same

Номер: US20140141616A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An etching composition includes about 1 wt % to about 7 wt % of hydrogen peroxide, about 20 wt % to about 80 wt % of phosphoric acid, about 0.001 wt % to about 1 wt % of an amine or amide polymer, 0 wt % to about 55 wt % of sulfuric acid, and about 10 wt % to about 45 wt % of deionized water.

Подробнее
19-03-2015 дата публикации

METHOD FOR THE PREPARATION OF SURFACES OF DEVICES MADE OF TITANIUM OR TITANIUM ALLOYS, ZIRCONIUM, ZIRCONIA, ALUMINA OR ZIRCONIA/ALUMINA COMPOUNDS, STAINLESS STEELS FOR MEDICAL USE AND COBALT-BASE SUPERALLOYS FOR MEDICAL USE IMPLANTABLE IN THE HUMAN OR ANIMAL BODY, HAVING AS A RESULT NANOMETER ROUGHNESS, FORMATION OF SELF-INDUCED SURFACE OXIDE, HIGH ANTI-METALOSIS CLEANING AND POSSIBLE PREPARATION OF PARTS WITH SURFACE ANTIMICROBIAL TREATMENT

Номер: US20150076114A1
Автор: CIRANNI Cristiano Ugo
Принадлежит:

A method for the surface preparation of devices made of titanium or titanium alloys, zirconium, zirconia, alumina or zirconia/alumina compounds, stainless steels and cobalt-base superalloys for medical use; the devices being implantable in the human body or in animals and attached extracorporeal parts made with the same materials, particularly for dental and orthopedic implantology. The implantable device is treated by exposing at least one portion of the surface of the device to a solution including hydrofluoric acid, phosphoric acid, at least one surfactant substance and water; for a time period and in conditions sufficient to provide the surface of the implant with the desired surface roughness and the formation of self-induced surface titanium dioxide, maintaining the structural integrity of the device and without altering the centesimal measurement size. The surface thus is rinsed with demineralized water and ultrasounds in order to prevent metalosis phenomena. 1. Method for the surface preparation of dental or orthopedic implants made of titanium , or titanium alloys , zirconium , zirconia , alumina or zirconia/alumina compounds , stainless steels for medical use and cobalt-base superalloys for medical use; the method being adapted to endow the surface of said implant with nanometer roughness in order to facilitate the growth of the bone tissue after the application of the implant; the method is characterized in that it provides for the exposure of at least one portion of the surface of said implant with a solution comprisinga. hydrofluoric acid,b. phosphoric acid,c. at least one surfactant substanced. water.the exposure occurring for a time period and in conditions sufficient to provide the surface of the implant with the desired surface roughness, maintaining the structural integrity of the dental or orthopedic implant.2. Method claim 1 , according to claim 1 , characterized in that after the exposure in said solution claim 1 , it provides for the immersion ...

Подробнее
07-03-2019 дата публикации

TREATMENT LIQUID, METHOD FOR WASHING SUBSTRATE, AND METHOD FOR REMOVING RESIST

Номер: US20190071623A1
Принадлежит: FUJIFILM Corporation

A treatment liquid is a treatment liquid for a semiconductor device, containing a fluorine-containing compound, a corrosion inhibitor, and calcium, in which the mass content ratio of the calcium to the fluorine-containing compound in the treatment liquid is 1.0×10to 1.0×10. 1. A treatment liquid for a semiconductor device , comprising:a fluorine-containing compound;a corrosion inhibitor; andcalcium,{'sup': −10', '−4, 'wherein the mass content ratio of the calcium to the fluorine-containing compound in the treatment liquid is 1.0×10to 1.0×10.'}2. The treatment liquid according to claim 1 ,{'sup': −10', '−4, 'wherein the mass content ratio of the calcium to the corrosion inhibitor is 1.0×10to 1.0×10.'}3. The treatment liquid according to claim 1 ,wherein the content of the calcium is 0.1 ppt by mass to 1,000 ppb by mass with respect to the total mass of the treatment liquid.4. The treatment liquid according to claim 1 , further comprising an organic solvent.5. The treatment liquid according to claim 4 , further comprising water claim 4 ,wherein the content of the water is 20% to 98% by mass with respect to the total mass of the treatment liquid, andthe content of the organic solvent is 1% to 40% by mass with respect to the total mass of the treatment liquid.6. The treatment liquid according to claim 4 , further comprising water claim 4 ,wherein the content of the water is 1% to 40% by mass with respect to the total mass of the treatment liquid, andthe content of the organic solvent is 20% to 98% by mass with respect to the total mass of the treatment liquid.7. The treatment liquid according to claim 1 , further comprising an inorganic acid.8. The treatment liquid according to claim 7 ,wherein the mass content ratio of the inorganic acid to the corrosion inhibitor is 0.01 to 10.9. The treatment liquid according to claim 1 , further comprising lead.10. The treatment liquid according to claim 7 , further comprising lead claim 7 ,{'sup': −10', '−4, 'wherein the mass ...

Подробнее
19-03-2020 дата публикации

ETCHING COMPOSITION, A METHOD OF ETCHING A METAL BARRIER LAYER AND A METAL LAYER USING THE SAME, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20200087798A1
Принадлежит:

Disclosed is a method of etching a metal barrier layer and a metal layer. The method includes forming the metal barrier layer and the metal layer on a substrate, and using an etching composition to etch the metal barrier layer and the metal layer. The etching composition may include an oxidant selected from nitric acid, bromic acid, iodic acid, perchloric acid, perbromic acid, periodic acid, sulfuric acid, methane sulfonic acid, p-toluenesulfonic acid, benzenesulfonic acid, or a combination thereof, a metal etching inhibitor including a compound expressed by Chemical Formula 1, and a metal oxide solubilizer selected from phosphoric acid, phosphate, carboxylic acid having 3 to 20 carbon atoms, or a combination thereof. 2. The method of claim 1 , wherein the metal layer includes at least one of tungsten claim 1 , aluminum claim 1 , copper claim 1 , molybdenum claim 1 , or cobalt.3. The method of claim 1 , wherein the metal barrier layer includes at least one of a titanium nitride (TiN) layer claim 1 , a tantalum nitride (TaN) layer claim 1 , a tungsten nitride (WN) layer claim 1 , a nickel nitride (NiN) layer claim 1 , a cobalt nitride (CoN) layer claim 1 , or a platinum nitride (PtN) layer.4. The method of claim 1 , whereinan amount of the oxidant is from 10% to 30% by weight relative to a total weight of the etching composition, andan amount of the metal etching inhibitor is from 0.01% to 10% by weight relative to the total weight of the etching composition.5. The method of claim 1 , whereinthe etching composition further includes water, andan amount of the water is from 10% to 30% by weight relative to a total weight of the etching composition.6. A method of etching a titanium nitride layer and a tungsten layer claim 1 , the method comprising:forming the titanium nitride layer and the tungsten layer on a substrate; andusing an etching composition to etch the titanium nitride layer and the tungsten layer,wherein the etching composition includes:an oxidant selected ...

Подробнее
04-04-2019 дата публикации

ATOMIC LAYER DEPOSITION OF ANTIMONY OXIDE FILMS

Номер: US20190103266A1
Принадлежит:

Antimony oxide thin films are deposited by atomic layer deposition using an antimony reactant and an oxygen source. Antimony reactants may include antimony halides, such as SbCl, antimony alkylamines, and antimony alkoxides, such as Sb(OEt). The oxygen source may be, for example, ozone. In some embodiments the antimony oxide thin films are deposited in a batch reactor. The antimony oxide thin films may serve, for example, as etch stop layers or sacrificial layers. 1. (canceled)2. A vapor deposition process for depositing an antimony oxide thin film comprising alternately and sequentially contacting a substrate in a reaction chamber with a vapor phase antimony source and a vapor phase oxygen source , wherein the antimony oxide thin film can be etched selectively relative to SiO , Si , or AlOin a wet etch comprising concentrated HCl , HO , or tartaric acid , or relative to SiN or SiOin a dry etch comprising Cl-containing plasma , F-containing plasma , Cl-plasma , or a mixture of CHFand Cl-plasma.3. The process of claim 2 , wherein the antimony oxide has an etch selectivity of at least 75% relative to SiO claim 2 , Si claim 2 , or AlOwhen a wet etch is performed.4. The process of claim 2 , wherein the antimony oxide has an etch selectivity of at least 50% relative to SiN or SiOwhen a dry etch is performed.5. The process of claim 2 , wherein the vapor phase antimony source has the formula SbXA claim 2 , wherein X is a halide claim 2 , z is from 1 to 3 claim 2 , and A is a ligand comprising alkylamine claim 2 , a halide different from X claim 2 , or an amine claim 2 , silyl claim 2 , alkoxide or alkyl group and the antimony source has an oxidation state of +III or +V.6. The process of claim 5 , wherein the vapor phase antimony source is selected from the group consisting of SbCl claim 5 , SbBr claim 5 , SbF claim 5 , or SbI.7. The process of claim 2 , wherein the vapor phase antimony source has the formula Sb(NR2)A claim 2 , wherein x is from 1 to 3 claim 2 , wherein ...

Подробнее
20-04-2017 дата публикации

METHOD FOR PREPARING TITANIUM-CONTAINING IMPLANT BY USING ENVIRONMENTALLY-FRIENDLY ETCHING COMPOSITION

Номер: US20170107627A1
Принадлежит:

The present invention provides a method for preparing an implant including preparing a mixed etching composition including hydrogen peroxide and a water-soluble carbonate compound and oxidatively etching an implant made of titanium or a titanium alloy by immersing the same in the etching composition; a titanium or titanium alloy implant prepared by oxidative etching with a mixed etching composition including hydrogen peroxide and a water-soluble carbonate compound; and a composition for treating surface of an implant containing hydrogen peroxide and a water-soluble carbonate compound. Further, the present invention relates to a titanium or titanium alloy implant which is prepared by oxidative etching with a mixed etching composition including hydrogen peroxide and a basic solution and on which surface bumps having continuous or discontinuous line-shaped open channel structures in nanoscale are irregularly formed, and a preparation method thereof. The surface of the titanium alloys treated with the mixed etching composition including hydrogen peroxide and a carbonate compound or the etching composition containing hydrogen peroxide and a basic solution of the present invention includes micrometer-sized bumps and channel-shaped nanometer-sized bumps, and thus has an increased surface area, and can not only improve wettability, but also effectively promote cell proliferation and osteocyte differentiation. In addition, the composition includes no chemical compounds such as a strong acid, etc. and is thus environmentally friendly, and such compounds can be prevented from remaining on the surface, which can improve biocompatibility, and therefore, the composition can be useful for implant surface treatment. 1. A method for preparing an implant comprising: preparing a mixed etching composition comprising hydrogen peroxide and a water-soluble carbonate compound; and oxidatively etching an implant made of titanium or a titanium alloy by immersing the same in the etching ...

Подробнее
29-04-2021 дата публикации

ETCHANT COMPOSITION AND METHOD FOR ETCHING

Номер: US20210123142A1
Автор: Kouno Ryou, Ohwada Takuo
Принадлежит:

An etchant composition that is capable of batch etching treatment of a tungsten film and a titanium nitride film and a method for etching using said etchant composition are provided. The etching composition of the present invention is an etchant composition comprising nitric acid and water for batch etching treatment of a tungsten film and a titanium nitride film. 1. A method for batch etching tungsten film and titanium nitride film , said method comprising treating the tungsten film and titanium nitride film via batch etching with an etchant composition comprising a nitric acid and water.2. The method of wherein the etchant composition further comprises at least one or a sulfuric acid and/or an aliphatic sulfonic acid.3. The method of claim 2 , wherein the aliphatic sulfonic acid is a methanesulfonic acid.4. The method of wherein the etchant composition further comprises a phosphoric acid.5. The method of claim 1 , wherein the temperature of the etchant composition at which the etching is performed is 50° C. or higher.6. The method of for generating a pattern having a three-dimensional structure. This patent application is a divisional of U.S. patent application Ser. No. 16/316,054 filed Jan. 8, 2019 which is the U.S. National Stage Application of International Application No. PCT/JP2017/024971, filed Jul. 7, 2017, which claims the benefit of priority from Japanese Patent Application No. 2016-136336, filed Jul. 8, 2016, teachings of each of which are herein incorporated by reference in their entirety.The present invention relates to an etchant composition for batch etching treatment of tungsten film and titanium nitride film, and a method for etching using said etchant composition.Tungsten and titanium nitride are both widely used for electric devices such as a semiconductor element. They are deposited as a film having film properties that are appropriate for a desired electric device, processed into a predetermined pattern, and used. As a method for processing ...

Подробнее
02-04-2020 дата публикации

METHOD OF METALLIC COMPONENT SURFACE MODIFICATION FOR ELECTROCHEMICAL APPLICATIONS

Номер: US20200102642A1
Принадлежит: TREADSTONE TECHNOLOGIES, INC.

Method for forming a metallic component surface to achieve lower electrical contact resistance. The method comprises modifying a surface chemical composition and creating a micro-textured surface structure of the metallic component that includes small peaks and/or pits. The small peaks and pits have a round or irregular cross-sectional shape with a diameter between 10 nm and 10 microns, a height/depth between 10 nm and 10 microns, and a distribution density between 0.4 million/cmand 5 billion cm. 134-. (canceled)35. A method for forming a metallic component surface to achieve surface lower electrical contact resistance , comprising:modifying a surface of the metallic component using a cathodic arc deposition process to form peaks on the metallic component surface to be high-pressure contact points with other solid components of a device of which the metallic component is a part;{'sup': '2', 'wherein a diameter of the peaks is between 0.1 microns and 10 microns, and an electrical contact resistance of the metallic component with other solid components is less than 10 mΩ·cm.'}36. The method of claim 35 , wherein each peak has a round or irregular shape.37. The method of claim 35 , further comprising using the metallic component with the modified surface as one or more metal plates of a fuel cell.38. A metallic component having a superhydrophilic surface with low electrical contact resistance claim 35 , said component comprising pits on the surface claim 35 , the pits comprise holes having a diameter between 5 nm to 20 microns.39. The metallic component of claim 38 , wherein the surface comprises additional material formed thereon and the pits are naturally formed between the additional material.40. The metallic component of claim 39 , wherein the additional material comprises material thermally sprayed on to the surface or added to the surface by an additive manufacturing process.41. The metallic component of claim 38 , wherein the pits are formed in areas where ...

Подробнее
09-04-2020 дата публикации

ETCHING METHOD

Номер: US20200109475A1
Принадлежит:

An etching method is capable of etching titanium selectively in the presence of copper. An etching liquid used in the method is low in toxicity and excellent in storage stability. The etching liquid includes at least one acid, such as, sulfuric acid, hydrochloric acid, or trichloroacetic acid, and at least one organic sulfur compound, such as a thioketone compound or a thioether compound. 1. A method for etching titanium selectively in the presence of copper comprising: at least one acid selected from the group consisting of sulfuric acid, hydrochloric acid, and trichloroacetic acid; and', 'at least one organic sulfur compound selected from the group consisting of a thioketone compound and a thioether compound., 'etching the titanium in the presence of copper without etching the copper by exposing the titanium to an etching liquid comprising2. The etching method according to claim 1 , wherein the thioketone compound is at least one selected from the group consisting of thiourea claim 1 , diethylthiourea claim 1 , and trimethylthiourea.3. The etching method according to claim 1 , wherein the thioether compound is at least one selected from the group consisting of methionine claim 1 , ethionine claim 1 , and 3-(methylthio)propionic acid.4. The etching method according to claim 1 , wherein the etching liquid further comprises an α-hydroxycarboxylic acid claim 1 , and/or a salt thereof.5. The etching method according to claim 4 , wherein the α-hydroxycarboxylic acid is at least one selected from the group consisting of tartaric acid claim 4 , malic acid claim 4 , citric acid claim 4 , lactic acid claim 4 , and glyceric acid.6. The etching method according to claim 1 , wherein the concentration of the acid(s) is from 20 to 70% by weight claim 1 , and the concentration of the organic sulfur compound(s) is from 0.01 to 10% by weight.7. The etching method according to claim 4 , wherein the concentration of the α-hydroxycarboxylic acid and/or the salt thereof is from 0.2 to ...

Подробнее
16-04-2020 дата публикации

MEDICAL IMPLANTS WITH IMPROVED ROUGHNESS

Номер: US20200113700A1
Автор: OGAWA Takahiro
Принадлежит:

A medical implant has a hierarchical surface roughness and includes an implant body, which includes a combination of meso-scale surface features, micro-scale surface features, and nano-scale surface features. 1. A medical implant having a hierarchical surface roughness , comprising:an implant body including a combination of meso-scale surface features, micro-scale surface features, and nano-scale surface features.2. The medical implant of claim 1 , wherein the meso-scale surface features have sizes in a range of 5 μm to 1 mm.3. The medical implant of claim 2 , wherein the meso-scale surface features have sizes in a range of 5 μm to 200 μm.4. The medical implant of claim 1 , wherein the meso-scale surface features include protruding structures.5. The medical implant of claim 4 , wherein the protruding structures have lateral sizes in a range of 5 μm to 200 μm claim 4 , and heights in a range of 5 μm to 200 μm.6. The medical implant of claim 4 , wherein the protruding structures include cone-shaped claim 4 , nodule-shaped claim 4 , pyramid-shaped claim 4 , trapezoidal claim 4 , hemispherical claim 4 , or hemispheroidal structures.7. The medical implant of claim 1 , wherein the micro-scale surface features have sizes in a range of 1 μm to 5 μm.8. The medical implant of claim 1 , wherein the nano-scale surface features have sizes in a range up to 1 μm.9. The medical implant of claim 8 , wherein the nano-scale surface features have sizes in a range of 10 nm to 1 μm.10. The medical implant of claim 1 , wherein the nano-scale surface features include protruding structures.11. The medical implant of claim 1 , wherein the nano-scale surface features include compartmental structures.12. The medical implant of claim 1 , wherein the nano-scale surface features and the micro-scale surface features are superimposed onto the meso-scale surface features.13. The medical implant of claim 1 , wherein the medical implant is a metallic implant.14. The medical implant of claim 13 , ...

Подробнее
30-04-2020 дата публикации

CHEMICAL PROCESS FOR MATIFICATION

Номер: US20200131646A1
Принадлежит: SAFRAN AIRCRAFT ENGINES

The invention relates to a method for mattifying a turbine engine part () comprising a metal material, the method comprising a step of immersing said part in a chemical bath () for mattifying said metal part (), the bath () comprising at least sodium fluoride (NaF) and hydrofluoric (HF) acid, characterised in that the immersion step lasts between 2 and 15 minutes. 110141014. Method for mattifying a turbine engine part () comprising a metal material , the method comprising a step of immersing said part in a chemical bath () for mattifying said metal part () , the bath () comprising at least sodium fluoride (NaF) and hydrofluoric (HF) acid , characterised in that the immersion step lasts between 2 and 15 minutes.210. Method according to claim 1 , characterised in that the immersion step is configured so as to create a homogenous dissolution of the metal material over a thickness of around 3 to 10 μm claim 1 , and in that the part () is enriched with a minimum quantity of dihydrogen (H).310. Method according to claim 1 , characterised in that the metal material of the part () comprises titanium (Ti) claim 1 , a titanium alloy and/or titanium oxides (TiO).4. Mattifying method according to claim 1 , characterised in that the dissolved material thickness is 5 μm.510. Mattifying method according to claim 1 , characterised in that the enriching with dihydrogen (H) of the part () is around 15 ppm.610. Mattifying method according to claim 1 , characterised in that the part () has claim 1 , on the surface claim 1 , a titanium oxide (TiO) and alpha case layer claim 1 , the homogenous dissolution making is possible to remove the titanium oxide (TiO) and alpha case layer.7. Mattifying method according to claim 6 , characterised in that the homogenous dissolution occurs successively and/or at the same time according to two of the following chemical reactions:{'br': None, 'sub': 2', '4', '4, 'NaF+HSO═FH+NaHSO\u2003\u2003(1)'}{'br': None, 'sub': 2', '6', '2', '2, 'TiO+6HF→TiFH+HO.\ ...

Подробнее
02-06-2016 дата публикации

Salt Based Etching of Metals and Alloys for Fabricating Superhydrophobic and Superoleophobic Surfaces

Номер: US20160153094A1
Принадлежит:

A process to etch hierarchical, re-entrant texture into the surface of metals and their alloys using salt-based etching solutions. The process imbues superhydrophobic, oleophobic or superoleophobic, omniphobic or superomniphobic properties by further imparting a low surface energy coating onto the etched surfaces by chemical functionalization by low surface energy hydrophobilizing compounds. 1. A method for creating a hierarchical re-entrant texture of a metallic or metallic alloy surface comprising: etching the metal surface with an etching solution having 1 to 500 grams per liter of an at least one salt including an inorganic salt or organic salt , and water.2. The method of wherein the at least one salt is a weak acid-strong base claim 1 , weak base-strong acid claim 1 , weak acid-weak base claim 1 , or strong acid-strong base salt.3. The method of wherein the etching solution is comprised of a salt having a strong acid-weak base in solution claim 2 , or having a weak acid-strong base in solution claim 2 , or a combination of two or more salts having either a weak acid-weak base with another salt that is a promoter or catalyst for etching claim 2 , or a combination of two or more salts having a first salt of a strong acid-strong base and a second salt that is a promoter or a catalyst.4. The method of wherein the at least one salt is operable to dissociate a cation and an anion in the etching solution and wherein the at least one salt contains potassium hydrogen carbonate claim 1 , potassium hydrogen phosphate claim 1 , potassium dihydrogen phosphate claim 1 , ammonium carbonate claim 1 , sodium dihydrogen carbonate claim 1 , ammonium bromide claim 1 , sodium carbonate claim 1 , sodium acetate claim 1 , potassium carbonate claim 1 , ammonium acetate claim 1 , iron chloride claim 1 , iron sulfate claim 1 , iron nitrate claim 1 , cobalt chloride claim 1 , cobalt sulfate claim 1 , cobalt nitrate claim 1 , pyridine hydrochloride claim 1 , ammonium claim 1 , sodium ...

Подробнее
17-06-2021 дата публикации

CHEMICAL SOLUTION AND METHOD FOR TREATING SUBSTRATE

Номер: US20210180192A1
Принадлежит: FUJIFILM Corporation

The present invention provides a chemical solution having excellent storage stability and excellent defect inhibition performance. The present invention also provides a method for treating a substrate. The chemical solution according to an embodiment of the present invention is a chemical solution used for removing a transition metal-containing substance on a substrate. The chemical solution contains one or more kinds of halogen oxoacids selected from the group consisting of a halogen oxoacid and a salt thereof and one or more kinds of specific anions selected from the group consisting of SO, NO, PO, and BO. In a case where the chemical solution contains one kind of the specific anion, a content of one kind of the specific anion is 5 ppb by mass to 1% by mass with respect to a total mass of the chemical solution. In a case where the chemical solution contains two or more kinds of the specific anions, a content of each of two or more kinds of the specific anions is equal to or lower than 1% by mass with respect to the total mass of the chemical solution, and a content of at least one of two or more kinds of the specific anions is equal to or higher than 5 ppb by mass with respect to the total mass of the chemical solution. 1. A chemical solution used for removing a transition metal-containing substance on a substrate , comprising:one or more kinds of halogen oxoacids selected from the group consisting of a halogen oxoacid and a salt thereof; and{'sub': 4', '3', '4', '3, 'sup': 2−', '−', '3−', '3−, 'one or more kinds of specific anions selected from the group consisting of SO, NO, PO, and BO,'}wherein in a case where the chemical solution contains one kind of the specific anion, a content of one kind of the specific anion is 5 ppb by mass to 1% by mass with respect to a total mass of the chemical solution, andin a case where the chemical solution contains two or more kinds of the specific anions, a content of each of two or more kinds of the specific anions is equal ...

Подробнее
16-05-2019 дата публикации

ETCH CHEMISTRIES FOR METALLIZATION IN ELECTRONIC DEVICES

Номер: US20190148420A1
Принадлежит:

In various embodiments, etchants featuring (i) mixtures of hydrochloric acid, methanesulfonic acid, and nitric acid, or (ii) mixtures of phosphoric acid, methanesulfonic acid, and nitric acid, are utilized to etch metallic bilayers while minimizing resulting etch discontinuities between the layers of the bilayer. 191.-. (canceled)92. A method of forming an electrode of a thin-film transistor , the method comprising:providing a base layer comprising at least one of silicon or glass;depositing over the base layer a barrier layer comprising molybdenum and nickel;depositing over the barrier layer a conductor layer comprising copper;forming a mask layer over the barrier layer;patterning the mask layer to reveal a portion of the conductor layer, a remaining portion of the mask layer at least partially defining a shape of the electrode; andthereafter, applying an etchant to remove portions of the conductor layer and the barrier layer not masked by the patterned mask layer, thereby forming a sidewall of the electrode comprising (a) an exposed portion of the barrier layer, (b) an exposed portion of the conductor layer, and (c) an interface between the exposed portion of the barrier layer and the exposed portion of the conductor layer.93. The method of claim 92 , wherein the etchant comprises a mixture of hydrochloric acid claim 92 , methanesulfonic acid claim 92 , nitric acid claim 92 , and claim 92 , optionally claim 92 , citric acid and/or a non-acid diluent.94. The method of claim 93 , wherein the etchant comprises claim 93 , by weight claim 93 , 5%40% nitric acid claim 93 , 5%-15% hydrochloric acid claim 93 , 20%-40% methanesulfonic acid claim 93 , and 0%-7% citric acid claim 93 , the balance being water.95. The method of claim 93 , wherein the etchant contains at least 49% diluent by weight.96. The method of claim 93 , wherein the etchant comprises 2%-7% citric acid by weight.97. The method of claim 92 , wherein the etchant consists of a mixture of hydrochloric acid ...

Подробнее
16-06-2016 дата публикации

DECREASING BACTERIAL RESPONSES ON NANO-MODIFIED TITANIUM

Номер: US20160166391A1
Принадлежит:

Methods of manufacturing produce metal implants having nano-modified surfaces that contain antimicrobial properties. The methods may include immersing the implant in an acid, rinsing the acid-treated implant in an aqueous cleaner, and thereafter heating the rinsed implant. The nano-modified implants described herein may contain an increased surface roughness; surface features with increased width or height; and/or decreased surface energy. The implants that result from these methods contain a nano-modified surface that is resistant to microbial cell adhesion and ultimately reduce biomaterials-related infections at the implant site. 1. A method of manufacturing a implant , comprising:a) immersing the implant in acid at a concentration of 0.5N to 15N for 5 minutes to 120 minutes;b) rinsing the acid-treated implant in an aqueous cleaner having a pH of 6 to 8 to remove the acid; andc) heating the rinsed implant at a temperature between 100° Celsius and 800° Celsius for a minimum of 30 minutes.2. The method of claim 1 , wherein the implant is a metal selected from the group consisting of commercially pure titanium claim 1 , and titanium alloy.3. The method of claim 1 , wherein at least a portion of implant is porous prior to immersing in the acid.4. The method of claim 1 , wherein the implant is non-porous prior to immersing in the acid.5. The method of claim 1 , wherein the acid is selected from the group consisting of nitric acid claim 1 , phosphoric acid claim 1 , and sulfuric acid.6. The method of claim 1 , wherein the acid is nitric acid.7. The method of claim 1 , wherein the concentration of the acid is 1N to 10N.8. The method of claim 1 , wherein the implant is immersed in the acid for 10 minutes to 60 minutes.9. The method of claim 1 , wherein the implant is rinsed with deionized water.10. The method of claim 1 , wherein the implant is rinsed for 2 minutes to 10 minutes.11. The method of claim 1 , further comprising drying the rinsed implant overnight at room ...

Подробнее
21-05-2020 дата публикации

METHODS OF PROTECTING METAL SURFACE DURING EXPOSURE TO FLUORIDE ION-CONTAINING ACIDIC FLUIDS

Номер: US20200157407A1
Принадлежит: Baker Hughes, a GE company, LLC

A method of reducing corrosion of a metal surface comprises contacting a fluoride ion-containing acidic fluid with a corrosion inhibitor composition before the fluoride ion-containing acidic fluid contacts the metal surface, the corrosion inhibitor composition comprising a zirconium compound, an antimony compound, or a combination comprising at least one of the foregoing; and exposing the metal surface to the fluoride ion-containing acidic fluid. 1. A method of reducing corrosion of a metal surface , the method comprising:contacting a fluoride ion-containing acidic fluid with a corrosion inhibitor composition before the fluoride ion-containing acidic fluid contacts the metal surface, the corrosion inhibitor composition comprising a zirconium compound, an antimony compound, or a combination comprising at least one of the foregoing; andexposing the metal surface to the fluoride ion-containing acidic fluid.2. The method of claim 1 , wherein the metal surface comprises a titanium alloy.3. The method of claim 1 , wherein the fluoride ion-containing acidic fluid is an at least partially spent downhole treatment fluid.4. The method of claim 1 , wherein:the zirconium compound comprises one or more of the following: zirconium lactate; sodium zirconium lactate; zirconium sulfate; zirconium tetrachloride; zirconium carbonate; zirconium acetate; zirconium orthosulfate; zirconium oxychloride; zirconium ammonium carbonate; or zirconium acetylacetonate, andthe zirconium compound is present in such an amount that the inhibitor composition comprises about 2 wt % to about 10 wt % of zirconium based on the total weight of the inhibitor composition.5. (canceled)6. The method of claim 1 , wherein the inhibitor composition is added in an amount of about 5 to about 40 vol % based on the sum of the volumes of the inhibitor composition and the fluoride ion-containing acidic fluid.7. A method of treating a subterranean formation claim 1 , the method comprising:injecting into the subterranean ...

Подробнее
21-05-2020 дата публикации

Wet Atomic Layer Etching Using Self-Limiting and Solubility-Limited Reactions

Номер: US20200157693A1
Автор: ABEL Paul
Принадлежит:

A method for improving both the microscopic and macroscopic uniformity of materials during etching is disclosed herein. These improvements may be accomplished through the formation and dissolution of thin, self-limiting layers on the material surface by the use of wet atomic layer etching (ALE) techniques. For etching of polycrystalline materials, these self-limiting reactions can be used to prevent this roughening of the surface during etching. Thus, as disclosed herein, a wet ALE process uses sequential, self-limiting reactions to first modify the surface layer of a material and then selectively remove the modified layer. 1. A method of etching a substrate , comprising:receiving the substrate, the substrate having a first material exposed, the first material comprising a polycrystalline material; andselectively etching the polycrystalline material, the selectively etching including chemical modification of a surface of the polycrystalline material by exposing the surface to a chemical solution to provide a modified surface layer, and selective removal of the modified surface layer of the polycrystalline material by exposing the modified surface layer to a liquid-phase chemical solution.2. The method of claim 1 , wherein the polycrystalline material is a transition metal.3. The method of claim 1 , wherein the polycrystalline material comprises ruthenium (Ru) or cobalt (Co).4. The method of claim 1 , wherein the chemical modification of the surface of the polycrystalline material includes oxidation of the polycrystalline material using an oxidizing agent.5. The method of claim 4 , wherein the oxidizing agent includes an oxygen-containing gaseous environment claim 4 , or an oxygen-saturated chemical solution.6. The method of claim 4 , wherein the oxidizing agent is an oxygen-saturated chemical solution that includes oxygen dissolved in water claim 4 , alcohol claim 4 , or acetone.7. The method of claim 4 , wherein the chemical modification further includes ...

Подробнее
21-06-2018 дата публикации

ETCHING SOLUTION AND MANUFACTURING METHOD OF DISPLAY

Номер: US20180171227A1
Принадлежит:

An etching solution and a manufacturing method of a display are provided. The etching solution includes hydrogen peroxide (HO), succinic acid, malonic acid, acetic acid, sulfuric acid, 1-amino-2-propanol, 5-amino-1H-tetrazole, N,N,N′N′-tetrakis(2-hydroxypropyl) ethylenediamine (EDTP) and glycine homogenously mixed in deionized water. Hydrogen peroxide is in an amount of 5-10 wt % of the etching solution, succinic acid is in an amount of 0.5-10 wt % of the etching solution, malonic acid is in an amount of 0.5-10 wt % of the etching solution, acetic acid is in an amount of 1-10 wt % of the etching solution, sulfuric acid is in an amount of 0.5-5 wt % of the etching solution, 1-amino-2-propanol is in an amount of 1-20 wt % of the etching solution, 5-amino-1H-tetrazole is in an amount of 0.01-0.5 wt % of the etching solution, EDTP is in an amount of 1-15 wt % of the etching solution, and glycine is in an amount of 1-5 wt % of the etching solution. 1. An etching solution , comprising:{'sub': 2', '2, 'hydrogen peroxide (HO), succinic acid, malonic acid, acetic acid, sulfuric acid, 1-amino-2-propanol, 5-amino-1H-tetrazole, N,N,N′,N′-tetrakis(2-hydroxypropyl) ethylenediamine (EDTP) and glycine homogenously mixed in deionized water,'}wherein hydrogen peroxide is in an amount of 5-10 wt % of the etching solution, succinic acid is in an amount of 0.5-10 wt % of the etching solution, malonic acid is in an amount of 0.5-10 wt % of the etching solution, acetic acid is in an amount of 1-10 wt % of the etching solution, sulfuric acid is in an amount of 0.5-5 wt % of the etching solution, 1-amino-2-propanol is in an amount of 1-20 wt % of the etching solution, 5-amino-1H-tetrazole is in an amount of 0.01-0.5 wt % of the etching solution, EDTP is in an amount of 1-15 wt % of the etching solution, and glycine is in an amount of 1-5 wt % of the etching solution.2. The etching solution according to claim 1 , further comprising sodium dioctyl sulfosuccinate.3. The etching solution ...

Подробнее
02-07-2015 дата публикации

POLISHING SLURRY AND SUBSTRATE POLISHING METHOD USING THE SAME

Номер: US20150184028A1
Автор: Jung Seung Won
Принадлежит:

A polishing slurry for tungsten and a substrate polishing method are disclosed. The polishing slurry includes an abrasive for performing polishing and having positive zeta potential, and a potential modulator for promoting the oxidation of the tungsten and for controlling the zeta potential of the abrasive. 1. A polishing slurry for tungsten , comprising:an abrasive for performing polishing and having positive zeta potential; anda potential modulator for controlling the zeta potential of the abrasive,the potential modulator comprising a compound of three or more components including iron.2. The polishing slurry of claim 1 , wherein the abrasive comprises zirconia particles.3. The polishing slurry of claim 2 , wherein the abrasive is comprised by greater than approximately 0.2 wt % to less than or equal to approximately 10 wt % based on a total amount of the slurry.4. The polishing slurry of claim 2 , wherein the potential modulator controls the zeta potential of the abrasive by generating anions claim 2 , and the potential modulator is comprised by approximately 0.001-1 wt % based on a total amount of the slurry.5. The polishing slurry of claim 4 , wherein the potential modulator comprises at least one selected from the group consisting of ferric ammonium sulfate claim 4 , potassium ferrioxalate claim 4 , ethylenediaminetetraacetic acid ferric sodium claim 4 , potassium ferricyanide claim 4 , iron(III) acetylacetonate claim 4 , ammonium ferric citrate claim 4 , and ammonium ferric oxalate6. The polishing slurry of claim 5 , wherein the zeta potential of the abrasive is controlled from approximately +5 mV to approximately −5 mV claim 5 , and polishing selectivity of the tungsten and an insulating layer is controlled according to concentration of the potential modulator.7. The polishing slurry of claim 6 , wherein the zeta potential of the abrasive is approximately 5-3.5 mV claim 6 , and the polishing selectivity of the tungsten and the insulating layer is ...

Подробнее
28-05-2020 дата публикации

High-entropy alloy foam and manufacturing method for the foam

Номер: US20200165705A1

The present invention relates to a HEA foam prepared by selective dissolution of a second phase within a two-phase separating alloy comprising the HEA and a manufacturing method thereof. The manufacturing method of the HEA foam of the present invention has the effect of preparing a novel HEA foam, which was not available in the past, by leaving only a first phase after manufacturing a two-phase separating alloy comprising a first phase by HEA, wherein at least 3 metal elements act as a common solvent. Furthermore, the HEA foam of the present invention has a structure, wherein pores are distributed inside the HEA, in which at least 3 metal elements act as a common solvent. By adding a functional characteristic of low heat conductivity, etc., to the existing high strength characteristic of HEA, the HEA foam of the present invention can exhibit a complex effect by the combination of the two particular effects, thereby being capable of exhibiting excellent physical characteristics.

Подробнее
30-06-2016 дата публикации

TITANIUM NANO-SCALE ETCHING ON AN IMPLANT SURFACE

Номер: US20160184059A1
Принадлежит:

A method of forming an implant to be implanted into living bone is disclosed. The method comprises the act of roughening at least a portion of the implant surface to produce a microscale roughened surface. The method further comprises the act of immersing the microscale roughened surface into a solution containing hydrogen peroxide and a basic solution to produce a nanoscale roughened surface consisting of nanopitting superimposed on the microscale roughened surface. The nanoscale roughened surface has a property that promotes osseointegration. 1. A method of forming an implant to be implanted into living bone , the method comprising the acts of:roughening at least a portion of the implant surface to produce a microscale roughened surface; andimmersing the microscale roughened surface into a solution containing hydrogen peroxide and a basic solution to produce a nanoscale roughened surface consisting of nanopitting superimposed on the microscale roughened surface.2. The method of claim 1 , wherein the implant is made of a metal selected from the group consisting of tantalum claim 1 , cobalt claim 1 , chromium claim 1 , titanium claim 1 , stainless steel claim 1 , or alloys thereof.3. The method of claim 1 , wherein the implant is a dental implant.4. The method of claim 3 , wherein the portion of the implant surface is a threaded bottom portion for facilitating bonding with bone.5. The method of claim 1 , wherein the implant is made of titanium and the act of roughening the implant surface comprises grit blasting the surface.6. The method of claim 1 , wherein the implant is made of titanium and the act of roughening the implant surface comprises:removing a native oxide layer from the implant surface; andacid etching the resulting surface.7. The method of claim 6 , wherein the act of roughening the implant surface comprises acid etching the implant surface with a solution containing sulfuric acid and hydrochloric acid.8. The method of claim 1 , wherein the basic ...

Подробнее
29-06-2017 дата публикации

DECREASING BACTERIAL RESPONSES ON NANO-MODIFIED TITANIUM

Номер: US20170182226A1
Принадлежит:

Methods of manufacturing produce metal implants having nano-modified surfaces that contain antimicrobial properties. The methods may include immersing the implant in an acid, rinsing the acid-treated implant in an aqueous cleaner, and thereafter heating the rinsed implant. The nano-modified implants described herein may contain an increased surface roughness; surface features with increased width or height; and/or decreased surface energy. The implants that result from these methods contain a nano-modified surface that is resistant to microbial cell adhesion and ultimately reduce biomaterials-related infections at the implant site. 1. A nano-modified titanium or titanium alloy implant comprising:an average surface roughness of 20 nanometers to 80 nanometers;a surface with a plurality of surface features wherein the average width of the plurality of surface features is 1 nanometer to 100 nanometer; anda surface energy as measured in contact angles of 10 degrees to 60 degrees.2. The nano-modified implant of wherein the average surface roughness is 2 times to 10 times the average surface roughness of a titanium or titanium alloy implant without a nano-modified surface.3. The implant of wherein the average surface roughness is at least 25% greater than that of a titanium or titanium alloy implant without a nano-modified surface.4Staphylococcus aureus. The implant of wherein the growth of on the implant surface is between 2% and 40% of a titanium or titanium implant without a nano-modified surface.5Staphylococcus epidermidis. The implant of wherein the growth of on the implant surface is between 0.003% to 35% of a titanium or titanium alloy implant without a nano-modified surface.6. A nano-modified titanium or titanium alloy implant comprising:an average surface roughness of 30 nanometers to 64 nanometers;a plurality of nano-scale surface features with an average width of 20 nanometers to 70 nanometers and an average height of 15 nanometers to 25 nanometers; anda surface ...

Подробнее
02-10-2014 дата публикации

Etchant, display device and method for manufacturing display device using the same

Номер: US20140295599A1

An etchant includes: 5 to 20 wt % of persulfate, 1 to 10 wt % of at least one compound of an inorganic acid, an inorganic acid salt, or a mixture thereof, 0.3 to 5 wt % of a cyclic amine compound, 1 to 10 wt % of at least one compound of an organic acid, an organic acid salt, or a mixture thereof, 0.1 to 5 wt % of p-toluenesulfonic acid, and water, based on the total weight of the etchant. A copper-titanium etchant further includes 0.01 to 2 wt % of a fluoride-containing compound. A method of forming a display device using the etchant, and a display device, are also disclosed.

Подробнее
27-06-2019 дата публикации

METHODS OF ETCHING A TUNGSTEN LAYER

Номер: US20190198392A1
Принадлежит:

Methods of etching tungsten are disclosed including: leveling a first top surface of a tungsten layer within a feature and atop a top surface of a substrate; and etching the tungsten layer with a peroxide such as hydrogen peroxide and one of a strong acid or a strong base to remove a first portion of the tungsten layer from atop the substrate to form a second top surface of a tungsten layer at a level below the top surface of the substrate. The methods are suitable for forming substantially level or flat top surfaces of a tungsten layer at a level below the top surface of the substrate or within one or more features such as vias or trenches. 1. A method of etching tungsten , comprising:leveling a first top surface of a tungsten layer within a feature and atop a top surface of a substrate; andetching the tungsten layer with a hydrogen peroxide and one of a strong acid or a strong base to remove a first portion of the tungsten layer from atop the substrate to form a second top surface of a tungsten layer at a level below the top surface of the substrate.2. The method of claim 1 , wherein leveling comprises planarizing the first portion of the tungsten layer atop the substrate to form a first substantially level top surface of a tungsten layer above the top surface of the substrate.3. The method of claim 1 , wherein the tungsten layer comprises substantially pure tungsten claim 1 , pure tungsten claim 1 , tungsten alloys claim 1 , and combinations thereof.4. The method of claim 1 , wherein the tungsten layer is formed of pretreated tungsten claim 1 , wherein the pretreated tungsten has an increased hardness value and increase grain size compared to non-pretreated tungsten.5. The method of claim 1 , further comprising heating substantially pure tungsten claim 1 , pure tungsten claim 1 , tungsten alloy claim 1 , or tungsten material to at least 450 degrees Celsius; and forming the tungsten layer atop a dielectric layer claim 1 , wherein the tungsten layer is disposed ...

Подробнее
06-08-2015 дата публикации

CMP METHOD FOR SUPPRESSION OF TITANIUM NITRIDE AND TITANIUM/TITANIUM NITRIDE REMOVAL

Номер: US20150221521A1
Принадлежит:

A chemical mechanical polishing (CMP) method for removal of a metal layer deposited over a titanium nitride (TiN) or titanium/titanium nitride (Ti/TiN) barrier layer is described herein. The method comprises abrading the metal layer with an acidic CMP composition to expose the underlying TiN or Ti/TiN layer, wherein the TiN or Ti/N layer is polished at a low rate due to the presence of a surfactant inhibitor. The acidic CMP composition comprises a particulate abrasive (e.g., silica, alumina) suspended in a liquid carrier containing a surfactant selected from the group consisting of an anionic surfactant, a nonionic surfactant, cation surfactants, and a combination thereof. 1. A chemical mechanical polishing (CMP) method of polishing a substrate comprising a titanium nitride (TiN) or titanium/titanium nitride (Ti/TiN) barrier layer; the method comprising contacting the substrate with an acidic CMP composition comprising a particulate abrasive suspended in a liquid carrier , the liquid carrier comprising a surfactant selected from the group consisting of an anionic surfactant , a cationic surfactant , a nonionic surfactant , and a combination thereof.2. The method of wherein the particulate abrasive comprises colloidal silica.3. The method of wherein the particulate abrasive comprises alumina.4. The method of wherein the particulate abrasive comprises a combination of colloidal silica and alumina.5. The method of wherein the particulate abrasive is present in the CMP composition at a concentration of about 0.001 to about 10 percent by weight (wt %).6. The method of wherein the surfactant is present in the CMP composition at a concentration in the range of about 10 to about 50 claim 1 ,000 parts-per-million (ppm).7. The method of wherein the surfactant comprises an alkyne diol nonionic surfactant.8. The method of wherein the surfactant comprises a sulfonate anionic surfactant.9. The method of wherein the anionic surfactant comprises an alkylaryl sulfonate.10. The ...

Подробнее
05-08-2021 дата публикации

METHOD FOR CREATING A CHROMIUM-PLATED SURFACE WITH A MATTE FINISH

Номер: US20210238760A1
Автор: Reichert Jordi
Принадлежит: Kings Mountain International, Inc.

A method for creating a chrome-plated surface having a matte finish that typically includes: controlling a resistance of a current bridge circuit; depositing a first chromium layer on a substrate positioned in a chromium bath, wherein the first chromium layer is deposited by supplying current from a power source that is electrically connected to the substrate and to anodes positioned in the chromium bath; etching the first chromium layer by engaging a current bridge that closes the current bridge circuit; depositing a first intermediate chromium layer, wherein the first intermediate chromium layer is deposited by supplying current from the power source; etching the first intermediate chromium layer, wherein the first intermediate chromium layer is etched by engaging the current bridge; and depositing a final chromium layer, wherein the final chromium layer is deposited by supplying current from the power source. 1. A method of creating , on a substrate , a chrome-plated surface having a matte finish , comprising:controlling a resistance of a current bridge circuit;depositing a first chromium layer on the substrate, the substrate being positioned in a chromium bath, wherein the first chromium layer is deposited by supplying current from a power source, the power source being electrically connected to the substrate and to one or more terminals positioned in the chromium bath;etching the first chromium layer, wherein the first chromium layer is etched by engaging a current bridge, the current bridge, when engaged, forming an electrical connection between the substrate and the one or more terminals that closes the current bridge circuit, the current bridge circuit comprising the current bridge, terminals, substrate, and chromium bath.2. The method of claim 1 , comprising:depositing a first intermediate chromium layer on the first chromium layer after the first chromium layer has been etched, wherein the first intermediate chromium layer is deposited by supplying current ...

Подробнее
03-08-2017 дата публикации

Fabrication of petal-shaped masks for suppression of the on-axis poisson spot in telescope systems

Номер: US20170218521A1
Принадлежит: Individual

Aspects of the present disclosure involve a system and method for suppressing a Poisson spot. A Poisson spot is a bright spot in the geometrical shadow of circular/spherical shapes. A broad class of telescopes that involve simultaneous transmit and receive require suppression of the reflected light from the secondary mirror on the detector. In one embodiment, coronagraphy petal-shaped masks are fabricated using photolithography and wire-EDM for the suppression of the Poisson spot. The petal-shaped masks can be designed and fabricated to operate at varying Fresnel numbers and petal tip radius-of-curvature (ROC).

Подробнее
03-08-2017 дата публикации

METALLIC WORKPIECE OF TITANIUM AND/OR A TITANIUM ALLOY AND/OR NICKEL-TITANIUM ALLOYS AND ALSO NITINOL WITH A POROUS SURFACE AND PRODUCTION PROCESS

Номер: US20170218522A1
Принадлежит:

A surface-treated metallic workpiece of titanium and/or titanium alloys with titanium as the main constituent and/or nickel-titanium alloys and also nitinol, wherein on the treated surface the metal is free from inclusions, precipitates of other metals, accumulations of alkali metals, alkaline earth metals and/or aluminium, intermetallic phases, and/or mechanically highly defect-rich regions, and the surface has a first roughness and a second roughness, wherein the first roughness is provided by depressions in the form of pores, the pores having a diameter in the range between 0.5 and 50 μm—being open in the direction of the surface and closed in the direction of the workpiece, and at least some of the pores having an undercut, and the second roughness is provided by randomly distributed elevations and depressions in the range of 100 nm and less. The invention also relates to a production process for a surface-treated workpiece. 1. A surface-treated metallic workpiece of titanium and/or titanium alloys with titanium as the main component and/or nickel-titanium alloys , as well as Nitinol , wherein the metal on the treated surface is free of inclusions , precipitations of other metals , deposits of alkali , alkaline earth metals and/or aluminum , intermetallic phases , and/or areas which are mechanically highly defective , and wherein the surface has a first roughness and a second roughness , in which the first roughness is given by depressions in the form of pores , wherein the poreshave a diameter in the range between 0.5 and 50 μmare open towards the surfaceandare closed in the direction of the workpiece,and at least a part of the pores have an undercut sectionand the second roughness is given by statistically distributed elevations and depressions in the range of 100 nm and less.2. A method for production of the surface-treated metallic workpiece according to claim 1 , comprising successively:i. photochemical etching the metallic workpiece in the presence of an ...

Подробнее
19-08-2021 дата публикации

Method for surface treatment of a bio-compatible metal material and implant treated by said method

Номер: US20210252187A1
Принадлежит:

A biocompatible metal implant is provided with a treated surface subject to abrasive mechanical treatment, acid treatment, and sodium treatment, where the biocampatible metal implant treated surface has a macroporosity in the form of cells having dimensions of the order of 50 μm to 250 μm, the cells having pores of from 1 μm to 50 μm, and pores with a size of less than a micrometer, homogeneously over the whole of the treated surface, the treated surface having a surface roughness Ra of greater than or equal to 1.90 μm. 1. A biocompatible metal implant comprising:at least one treated surface, said treated surface subject to abrasive mechanical treatment of the surface of said material by means of abrasive grains based on calcium phosphate;said treated surface subject to acid treatment by soaking, at a temperature above 40° C., said material in a bath comprising sulfuric acid and hydrochloric acid, followed by at least one rinse with demineralized water; andsaid treated surface subject to sodium treatment by soaking, at a temperature above 40° C., said material in a sodium hydroxide-based bath having only sodium hydroxide, followed by at least one rinse with demineralized water and by hot air drying,wherein said biocampatible metal implant treated surface has a macroporosity in the form of cells having dimensions of the order of 50 μm to 250 μm, said cells comprising pores of from 1 μm to 50 μm, and pores with a size of less than a micrometer, homogeneously over the whole of the treated surface, said treated surface having a surface roughness Ra of greater than or equal to 1.90 μm.2. The biocompatible metal implant as claimed in claim 1 , wherein the contact angle of the treated surface is less than or equal to 10° in the presence of distilled water or ethylene glycol as wetting agent.3. The biocompatible metal implant as claimed in claim 1 , wherein said biocompatible metal implant is made of an alloy of titanium claim 1 , aluminum and vanadium claim 1 , such as the ...

Подробнее
19-08-2021 дата публикации

METHOD OF METALLIC COMPONENT SURFACE MODIFICATION FOR ELECTROCHEMICAL APPLICATIONS

Номер: US20210254208A1
Принадлежит: TREADSTONE TECHNOLOGIES, INC.

Method for forming a metallic component surface to achieve lower electrical contact resistance. The method comprises modifying a surface chemical composition and creating a micro-textured surface structure of the metallic component that includes small peaks and/or pits. The small peaks and pits have a round or irregular cross-sectional shape with a diameter between 10 nm and 10 microns, a height/depth between 10 nm and 10 microns, and a distribution density between 0.4 million/cmand 5 billion cm. 1. A metallic component having a surface with low electrical contact resistance , said component comprising:a metallic substrate having a titanium alloy surface containing niobium or tantalum,wherein the niobium or tantalum concentration in the surface oxide layer is higher than that in the bulk of the titanium alloy.2. The metallic component of claim 1 , wherein a concentration of niobium or tantalum in the titanium alloy is between about 0.01% and 3%.3. The metallic component of claim 1 , wherein a concentration of niobium or tantalum in the surface oxide layer is between about 4% and 15%.4. The metallic component of further comprising a titanium alloy substrate containing other alloy elements with the weighted valance of all metallic elements in surface oxide layer higher than 4.5. The metallic component of further comprising a metal substrate having a titanium alloy coating on a surface of the substrate claim 1 , said coating containing niobium or tantalum.6. The metallic component of claim 5 , wherein the titanium alloy coating is provided by one of thermal spraying or physical vapor deposition.7. The metallic component of claim 1 , wherein the high concentration of niobium or tantalum in the surface oxide layer is obtained by:chemically etching a surface of the titanium alloy to remove the original surface layer; andnaturally forming a thin oxide surface layer on the etched titanium alloy.8. The metallic component of claim 7 , wherein the chemically etching process ...

Подробнее
19-08-2021 дата публикации

ETCHING COMPOSITION, A METHOD OF ETCHING A METAL BARRIER LAYER AND A METAL LAYER USING THE SAME, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20210254224A1
Принадлежит:

A method of etching a metal barrier layer and a metal layer is provided. The method includes forming the metal barrier layer and the metal layer on a substrate, and using an etching composition to etch the metal barrier layer and the metal layer. The etching composition may include an oxidant selected from nitric acid, bromic acid, iodic acid, perchloric acid, perbromic acid, periodic acid, sulfuric acid, methane sulfonic acid, p-toluenesulfonic acid, benzenesulfonic acid, or a combination thereof, a metal etching inhibitor including a compound expressed by Chemical Formula 1, and a metal oxide solubilizer selected from phosphoric acid, phosphate, carboxylic acid having 3 to 20 carbon atoms, or a combination thereof. 2. The etching composition of claim 1 , whereinan amount of the oxidant is from 10% to 30% by weight relative to a total weight of the etching composition, andan amount of the metal etching inhibitor is from 0.01% to 10% by weight relative to the total weight of the etching composition.3. The etching composition of claim 1 , further comprising water claim 1 ,wherein an amount of the water is from 10% to 30% by weight relative to a total weight of the etching composition,an amount of the oxidant is from 10% to 30% by weight relative to the total weight of the etching composition,an amount of the metal etching inhibitor is from 0.01% to 10% by weight relative to the total weight of the etching composition, anda remainder of the etching composition is the metal oxide solubilizer.4. The etching composition of claim 1 , wherein the metal etching inhibitor is an amine-based compound having two or less nitrogen atoms.5. The etching composition of claim 1 , wherein the oxidant is nitric acid.6. The etching composition of claim 1 , wherein the metal oxide solubilizer is phosphoric acid.7. An etching composition for etching a tungsten layer and a titanium nitride (TiN) layer claim 1 , the etching composition comprising:an oxidant selected from the group ...

Подробнее
09-07-2020 дата публикации

Etchant composition

Номер: US20200216759A1
Автор: Qilin HAO

An etchant composition for wet etching a titanium-containing film. The etchant composition includes hydrofluoric acid as a primary oxidant, a co-oxidant that forms a dense oxide film on a surface of a titanium film during an etching process, an alkali metal salt that inhibits aggregation and adsorption of titanium ions during the etching process, and a solvent.

Подробнее
18-08-2016 дата публикации

Dishing reducing in tungsten chemical mechanical polishing

Номер: US20160237315A1
Принадлежит: Air Products and Chemicals Inc

This invention pertains to slurries, methods and systems that can be used in chemical mechanical planarization (CMP) of tungsten containing semiconductor device. Additives are used to reduce the dishing on large and small feature sizes (large bond pad as well as fine line structures) without retarding the tungsten removal rate.

Подробнее
19-08-2021 дата публикации

SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT DEVICE

Номер: US20210257209A1
Автор: KAGAWA Koji
Принадлежит:

A substrate treatment method according to an embodiment of the present disclosure includes a temperature raising step of raising a temperature of a concentrated sulfuric acid, and a liquid supply step of supplying the concentrated sulfuric acid having the raised temperature to a substrate placed on a substrate processing part. 1. A substrate treatment method , comprising:a temperature raising step of raising a temperature of a concentrated sulfuric acid; anda liquid supply step of supplying the concentrated sulfuric acid having the raised temperature to a substrate placed on a substrate processing part.2. The substrate treatment method of claim 1 , wherein in the temperature raising step claim 1 , the temperature of the concentrated sulfuric acid is raised to a temperature in the range of 130 degrees C. to a boiling point of the concentrated sulfuric acid.3. The substrate treatment method of claim 1 , wherein the concentrated sulfuric acid does not contain a hydrogen peroxide.4. The substrate treatment method of claim 1 , further comprising: a pure water addition step of adding pure water to the concentrated sulfuric acid.5. The substrate treatment method of claim 4 , wherein in the pure water addition step claim 4 , the pure water is added so that a concentration of a sulfuric acid in the concentrated sulfuric acid is 70 to 97% by mass.6. The substrate treatment method of claim 4 , further comprising: a subsequent pure water supply step of supplying the pure water added to the concentrated sulfuric acid to the substrate after the liquid supply step.7. The substrate treatment method of claim 4 , further comprising: a preliminary pure water supply step of supplying the pure water added to the concentrated sulfuric acid to the substrate before the liquid supply step.8. The substrate treatment method of claim 1 , wherein a film containing tungsten is formed on a surface of the substrate.9. The substrate treatment method of claim 1 , wherein a film containing tungsten ...

Подробнее
23-08-2018 дата публикации

ETCHING LIQUID COMPOSITION AND ETCHING METHOD

Номер: US20180237923A1
Принадлежит: ADEKA CORPORATION

An etching liquid composition that contains (A) 0.1 to 15 mass % of hydrogen peroxide, (B) 0.01 to 1 mass % of a fluoride ion source, (C) an organic sulfonic acid compound represented by general formula (I) described in the specification or a salt thereof in an amount of 0.1 to 20 mass % in terms of organic sulfonic acid, (D) 0.01 to 5 mass % of at least one type of compound selected from among azole-based compounds and compounds having a structure that has a 6-membered heterocycle including at least one nitrogen atom and three double bonds, and (E) water; and an etching method that includes using the etching liquid composition are provided. 2. The etching liquid composition of claim 1 , wherein component (C) is at least one type of compound selected from the group consisting of 2-hydroxyethane sulfonic acid claim 1 , benzene sulfonic acid and salts thereof.3. The etching liquid composition of claim 1 , wherein component (D) is at least one type of compound selected from the group consisting of 1 claim 1 ,2 claim 1 ,4-triazole claim 1 , 3-amino-1H-triazole claim 1 , 1H-tetrazole claim 1 , 5-methyl-1H-tetrazole and 5-aminotetrazole.4. An etching method for etching a titanium-based layer and a copper-based layer of a laminate in one step that is disposed on a base material and includes at least one titanium-based layer and at least one copper-based layer claim 1 , the etching method comprising using the etching liquid composition of .5. An etching method claim 1 , comprising: using the etching liquid composition of to etch a titanium-based layer and a copper-based layer of a material to be etched in one step claim 1 , which is a laminate that is disposed on a base material and includes at least one titanium-based layer and at least one copper-based layer; and thereafter using the etching liquid composition again to etch another material to be etched.6. The etching liquid composition of claim 2 , wherein component (D) is at least one type of compound selected from the ...

Подробнее
13-11-2014 дата публикации

ETCHING METHOD AND ETCHING LIQUID USED THEREIN

Номер: US20140332713A1
Принадлежит: FUJIFILM Corporation

An etching method having the step of: applying an etching liquid to a substrate, the etching liquid containing: a fluorine ion, a nitrogen-containing compound having at least 2 of nitrogen-containing structural units, and water, the etching liquid having a pH of being adjusted to 5 or less; and etching a titanium compound in the substrate. 1. An etching method comprising the steps of:applying an etching liquid to a substrate, the etching liquid comprising: a fluorine ion, a nitrogen-containing compound having two or more nitrogen-containing structural units, and water, the etching liquid having a pH of being adjusted to 5 or less; andetching a titanium compound in the substrate.2. The etching method according to claim 1 , wherein the nitrogen-containing compound has a molecular weight from 300 to 20 claim 1 ,000.4. The etching method according to claim 1 , wherein the nitrogen-containing compound is a compound represented by the following formula (b):{'br': None, 'sup': c', 'd', 'c', 'd', 'c, 'sub': 2', 'm', '2, 'RN-[L-N(R)]-L-NR\u2003\u2003(b)'}{'sup': d', 'c', 'c', 'd', 'c, 'wherein Lrepresents an alkylene group, a carbonyl group, an amino group, an arylene group, a heteroarylene group, or a combination thereof; Rrepresents a hydrogen atom, or an alkyl group; m represents an integer of 1 or more; respective Rs and Ls may be the same as or different from each other; and respective Rs may bind to each other to form a ring.'}5. The etching method according to claim 1 , wherein the nitrogen-containing compound is a polyethyleneimine claim 1 , a polyallylamine claim 1 , a polyvinylamine claim 1 , a polydiallylamine claim 1 , a polymethyldiallylamine claim 1 , or a polydimethyldiallylammonium salt.6. The etching method according to claim 1 , wherein a conjugate acid of the nitrogen-containing compound has a pKa of 5 or more.7. The etching method according to claim 1 , wherein a ground substance that acts as a supply source of the fluorine ion is one selected from the ...

Подробнее
01-09-2016 дата публикации

Removal Composition for Selectively Removing Hard Mask and Methods Thereof

Номер: US20160254182A1
Автор: Cui Hua
Принадлежит:

The present disclosure relates to a method for removing a hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W from a semiconductor substrate. The method comprising contacting the semiconductor substrate with a removal composition. The removal composition comprises 0.1 wt % to 90 wt % of an oxidizing agent; 0.0001 wt % to 50 wt % of a carboxylate; and the balance up to 100 wt % of the removal composition comprising deionized water. 1. A method for removing a hard mask consisting essentially of TiN , TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W from a semiconductor substrate ,the method comprising contacting the semiconductor substrate with a removal composition comprising:(a) 0.1 wt % to 90 wt % at least one oxidizing agent,(b) 0.0001 wt % to 50 wt % of a carboxylate;(c) the balance up to 100 wt % of the removal composition comprising deionized water.2. The method of claim 1 , whereinthe oxidizing agent is selected from the group consisting of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammionium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), and mixtures thereof; andthe carboxylate is selected from the group consisting of potassium citrate tribasic monohydrate, potassium sodium ...

Подробнее
17-09-2015 дата публикации

COMPOSITIONS AND METHODS FOR CMP OF TUNGSTEN MATERIALS

Номер: US20150259804A1
Автор: Chen Zhan, Lin Chih-An
Принадлежит:

The present invention provides chemical-mechanical polishing (CMP) methods for polishing a tungsten containing substrate. The polishing compositions used with the methods of the invention comprise an aqueous carrier, an abrasive, a polyamino compound, a metal ion, a chelating agent, an oxidizing agent, and optionally, an amino acid. The methods of the invention effectively remove tungsten while reducing surface defects such as recesses typically associated with tungsten CMP. 1. A chemical-mechanical polishing (CMP) method for polishing a tungsten-containing substrate comprising abrading a surface of the substrate with a CMP composition comprising an aqueous carrier containing:(a) a polyamino compound;(b) at least one metal ion selected from a transition metal ion and a group IIIA/IVA metal ion;(c) a chelating agent;(d) a particulate silica abrasive;(e) an oxidizing agent; and{'b': '0', '( optionally, an amino acid.'}2. The CMP method of wherein the polyamino compound comprises at least one poly(ethyleneimine) compound.3. The CMP method of wherein the polyamino compound comprises a compound of formula HN—(CHCHNH)—CHCH—NH claim 1 , wherein n is 2 claim 1 , 3 claim 1 , 4 claim 1 , or 5.4. The CMP method of wherein the at least one metal ion and the chelating agent are present at a respective molar ratio of about 0.5:1 to about 2:1.5. The CMP method of wherein the chelating agent comprises at least one compound selected from the group consisting of malonic acid claim 1 , methylmalonic acid claim 1 , ethylmalonic acid claim 1 , phenylmalonic acid claim 1 , and hydroxyethylidene-1 claim 1 ,1-diphosphonic acid.6. The CMP method of wherein the silica abrasive comprises colloidal silica.7. The CMP method of wherein the composition comprises at least one amino acid selected from the group consisting of glycine and lysine.8. The CMP method of wherein the metal ion comprises ferric ion.9. The CMP method of wherein the oxidizing agent comprises hydrogen peroxide.10. The CMP ...

Подробнее
14-10-2021 дата публикации

METHOD AND DEVICE FOR IMPROVING THE SURFACE CONDITION OF A TURBOMACHINE COMPONENT

Номер: US20210317585A1
Принадлежит: SAFRAN AIRCRAFT ENGINES

The invention concerns a method for the surface treatment of a component, for example a turbomachine component, the component comprising a surface to be treated, the method comprising the following steps: loading a first dispenser with a chemical etching solution and a second dispenser with a rinsing solution, positioning the first dispenser and the second dispenser opposite the surface to be treated, moving the first dispenser and the second dispenser along the surface to be treated, such that the surface to be treated successively receives the chemical etching solution followed by the rinsing solution. 1. A method for surface treatment of a part , for example a turbomachine part , the part comprising a surface to be treated , wherein the method comprises steps of:charging a first dispenser with a chemical etching solution and charging a second dispenser with a rinsing solution,positioning the first dispenser and the second dispenser facing the surface to be treated,moving the first dispenser and the second dispenser along the surface to be treated, so that the surface to be treated successively receives the chemical etching solution and then the rinsing solution.2. The treatment method according to claim 1 , wherein the first dispenser and the second dispenser are positioned and moved relative to the surface to be treated so that the second dispenser is positioned in the wake of the first dispenser claim 1 , so as to apply the rinsing solution in areas of the surface to be treated that have just received the chemical etching solution.3. The treatment method according to claim 1 , wherein the first dispenser and the second dispenser each comprise a roller claim 1 , a paintbrush or a brush claim 1 , wherein the positioning step comprises bringing the first dispenser and the second dispenser into contact with the surface to be treated of the part.4. The treatment method according to claim 3 , wherein the first dispenser and the second dispenser are continuously ...

Подробнее
01-10-2015 дата публикации

ANALYTE SENSOR AND FABRICATION METHODS

Номер: US20150276651A1
Принадлежит: EDWARDS LIFESCIENCES CORPORATION

Methods for fabricating analyte sensor components using IC- or MEMs-based fabrication techniques and sensors prepared therefrom. Fabrication of the analyte sensor component comprises providing an inorganic substrate having deposited thereon a release layer, a first flexible dielectric layer and a second flexible dielectric layer insulating there between electrodes, contact pads and traces connecting the electrodes and the contact pads of a plurality of sensors. Openings are provided in one of the dielectric layers over one or more of the electrodes to receive an analyte sensing membrane for the detection of an analyte of interest and for electrical connection with external electronics. The plurality of fabricated sensor components are lifted off the inorganic substrate. Methods of improving sensor performance by solution based and non-solution based etching are provided. 1. A method of fabricating an electrochemical sensor component comprising:providing a substrate having a transition metal adhesion layer positioned between a dielectric layer and a noble metal electrode, the noble metal electrode having residual amounts of the transition metal adhesion layer on the surface thereof;contacting the exposed portion of the noble metal electrode with an etchant;removing at least a portion of the residual transition metal adhesion layer from the surface of the noble metal electrode.2. The method of claim 1 , wherein the transition metal adhesion layer comprises titanium.3. The method of claim 1 , wherein the noble metal comprises gold claim 1 , platinum claim 1 , platinum/iridium claim 1 , or palladium.4. The method of claim 1 , wherein the transition metal adhesion layer comprises titanium and wherein the noble metal comprises gold claim 1 , platinum claim 1 , or palladium.5. The method of claim 1 , wherein the etchant is a solution based etchant.6. The method of claim 5 , wherein the etchant comprises hydrogen fluoride.7. The method of claim 1 , wherein the etchant is a ...

Подробнее
12-09-2019 дата публикации

TITANIUM NANO-SCALE ETCHING ON AN IMPLANT SURFACE

Номер: US20190274791A1
Принадлежит:

A method of forming an implant to be implanted into living bone is disclosed. The method comprises the act of roughening at least a portion of the implant surface to produce a microscale roughened surface. The method further comprises the act of immersing the microscale roughened surface into a solution containing hydrogen peroxide and a basic solution to produce a nanoscale roughened surface consisting of nanopitting superimposed on the microscale roughened surface. The nanoscale roughened surface has a property that promotes osseointegration. 1. A method of forming an implant to be implanted into living bone , the method comprising the acts of:roughening at least a portion of the implant surface to produce a microscale roughened surface; andimmersing the microscale roughened surface into a solution containing hydrogen peroxide and a basic solution to produce a nanoscale roughened surface consisting of nanopitting superimposed on the microscale roughened surface.2. The method of claim 1 , wherein the implant is made of a metal selected from the group consisting of tantalum claim 1 , cobalt claim 1 , chromium claim 1 , titanium claim 1 , stainless steel claim 1 , or alloys thereof.3. The method of claim 1 , wherein the implant is a dental implant.4. The method of claim 3 , wherein the portion of the implant surface is a threaded bottom portion for facilitating bonding with bone.5. The method of claim 1 , wherein the implant is made of titanium and the act of roughening the implant surface comprises grit blasting the surface.6. The method of claim 1 , wherein the implant is made of titanium and the act of roughening the implant surface comprises:removing a native oxide layer from the implant surface; andacid etching the resulting surface.7. The method of claim 6 , wherein the act of roughening the implant surface comprises acid etching the implant surface with a solution containing sulfuric acid and hydrochloric acid.8. The method of claim 1 , wherein the basic ...

Подробнее
19-09-2019 дата публикации

Etching Solution for Tungsten Word Line Recess

Номер: US20190284704A1
Принадлежит: Versum Materials US. LLC

Described herein is an etching solution suitable for both tungsten-containing metals and TiN-containing materials, which comprises: water; and one or more than one oxidizers; and one or more than one of the components selected from the group consisting of: one or more fluorine-containing-etching compounds, one or more organic solvents, one or more chelating agents, one or more corrosion inhibitors and one or more surfactants. 1. An etching solution suitable for both tungsten-containing metals and TiN-containing materials , which comprises:water;one or more than one oxidizers;one or more of the components selected from: one or more fluorine-containing-etching compounds, one or more organic solvents, one or more chelating agents, one or more corrosion inhibitors, and one or more surfactants.2. The etching solution of wherein said one or more oxidizers are selected from hydrogen peroxide (H2O2) claim 1 , FeCl3 claim 1 , FeF3 claim 1 , Sr(NO3)2 claim 1 , CoF3 claim 1 , MnF3 claim 1 , monopersulfate compound comprising KHSO5 claim 1 , KHSO4 and K2SO4 claim 1 , periodic acid claim 1 , iodic acid claim 1 , vanadium (V) oxide claim 1 , vanadium (IV claim 1 ,V) oxide claim 1 , ammonium vanadate claim 1 , ammonium polyatomic salts claim 1 , sodium polyatomic salts claim 1 , potassium polyatomic salts claim 1 , nitric acid (HNO3) claim 1 , potassium persulfate (K2S2O8) claim 1 , potassium hypochlorite (KClO)) claim 1 , tetramethylammonium polyatomic salts claim 1 , tetrabutylammonium polyatomic salts claim 1 , peroxomonosulfuric acid claim 1 , ferric nitrate (Fe(NO3)3) claim 1 , urea hydrogen peroxide ((CO(NH2)2)H2O2) claim 1 , phosphoric acid (H3PO4) claim 1 , peracetic acid (CH3(CO)OOH) claim 1 , 1 claim 1 ,4-benzoquinone claim 1 , toluquinone claim 1 , dimethyl-1 claim 1 ,4-benzoquinone claim 1 , chloranil claim 1 , alloxan claim 1 , N-methylmorpholine N-oxide claim 1 , trimethylamine N-oxide claim 1 , and mixtures thereof.3. The etching solution of wherein said one or more ...

Подробнее
26-09-2019 дата публикации

SURFACE TREATMENT PROCESS FOR IMPLANTS MADE OF TITANIUM ALLOY

Номер: US20190292666A1
Принадлежит:

A titanium 6 Al/4V alloy is provided with a surface topography that is similar to the Osseotite® surface produced on commercially pure titanium. Native oxide is removed from the Ti 6Al/4V alloy, followed by contacting the metal at ambient temperature with an aqueous hydrochloric acid solution containing a relatively small amount of hydrofluoric acid. 1. A method of producing a uniformly roughened surface on Ti 6/4 alloy for contact with living bone comprising:(a) removing the native oxide from said Ti 6/4 alloy to expose metal;(b) contacting said exposed metal with an aqueous solution of hydrofluoric acid and hydrochloric acid for a suitable period of time to create the desired surface topography.220-. (canceled) This application claims priority of provisional patent application 60/471,463, filed May 16, 2003.This invention relates generally to the surface of metal implants, such as those placed in the human body.This invention principally relates to the surface of titanium alloy dental implants, although it has application to other types of implants made of titanium alloys. More specifically, the invention relates to roughened surfaces provided on dental implants to improve the osseointegration of the implant surface with the bone, thereby shortening the time between initial insertion of the implant and the installation of a prosthetic tooth.Various techniques have been suggested for roughening implants, each producing a unique surface. One approach has been to apply materials to the surface of the implant, for example hydroxyapitite, a material that is considered to improve the bonding of the implant to bone because the hydroxyapitite is chemically related to bone. In a related approach, titanium particles have been sprayed onto a titanium implant to roughen the surface. Anodization to add titanium oxides to the surface has also been proposed. Roughening also can be done by removing some of the surface. Grit blasting with fine particles has been proposed to create ...

Подробнее
18-10-2018 дата публикации

ETCHANT COMPOSITION FOR MULTILAYERED METAL FILM OF COPPER AND MOLYBDENUM, METHOD OF ETCHING USING SAID COMPOSITION, AND METHOD FOR PROLONGING LIFE OF SAID COMPOSITION

Номер: US20180298500A1
Принадлежит: Kanto Kagaku Kabushiki Kaisha

Provided is an etchant composition for a multilayered metal film comprising both a layer comprising copper and a layer comprising molybdenum, the etchant composition: being capable of etching en bloc a multilayered metal film comprising a layer constituted of copper or an alloy including copper as the main component and a layer constituted of molybdenum or an alloy including molybdenum as the main component; being effective in preventing the molybdenum layer from being undercut; making it easy to regulate the component concentrations so as to accommodate the cross-sectional shape control and cross-section; and being stable. Also provided are a method of etching using the etchant composition and a method for prolonging the life of the etchant composition. The etchant composition according to the present invention is an etchant composition for use in etching en bloc a multilayered metal film comprising a layer constituted of copper or an alloy including copper as the main component and a layer constituted of molybdenum or an alloy including molybdenum as the main component, and comprises hydrogen peroxide, an organic acid, an amine compound, an azole, and a hydrogen peroxide stabilizer (no inorganic acid is contained therein). 1. An etching solution composition used in batch etching of a metal laminate film comprising a layer formed from copper or an alloy having copper as a main component and a layer formed from molybdenum or an alloy having molybdenum as a main component , the etching solution composition comprising hydrogen peroxide , an organic acid , an amine compound , an azole , and a hydrogen peroxide stabilizing agent (provided that it does not contain an inorganic acid).2. The etching solution composition according to claim 1 , wherein it further comprises at least one selected from the group consisting of a phosphonic acid-based chelating agent claim 1 , an alcohol-based solvent claim 1 , a diol-based solvent claim 1 , a triol-based solvent claim 1 , a ...

Подробнее
27-10-2016 дата публикации

REMOVAL COMPOSITION FOR SELECTIVELY REMOVING HARD MASK AND METHODS THEREOF

Номер: US20160312162A1
Автор: Cui Hua
Принадлежит:

The present disclosure relates to a removal composition for selectively removing an hard mask consisting essentially of TiN, TaN, TiNxOy, TiW, W, Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate. The semiconductor substrate comprises a low-k dielectric material having a TiN, TaN, TiNxOy, TiW, W, Ti or alloy of Ti or W hard mask thereon. The removal composition comprises 0.1 wt % to 90 wt % of an oxidizing agent; 0.0001 wt % to 50 wt % of a carboxylate; and the balance up to 100 wt % of the removal composition comprising deionized water. 1. A removal composition for selectively removing an hard mask consisting essentially of TiN , TaN , TiNxOy , TiW , W , Ti and alloys of Ti and W relative to low-k dielectric material from a semiconductor substrate which comprises the low-k dielectric material having a TiN , TaN , TiNxOy , TiW , W , Ti or alloy of Ti or W hard mask thereon , the removal composition comprising:(a) 0.1 wt % to 90 wt % of an oxidizing agent;(b) 0.0001 wt % to 50 wt % of a carboxylate; and(c) the balance up to 100 wt % of the removal composition comprising deionized water.2. The removal composition of claim 1 , whereinthe oxidizing agent is selected from the group consisting of hydrogen peroxide (H2O2), n-methylmorpholine oxide (NMMO or NMO), benzoyl peroxide, tetrabutylammonium peroxymonosulfate, ozone, ferric chloride, permanganate peroxoborate, perchlorate, persulfate, ammonium peroxydisulfate, per acetic acid, urea hydroperoxide, nitric acid (HNO3), ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)25208), tetramethylammonium chlorite ((N(CH3)4)ClO2), tetramethylammionium chlorate ((N(CH3)4)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)ClO4), tetramethylammonium ...

Подробнее
26-09-2019 дата публикации

METHODS FOR PRODUCING INTEGRATED CIRCUITS WITH MAGNETS AND A WET ETCHANT FOR THE SAME

Номер: US20190296100A1
Принадлежит:

Integrated circuits and methods of producing the same are provided. In an exemplary embodiment, a method of producing an integrated circuit includes forming a lower conductor element overlying a substrate, and forming a magnetic stack layer overlying the lower conductor element. A waste portion of the magnetic stack layer is removed with a wet etchant to produce a magnetic core. The wet etchant includes hydrofluoric acid, a second acid different than the hydrofluoric acid, an oxidizer, and a solvent. 1. A method of producing an integrated circuit comprising:forming a lower conductor element overlying a substrate;forming a magnetic stack layer overlying the lower conductor element; andremoving a waste portion of the magnetic stack layer with a wet etchant to produce a magnetic core, wherein the wet etchant comprises hydrofluoric acid, a second acid different than the hydrofluoric acid, an oxidizer, and a solvent.2. The method of wherein forming the magnetic stack layer comprises:forming at least four magnetic sub layers, wherein each of the magnetic sub layers comprise cobalt, zirconium, and tantalum; andforming at least four interface layers such that the magnetic stack layer comprises alternating interface layers and magnetic sub layers, and wherein each of the interface layers comprises an interface layer material selected from silicon dioxide, aluminum nitride, cobalt oxide, or a combination thereof.3. The method of wherein removing the waste portion comprises removing the waste portion with the wet etchant claim 2 , wherein the second acid is selected from the group of hydrochloric acid claim 2 , sulfuric acid claim 2 , and combinations thereof.4. The method of wherein removing the waste portion comprises removing the waste portion with the wet etchant claim 3 , wherein the oxidizer is selected from the group of hydrogen peroxide claim 3 , nitric acid claim 3 , and a combination thereof.5. The method of wherein removing the waste portion comprises removing the ...

Подробнее
03-10-2019 дата публикации

ETCHANT COMPOSITIONS AND METHOD FOR ETCHING

Номер: US20190301026A1
Автор: Kouno Ryou, Ohwada Takuo
Принадлежит:

An etchant composition that is capable of batch etching treatment of a tungsten film and a titanium nitride film and a method for etching using said etchant composition are provided. 1. Etchant composition for batch etching treatment of tungsten film and titanium nitride film comprising a nitric acid and water.2. The etchant composition according to claim 1 , further comprising at least one selected from the group consisting of a sulfuric acid and an aliphatic sulfonic acid.3. The etchant composition according to claim 2 , wherein the aliphatic sulfonic acid is a methanesulfonic acid.4. The etchant composition according to further comprising a phosphoric acid.5. Method for batch etching of tungsten film and titanium nitride film using the etchant composition according to .6. The method according to claim 5 , wherein the temperature of the etchant composition at which the etching is performed is 50° C. or higher.7. The method according to for generating a pattern having a three-dimensional structure. The present invention relates to an etchant composition for batch etching treatment of tungsten film and titanium nitride film, and a method for etching using said etchant composition.Tungsten and titanium nitride are both widely used for electric devices such as a semiconductor element. They are deposited as a film having film properties that are appropriate for a desired electric device, processed into a predetermined pattern, and used. As a method for processing tungsten film or titanium nitride film in a predetermined pattern, processing by etching has widely been adopted.As an electric device using tungsten and titanium nitride, a semiconductor memory such as a nonvolatile memory has been known. Recently, such electric devices has remarkably advanced in high-speed processing and capacity enlargement, which in turn has resulted in a greater refinement and complication of the intended pattern shape. Consequently, there also is a growing requirement for techniques and ...

Подробнее
03-12-2015 дата публикации

Reduced titanium undercut in etch process

Номер: US20150348925A1
Принадлежит: Applied Materials Inc

In accordance with one embodiment of the present disclosure, a method of forming a metal feature includes etching a portion of a first metal layer using a first etching chemistry, and etching a portion of a barrier layer using a second etching chemistry to achieve a barrier layer undercut of less than or equal to 2 times the thickness of the barrier layer.

Подробнее
14-11-2019 дата публикации

METHOD FOR PREPARING FILM MICRO-OPTICAL STRUCTURE BASED ON PHOTOLITHOGRAPHY AND CHEMOMECHANICAL POLISHING

Номер: US20190346625A1
Принадлежит:

Method for preparing micro-optical structure on a film based on chemical mechanical polishing etching, combining photolithography technology with chemical mechanical polishing technology to make preparation and large-scale integration of large-size high-quality micro optical devices on-chip possible. The method comprises coating metal on film surface, selectively removing the metal film by photolithography (such as femtosecond laser selective ablation, ultraviolet photolithography, electron beam etching, ion beam etching, and reactive ion etching), chemical mechanical polishing, chemical corrosion or over polishing and other steps. Micro-optical devices on-chip prepared by the method have extremely high surface finish and extremely low optical loss. The method is applicable to preparing high-quality micro-optical structures (including but not limited to microdisc cavities, microring cavities, optical waveguides and coupled devices thereof) on various films on-chip (including but not limited to lithium niobate single crystal films, quartz films, silicon films, silicon dioxide films, diamond thin films, etc.). 1. A method for preparing a micro-optical structure on a film , comprising:(1) coating a metal film on a surface of a film sample, wherein the film sample comprises a film layer, a pillar layer, and a substrate in an order from a top to a bottom, and the film layer is made of a dielectric film or a semiconductor film,(2) precisely etching a surface of metal film by photolithography to form a metal pattern layer,(3) conducting chemomechanical polishing byfixing the film sample containing the metal pattern layer in a mold, polishing the film sample with a polishing pad and a polishing solution, and forming a wedge-shaped corner at edges of the film sample close to edges of the metal pattern layer, wherein an area of the film sample covered with the metal pattern layer is retained for having no contact with the polishing pad and the polishing solution, and other ...

Подробнее
22-12-2016 дата публикации

ETCH CHEMISTRIES FOR METALLIZATION IN ELECTRONIC DEVICES

Номер: US20160372500A1
Принадлежит:

In various embodiments, etchants featuring (i) mixtures of hydrochloric acid, methanesulfonic acid, and nitric acid, or (ii) mixtures of phosphoric acid, methanesulfonic acid, and nitric acid, are utilized to etch metallic bilayers while minimizing resulting etch discontinuities between the layers of the bilayer. 191.-. (canceled)92. A method of forming an electrode of a thin-film transistor , the method comprising:providing a base layer comprising at least one of silicon or glass;depositing over the base layer a barrier layer comprising one or more refractory metals or an alloy of one or more refractory metals with one or more additional metallic components;depositing over the barrier layer a conductor layer comprising at least one of Cu, Ag, Au, or Al;forming a mask layer over the barrier layer;patterning the mask layer to reveal a portion of the conductor layer, a remaining portion of the mask layer at least partially defining a shape of the electrode;thereafter, applying an etchant to remove portions of the conductor layer and the barrier layer not masked by the patterned mask layer, thereby forming a sidewall of the electrode comprising (a) an exposed portion of the barrier layer, (b) an exposed portion of the conductor layer, and (c) an interface between the exposed portion of the barrier layer and the exposed portion of the conductor layer,wherein the etchant comprises a mixture of hydrochloric acid, methanesulfonic acid, nitric acid, and water.93. The method of claim 92 , wherein the sidewall of the electrode is substantially free of discontinuities notwithstanding the interface between the exposed portion of the barrier layer and the exposed portion of the conductor layer.94. The method of claim 92 , wherein claim 92 , proximate the interface between the exposed portion of the barrier layer and the exposed portion of the conductor layer claim 92 , the exposed portion of the barrier layer protrudes from the exposed portion of the conductor layer by 6 μm or ...

Подробнее
31-12-2015 дата публикации

METHOD FOR ETCHING SEMICONDUCTOR STRUCTURES AND ETCHING COMPOSITION FOR USE IN SUCH A METHOD

Номер: US20150380370A1
Принадлежит: LAM RESEARCH AG

A method of etching a semiconductor structure, comprises contacting an under bump metallization (UBM) with an etching composition. The UBM includes an underlying layer comprising titanium and an overlying layer comprising a second metal. The etching composition is a liquid comprising at least 0.1 wt % hydrofluoric acid and at least 0.1 wt % phosphoric acid. 1. A method of etching a semiconductor structure , comprising:contacting an under bump metallization (UBM) with an etching composition;wherein the UBM includes an underlying layer comprising titanium and an overlying layer comprising a second metal; andwherein the etching composition is a liquid comprising at least 0.1 wt % hydrofluoric acid and at least 0.1 wt % phosphoric acid.2. The method according to claim 1 , wherein the second metal is copper.3. The method according to claim 1 , wherein said contacting is performed for a time sufficient to over etch the underlying layer by at least 100% after nominal removal of the underlying layer.4. The method according to claim 1 , wherein the underlying layer is a composite material comprising aluminum sandwiched between top and bottom layers of titanium.5. The method according to claim 1 , wherein the underlying layer overlies a contact pad of a semiconductor device.6. The method according to claim 5 , wherein said contacting is performed until the contact pad is partially exposed by removal of at least a part of said underlying layer.7. The method according to claim 5 , wherein the contact pad is formed in a semiconductor substrate.8. The method according to claim 5 , wherein the contact pad is formed in an interlayer insulating film.9. The method according to claim 5 , wherein the contact pad comprises aluminum.10. The method according to claim 1 , wherein upon completion of said contacting an undercut of the underlying layer relative to the overlying layer is less than or equal to 0.5 μm.11. The method according to claim 10 , wherein said undercut is less than or ...

Подробнее
05-12-2019 дата публикации

METAL AND PLASTIC COMPOSITE MATERIAL AND METHOD FOR MAKING SAME

Номер: US20190366603A1
Принадлежит:

A composite material includes a metal substrate, and a plastic member formed on a surface of the metal substrate. A material of the metal substrate is titanium or titanium alloys, and an acid treatment leaves nano-holes and protrusions on the surface of the metal substrate. The composite material further includes a combining layer between the metal substrate and the plastic member. The nano-holes are partially filled with the combining layer, the protrusions are partially surrounded by the combining layer. The disclosure further provides a method for making such composite material. 1. A composite material comprising:a metal substrate, a material of the metal substrate is selected from one of titanium and titanium alloys, nano-holes being formed on a surface of the metal substrate;a combining layer formed on the metal substrate, the nano-holes partially filled with the combining layer; anda plastic member formed on the combining layer.2. The composite material of claim 1 , wherein the nano-holes are irregular cavities claim 1 , and diameters of the nano-holes vary in a range from several tens of nanometers to several hundreds of nanometers.3. The composite material of claim 2 , wherein shapes of nano-holes are substantially like those in a honeycomb.4. The composite material of claim 2 , wherein protrusions accompany the nano-holes claim 2 , the protrusions are formed beside the nano-holes.5. The composite material of claim 2 , wherein protrusions accompany the nano-holes claim 2 , the protrusions are formed in the nano-holes.6. The composite material of claim 2 , wherein protrusions accompany the nano-holes claim 2 , the protrusions are formed at portions of the metal substrate other than the nano-holes and the protrusions.7. The composite material of claim 1 , wherein the titanium alloys are selected from a group consisting of TAD claim 1 , TA0 claim 1 , TA1 claim 1 , TA2 claim 1 , TA3 claim 1 , TA4 claim 1 , TA5 claim 1 , TA6 claim 1 , TA7 claim 1 , TA9 claim 1 , ...

Подробнее
13-05-2010 дата публикации

Metal polishing slurry and polishing method

Номер: US20100120250A1
Принадлежит: Hitachi Chemical Co Ltd

The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs.

Подробнее
02-09-2014 дата публикации

Metal polishing slurry and polishing method

Номер: US8821750B2
Принадлежит: Hitachi Chemical Co Ltd

The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs.

Подробнее
30-07-2008 дата публикации

在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法

Номер: CN101233601A
Принадлежит: Advanced Technology Materials Inc

一种含水金属蚀刻组合物,该组合物用于在互补金属-氧化物-半导体(CMOS)晶体管制造过程中,在通过快速热退火形成金属硅化物后,除去金属例如镍、钴、钛、钨及其合金。该含水金属蚀刻组合物还用于选择性除去金属硅化物和/或金属氮化物,用以晶片再加工。在一种制剂中,所述含水金属蚀刻组合物包含草酸,和含氯化合物,和在另一种配方中,所述组合物包含氧化剂,例如过氧化氢,和氟化物源,例如氟硼酸。在另一种具体制剂中的组合物包含氟硼酸和硼酸,用于有效蚀刻镍、钴、钛、钨、金属合金、金属硅化物和金属氮化物,而不侵蚀电介质和基板。

Подробнее
05-04-2018 дата публикации

Chemical mechanical polishing method for tungsten

Номер: WO2018058397A1

A process for chemical-mechanical polishing a substrate containing tungsten includes the steps of providing a substrate; providing a polishing composition which contains: water, an oxidizing agent, guar gum, a dicarboxylic acid, a source of iron ions, a colloidal silica abrasive and optionally a pH adjusting agent; providing a chemical mechanical polishing pad which has a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate to remove at least some of the tungsten. The process can reduce static corrosion rate and inhibit dishing of the tungsten and erosion of underlying dielectrics.

Подробнее
08-12-2017 дата публикации

一种基于纳米二氧化硅的电路板用蚀刻液

Номер: CN105369250B
Автор: 刘进军
Принадлежит: Chongqing Master Technology Co Ltd

本发明公布了一种基于纳米二氧化硅的电路板用蚀刻液,包括以下重量百分比的组合物:纳米二氧化硅1.5%~2.5%;磷酸铵1.2%~1.6%;草酸0.5%~1.6%;有机盐酸0.5%~1.2%;非离子表面活性剂0.3%~1.5%;稳定剂0.5%~1.2%;消泡剂0.5%~1.6%;其余为蒸馏水。本发明通过在原有工艺的基础上新加入了纳米二氧化硅,不仅能够在不发生抗蚀涂层渗透的情况下进行蚀刻,显著提高铬金属膜的蚀刻速度,具有蚀刻速度可控性,有效抑制抗蚀保护层的劣化,得到表面平坦光滑的铬金属膜配线,具有重要的应用价值。

Подробнее
23-03-2016 дата публикации

一种基于纳米二氧化钛的电路板用蚀刻液

Номер: CN105420729A
Автор: 刘进军

本发明公布了一种基于纳米二氧化钛的电路板用蚀刻液,包括以下重量百分比的组合物:纳米二氧化钛?2.5%~4.5%;三氯化铁?0.5%~1.2%;硝酸?0.5%~1.6%;有机盐酸?2.5%~3.3%;非离子表面活性剂?1.5%~2.3%;稳定剂?0.5%~1.5%;消泡剂?3.5%~5.6%;其余为蒸馏水。本发明通过在原有工艺的基础上新加入了纳米二氧化钛,不仅能够在不发生抗蚀涂层渗透的情况下进行蚀刻,显著提高铬金属膜的蚀刻速度,具有蚀刻速度可控性,有效抑制抗蚀保护层的劣化,得到表面平坦光滑的铬金属膜配线,具有重要的应用价值。

Подробнее
05-05-2020 дата публикации

Chemical mechanical polishing method for tungsten

Номер: US10640682B2

A process for chemical mechanical polishing a substrate containing tungsten is disclosed to reduce static corrosion rate and inhibit dishing of the tungsten and erosion of underlying dielectrics. The process includes providing a substrate; providing a polishing composition, containing, as initial components: water; an oxidizing agent; guar gum; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally a pH adjusting agent; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten (W) is polished away from the substrate, static corrosion rate is reduced, dishing of the tungsten (W) is inhibited as well as erosion of dielectrics underlying the tungsten (W).

Подробнее
15-02-2008 дата публикации

금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법

Номер: KR20080015027A

본 발명은 상보성 금속산화물 반도체 (CMOS) 트랜지스터 제조 동안 빠른 열처리(thermal annealing)를 통한 금속 규화물의 형성 후, 니켈, 코발트, 티타늄, 텅스텐 등의 금속 및 이의 합금의 제거에 유용한 수성 금속 에칭 조성물에 관한 것이다. 상기 수성 금속 에칭 조성물은 웨이퍼 재작업 동안 금속 규화물 및/또는 금속 질화물의 선택적인 제거에 있어서 또한 유용하다. 하나의 배합물에서, 상기 수성 금속 에칭 조성물은 옥살산, 염화물 함유 화합물을 함유하고, 그리고 다른 배합물에서, 상기 조성물은 과산화수소 등의 산화제, 및 불화물 공급원, 예컨대 붕소불화산을 함유한다. 또다른 특정 배합물에서 조성물은 유전체 및 기판을 공격하지(attacking) 않는, 니켈, 코발트, 티타늄, 텅스텐, 금속 합금, 금속 규화물 및 금속 질화물의 효과적인 에칭을 위해 붕소불화산 및 붕산을 함유한다. 금속, 질화물, 규화물, 에칭, 염화물, 불화물, 마이크로전자소자

Подробнее
09-11-2012 дата публикации

Echant and method for fabricating interconnection line and method for fabricating thin film transistor substrate using the same

Номер: KR101199533B1
Принадлежит: 삼성디스플레이 주식회사

식각액, 이를 이용하는 배선 형성 방법 및 박막 트랜지스터 기판의 제조 방법이 제공된다. 몰리브덴/구리/질화몰리브덴 다중막 배선용 식각액은 과산화수소 10중량% 내지 20중량%, 유기산 1중량% 내지 5중량%, 트리아졸계 화합물 0.1중량% 내지 1중량%, 불소 화합물 0.01중량% 내지 0.5중량% 및 잔량의 초순수를 포함한다. An etchant, a method of forming a wiring using the same, and a method of manufacturing a thin film transistor substrate are provided. Molybdenum / copper / molybdenum nitride multi-layer wiring etchant includes 10% to 20% by weight of hydrogen peroxide, 1% to 5% by weight of organic acid, 0.1% to 1% by weight of triazole compound, 0.01% to 0.5% by weight of fluorine compound, and Contains the residual amount of ultrapure water. 박막 트랜지스터, 구리, 몰리브덴, 질화몰리브덴, 식각액 Thin-film transistors, copper, molybdenum, molybdenum nitride, etchant

Подробнее
25-02-2020 дата публикации

Dishing reducing in tungsten chemical mechanical polishing

Номер: US10570313B2
Принадлежит: Versum Materials US LLC

This invention pertains to slurries, methods and systems that can be used in chemical mechanical planarization (CMP) of tungsten containing semiconductor device. Additives are used to reduce the dishing on large and small feature sizes (large bond pad as well as fine line structures) without retarding the tungsten removal rate.

Подробнее
24-01-2004 дата публикации

Etchant and method of fabricating metal wiring and thin film transistor using the same

Номер: KR100415617B1
Автор: 조규철, 채기성, 황용섭
Принадлежит: 엘지.필립스 엘시디 주식회사

본 발명은 카르복시산(Carboxylic Acid)과 카르복시산염 및 아세틸기(CH 3 CO-) 중 어느 하나에 과산화수소(H 2 O 2 )가 함유된 에천트를 이용하여 구리 또는 구리/티타늄 막을 동시에 에칭할 수 있도록 한 에천트와 이를 이용한 금속배선 제조방법 및 박막트랜지스터의 제조방법에 관한 것이다. The present invention can simultaneously etch a copper or copper / titanium film using an etchant containing hydrogen peroxide (H 2 O 2 ) in any one of carboxylic acid, carboxylate, and acetyl group (CH 3 CO-). The present invention relates to an etchant, a method of manufacturing metal wiring using the same, and a method of manufacturing a thin film transistor. 본 발명은 2중 이상의 금속층을 카르복시산(Carboxylic Acid), 카르복시산염 및 아세틸기(CH 3 CO-) 중 어느 하나에 과산화수소(H 2 O 2 )를 혼합한 식각액으로 식각하는 것을 특징으로 한다. The present invention is characterized in that the two or more metal layers are etched with an etchant in which hydrogen peroxide (H 2 O 2 ) is mixed with any one of a carboxylic acid, a carboxylate, and an acetyl group (CH 3 CO—). 이에 따라, 저저항체인 구리를 전극재료로 사용함으로써 전극폭을 줄일 수 있어 고정세 패널의 제작이 가능하다. Accordingly, the electrode width can be reduced by using copper, which is a low resistance body, as the electrode material, and a high-definition panel can be manufactured.

Подробнее
12-09-2014 дата публикации

Compositions and methods for selectively etching titanium nitride

Номер: WO2014138064A1

Semi-aqueous compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten and copper, and insulating materials from a microelectronic device having same thereon. The semi-aqueous compositions contain at least one oxidant, at least one etchant, and at least one organic solvent, may contain various corrosion inhibitors to ensure selectivity.

Подробнее
27-09-2011 дата публикации

Composition for etching a metal hard mask material in semiconductor processing

Номер: US8025811B2
Принадлежит: Intel Corp

An etching solution for a metal hard mask. The etching solution comprises a mixture of a dilute HF (hydrofluoric acid) and a silicon containing precursor. The etching solution also comprises a surfactant agent, a carboxylic acid, and a copper corrosion inhibitor. The etching solution is selectively toward etching the metal hard mask material (e.g., Titanium) while suppressing Tungsten, Copper, oxide dielectric material, and carbon doped oxide.

Подробнее
04-09-2008 дата публикации

Metal polishing liquid and polishing method

Номер: WO2008105342A1
Принадлежит: HITACHI CHEMICAL CO., LTD.

Disclosed is a metal polishing liquid containing abrasive grains, a metal oxide dissolving agent and water. This metal polishing liquid is characterized by containing two or more kinds of abrasive grains having different average secondary particle diameters. Also disclosed is a polishing method using such a metal polishing liquid, which enables to polish an interlayer insulating film at a high polishing rate while ensuring high flatness in the polished surface. This polishing method is suitable for a highly reliable low-cost semiconductor device which is excellent in miniaturization, reduction of film thickness, dimensional accuracy and electrical characteristics.

Подробнее
12-11-2019 дата публикации

Compositions and methods for selectively etching titanium nitride

Номер: US10472567B2
Принадлежит: Entegris Inc

Semi-aqueous compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten and copper, and insulating materials from a microelectronic device having same thereon. The semi-aqueous compositions contain at least one oxidant, at least one etchant, and at least one organic solvent, may contain various corrosion inhibitors to ensure selectivity.

Подробнее
14-07-2014 дата публикации

Metal polishing liquid and polishing method

Номер: KR101418626B1
Принадлежит: 히타치가세이가부시끼가이샤

지립, 산화금속용해제 및 물을 함유한 금속용 연마액으로서, 상기 지립이, 평균 2차 입경이 다른 지립을 2종류 이상 포함하는 것을 특징으로 하는 금속용 연마액을 이용하여, 층간절연막의 연마 속도가 크고, 피연마면의 평탄성이 높은 연마방법을 제공할 수 있다. 또한 그것에 의해, 미세화, 박막화, 치수 정밀도 및 전기특성이 뛰어나고, 신뢰성이 높으며, 저비용의 반도체디바이스에 적합한 연마방법을 제공할 수 있다. A polishing liquid for a metal containing abrasive grains, a metal oxide solubilizing agent and water, wherein the abrasive grains contain two or more types of abrasive grains having different average secondary grain diameters, wherein the polishing rate of the interlayer insulating film And a polishing method with high flatness of the surface to be polished can be provided. Further, it is possible to provide a polishing method suitable for a semiconductor device which is excellent in miniaturization, thinning, dimensional accuracy and electric characteristics, high in reliability, and low in cost.

Подробнее
25-11-2015 дата публикации

金属用研磨液以及研磨方法

Номер: CN101611476B
Принадлежит: Hitachi Chemical Co Ltd

本发明提供一种金属用研磨液,其含有研磨粒、氧化金属溶解剂和水,其特征在于,所述研磨粒包括两种以上平均2次粒径不同的研磨粒,使用该金属用研磨液可以提供层间绝缘膜的研磨速度大,且被研磨面的平坦性高的研磨方法。另外,由此提供一种在微细化、薄膜化、尺寸精度以及电特性上优异,可靠性高,且适于低成本的半导体装置的研磨方法。

Подробнее
01-10-2013 дата публикации

金屬用研磨液以及研磨方法

Номер: TWI410469B
Принадлежит: Hitachi Chemical Co Ltd

Подробнее
11-02-2015 дата публикации

金属用研磨液及其应用

Номер: CN102690607B
Принадлежит: Hitachi Chemical Co Ltd

本发明提供一种金属用研磨液及其应用。所述金属用研磨液含有研磨粒、氧化金属溶解剂、有机溶剂和水,所述研磨粒包括平均2次粒径为5~39nm的第一研磨粒和平均2次粒径为40~300nm的第二研磨粒,所述金属用研磨液的pH为2~5。

Подробнее
22-11-2012 дата публикации

金属用研磨液及び研磨方法

Номер: JP2012231170A
Принадлежит: Hitachi Chemical Co Ltd

【課題】層間絶縁膜の研磨速度が大きく、被研磨面に研磨傷を発生させず、被研磨面の平坦性が高い金属用研磨液を提供する。 【解決手段】砥粒、酸化金属溶解剤及び水を含有した金属用研磨液であって、前記砥粒が、平均2次粒径が異なる砥粒を2種類以上含む。また、前記砥粒の平均2次粒径が1〜1000nmであることを特徴とする金属用研磨液。及び前記砥粒が、平均2次粒径5〜39nmの第一の砥粒と平均2次粒径40〜300nmの第二の砥粒とを含むことを特徴とする金属用研磨液を含む。 【選択図】図1

Подробнее