Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 2898. Отображено 198.
24-04-2003 дата публикации

Maske mit Teilmustern und Belichtungsverfahren unter Verwendung derselben

Номер: DE0069332773D1
Принадлежит: SHARP KK, SHARP K.K., OSAKA

Подробнее
23-09-1976 дата публикации

High resolution photolithographic masters - using ionic bombardment colouring of masked prepared glass

Номер: DE0002509108A1
Принадлежит:

The high resolution mask for the photolithographic process is made by diffusing ions of a colouring metal into the surface layer of a glass. The surface of the glass is then covered with a protective mask pattern, which can be a photo resist layer. The metal ions are then coloured by a process involving a heavy water chemical which activates the ions. The plate is then subjected to heavy ionic bombardment in an atmosphere of an inert gas and oxygen, to reduce the ions. The colouring process is then completed while at the same time the ion bombardment sputters away the protective pattern. A hard wearing, high resolution pattern is then left impregnated in the glass plate.

Подробнее
19-09-1985 дата публикации

Monitoring element for image-transmitting methods, in particular for reproduction engineering and printing engineering

Номер: DE0003409856A1
Принадлежит:

The invention relates to a monitoring element for image-transmitting methods, in particular for reproduction engineering and printing engineering, consisting of a support material (e.g. test film) having wedge-shaped lines and slits. Because of undercutting effects, dimensional changes to the pixels (e.g. raster points) occur during image transmission. These changes in dimension - which have the effect of changing tonal values - can be measured by means of suitable microscopes, but this is very troublesome for production, complicated and time consuming. The monitoring element of the type according to the invention permits simple, rapid, reliable and continuous measurement and evaluation of the changes in dimension of the pixels to be transmitted, as well as of the dissolving power, the scattering behaviour and the exposure latitude of transmission techniques and information receivers. Depending on the type and extent of the undercutting effects, the wedge-shaped lines and slits are reproduced ...

Подробнее
18-05-2006 дата публикации

Verfahren zur Registrierung einer Kennung und Photomaskensatz

Номер: DE0060210381D1
Принадлежит: NEOMAX CO LTD, NEOMAX CO., LTD.

Подробнее
01-10-1970 дата публикации

Schablonier-Kontaktverfahren

Номер: DE0001622385A1
Принадлежит:

Подробнее
07-05-1975 дата публикации

Номер: DE0002333173C2
Принадлежит: H. BERTHOLD AG, 1000 BERLIN

Подробнее
29-10-1986 дата публикации

MASTER FILM PROCESSING ROBOT

Номер: GB0002174215A
Принадлежит:

A master film processing robot forming part of automatic exposure apparatus for multi-exposure is operable to transfer source films between a pallet stocker and a printer and has a hand member 18 which carries an optical sensor array 21 which reads data marks carried by the source film to control the operation of the robot. The hand member 18 has suction pads 19 arranged in circuits B, R, G which define nested areas according to standard film sizes. ...

Подробнее
10-09-1926 дата публикации

Method of lithographic printing

Номер: GB0000258001A
Автор:
Принадлежит:

... 258,001. Grass, W. June 10, 1925. Type composing.- Types having white faces on a black ground are set up progressively and a number of lines of the set-up matter are photographed at intervals on a movable film. The negatives so obtained are employed for printing on the colloid film of a grained zinc or other metal plate, which is subsequently washed, etched, inked, and treated for removal of the film, to produce the design in or slightly below the grain of the surface. The types 2, Fig. 2, are hung on slats 7 carried by endless belts or chains 3 advanced as desired by a crankhandle 6. At intervals the set-up matter is photographed on to a stripping film 9 which is passed through a developing tank 11. Stripped pieces of the developed film are assembled between two translucent sheets of paper to complete a page of matter in a form convenient for storage.

Подробнее
15-03-2011 дата публикации

EXPOSURE MASKS, AND METHODS FOR THE PRODUCTION OF MASKS

Номер: AT0000500535T
Принадлежит:

Подробнее
15-04-2009 дата публикации

LITHOGRAPHIC PROCEDURE FOR THE WIRING OF ONE A SIDEUPPERFLAT SUBSTRATE

Номер: AT0000426837T
Принадлежит:

Подробнее
15-06-2006 дата публикации

DIRECTION-CONTROLLED SCREEN FOR USE WITH DIPOLE EXPOSURE

Номер: AT0000328303T
Принадлежит:

Подробнее
19-04-2004 дата публикации

Lithographic method for wiring a side surface of a substrate

Номер: AU2003263530A8
Принадлежит:

Подробнее
29-05-2000 дата публикации

Exposure method and exposure apparatus

Номер: AU0001078700A
Принадлежит:

Подробнее
19-07-1989 дата публикации

METHOD AND APPARATUS FOR GENERATING HIGH-RESOLUTION IMAGES

Номер: AU0002947489A
Принадлежит:

Подробнее
23-06-2011 дата публикации

METHOD AND DEVICE FOR PRODUCING MASKS FOR A LASER INSTALLATION FOR THE PRODUCTION OF MICROSTRUCTURES

Номер: CA0002784623A1
Принадлежит:

In the method for producing masks and/or diaphragms for a laser installation for the creation of microstructures on a solid body surface according to the mask projection technique, predetermined opaque surface portions which scatter the laser radiation are produced in the mask and/or diaphragm substrate by roughening and modifying the latter by means of a femtosecond, picosecond or fluor laser beam. Such masks and diaphragms have a strongly improved lifetime and accuracy and may e.g. serve for the creation of blazed gratings which, arranged in diffraction grating arrays on a solid body surface, serve for producing spectral colours and mixed colours of high brilliance.

Подробнее
11-01-1994 дата публикации

LIGHTSAFE MASKING FILM

Номер: CA0001325927C
Принадлежит: SOMAR CORP, SOMAR CORPORATION

LIGHTSAFE MASKING FILM A lightsafe masking film is disclosed which comprises a substrate, a pressure sensitive adhesive layer formed-on the substrate, and a lightsafe, peelable layer formed on the adhesive layer and including a dialkyl phthalate, nitrocellulose, a lightsafe colorant and, optionally, a filler and a nitrile rubber. The peelable layer further suitably contains a dialkyl ester of an aliphatic dicarboxylic acid.

Подробнее
30-11-1955 дата публикации

Procédé lithographique.

Номер: CH0000311253A
Принадлежит: SCHENK WILLIAM, SCHENK,WILLIAM

Подробнее
31-01-1970 дата публикации

Procédé de reproduction d'un original sur un support

Номер: CH0000485255A
Принадлежит: BASSAN MAURICE, BASSAN,MAURICE

Подробнее
15-10-1974 дата публикации

VORRICHTUNG ZUR KONTROLLE DER BILDQUALITAET EINES IN EINEM REPRODUKTIONSVERFAHREN ZU VERARBEITENDEN BILDES.

Номер: CH0000554751A
Автор:
Принадлежит: BRUNNER FELIX, BRUNNER, FELIX

Подробнее
14-10-1977 дата публикации

Voice print prodn. as patterned templates - by generating a reproducible timbre and analysing to produce an image corresp. to the amplitude and intensity

Номер: CH0000591959A5
Автор:

Templates for prodn. of patterns on substrates are produced by (a) generating a timbre or a mixture of tones; (b) storing the reproducible timbre or mixture of tones; (c) forming, with the aid of a timbre analyser, a timbre image corresp. to the tone amplitude and intensity; and (d) converting the image into a template. Templates are used for printing patterns on textile or paper webs or foils, comparing and identifying voice patterns in criminal investigations and producing voice prints of authenticated voiced names.

Подробнее
30-09-1988 дата публикации

Mask for printed circuit prodn. - has circular aperture with screens of different density

Номер: CH0000667334A5
Автор: CERF ROLAND, CERF, ROLAND

The mask is for use esp. in the prodn. of printed circuits to trace well-defined tracks by placing it between an actinic ray source and a light-sensitive layer. It has an aperture which is pref. circular in shape with its interior formed by at least one transparent zone. It has a screen formed by opaque elements disposed so as to limit the transmission of the rays. The zone can be formed by two concentric sections with screens of different densities (3,4). The inner screen can have a density greater than that of the one, with the inner one in the shape of a disc and the outer one an annulus. USE/ADVANTAGE - Mask for photogrpahic prodn. of printed circuits gives more uniform illumination of circuit tracks.

Подробнее
11-01-2012 дата публикации

Optical mask employed for manufacturing array substrate, array substrate and method for manufacturing the same

Номер: CN0101206393B
Принадлежит:

The invention provides wiring, which can form or disconnect freely the adjacent exposure regions by employing the same optical mask under a condition that a plurality of array substrates are produced on one mother glass substrate; and an optical mask, which can be inspected by utilizing the same probe device for inspecting even though under a condition that the same mother glass substrate is usedto produce the array substrates with different sizes; array substrates; and the manufacture method of the same. The solution means is: the above optical mask is formed to allow: the wiring in upper section at up and down direction is formed in the upper end section of the array substrate, and the wiring in lower section at up and down direction is formed in the lower end section; and a 'U shape turning section towards the boundary, which is turned in a U shape to the boundary at the lower end side of said array substrate, is formed, and a 'U shape turning section towards the center', which isturned ...

Подробнее
10-04-1987 дата публикации

GENERATEUR DE MODELES EN FORME DE TRAMES

Номер: FR0002588391A
Принадлежит:

CET APPAREIL DELIVRANT DES MODELES EN FORME DE TRAMES A PARTIR DE DONNEES REPRESENTANT DES FIGURES GEOMETRIQUES COMPREND PLUSIEURS GENERATEURS D'IMAGES COMPORTANT CHACUN UNE PREMIERE MEMOIRE 44 MEMORISANT DES DONNEES, UNE SECONDE MEMOIRE D'ELEMENTS D'IMAGE 46, DES MOYENS 56, 60 SERVANT A PRODUIRE DES REPRESENTATIONS DESDITES FIGURES SOUS FORME D'ELEMENTS D'IMAGE, DESTINEES A ETRE MEMORISEES DANS 46, DES MOYENS DE BALAYAGE 66, 67 SERVANT A LIRE LA SECONDE MEMOIRE 46 SELON UN BALAYAGE DE TRAME, ET DES MOYENS 53, 70 DE COMMANDE DES MEMOIRES DE MANIERE A OBTENIR LA DELIVRANCE DE REPRESENTATIONS SOUS FORME DE TRAMES D'ELEMENTS D'IMAGE DES FIGURES REPRESENTANT UN MODELE A PRODUIRE SUR UNE PIECE A TRAITER. APPLICATION NOTAMMENT A LA FABRICATION DES CIRCUITS INTEGRES.

Подробнее
24-09-1954 дата публикации

Production of portraits, in particular of comic portraits

Номер: FR0001073383A
Автор:
Принадлежит:

Подробнее
22-12-2017 дата публикации

SYSTEM AND METHOD FOR PRODUCING AN OPTICAL MASK FOR SURFACE TREATMENT, INSTALLATION AND SURFACE TREATMENT METHOD

Номер: FR0003052879A1

La présente invention concerne un système (2) de réalisation d'un masque optique (35) pour traitement de surface, notamment pour micro-texturation de surface, le système (2) comprenant : une couche de matière (20) comportant une surface externe (21) exposée à l'environnement extérieur ; et un dispositif de génération et dépôt de gouttelettes (30) sur la surface externe (21) de la couche de matière (20), selon un agencement (31) particulier, formant le masque optique (35) sur la surface externe (21) de la couche de matière (20). L'invention concerne également une installation de traitement comprenant un tel système (2). L'invention concerne également une méthode de réalisation d'un masque et une méthode de traitement de surface.

Подробнее
25-04-1975 дата публикации

APPARATUS FOR PRODUCING GRAPHICAL DATA DESCRIPTIVE OF AN INTEGRATED CIRCUIT DESIGN

Номер: FR0002245984A1
Автор:
Принадлежит:

Подробнее
06-01-2005 дата публикации

EXPOSURE METHOD FOR FORMING A PATTERN OF IC CHIP ON RETICLE USING MASTER MASK

Номер: KR0100464740B1
Автор:
Принадлежит:

Подробнее
16-04-2018 дата публикации

템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법

Номер: KR0101849500B1

... 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법은, 기판 위에 형성된 포토레지스트 또는 무정형 탄소로 구성된 템플레이트을 제공하는 공정과, 화학식 SixM(1-x)Oy [여기서, M은 하나 이상의 금속 원소를 나타내고, x는 0을 포함하는 1 미만이며, y는 대략 2이거나 화학양론적으로 측정된 수이다]을 갖는 물질로 구성된 템플레이트 위에 금속 산화물 하드마스크를 원자층 증착(ALD)으로 증착시키는 공정을 포함한다.

Подробнее
25-08-1994 дата публикации

Номер: KR19940007790B1
Автор:
Принадлежит:

Подробнее
10-10-2005 дата публикации

Photo mask set for forming multi-layered interconnection lines and semiconductor device fabricated using the same

Номер: KR0100519795B1
Автор:
Принадлежит:

Подробнее
21-01-2010 дата публикации

Mask for manufacturing TFT, TFT and manufacturing thereof

Номер: KR0100938193B1
Автор:
Принадлежит:

Подробнее
14-03-2016 дата публикации

METHOD FOR SEPARATING LAYOUT OF SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING SAME

Номер: KR1020160028791A
Принадлежит:

The present invention relates to a method for separating a layout of a semiconductor device. The method includes the following steps: determining a polygon having a plurality of intersections, wherein two or more lines intersect each other, among polygons included in a layout of a semiconductor device as a two-dimensional polygon; generating a first stitch between the intersections included in the two-dimensional polygon on the two-dimensional polygon; and generating a plurality of separate patterns by performing a pattern separating operation for the layout, thereby reducing a difference in pattern density between the separate patterns. COPYRIGHT KIPO 2016 (AA) Start (BB) End (S110) Determining a two-dimensional polygon among polygons included in a layout (S120) Generating a first stitch between the intersections included in the two-dimensional polygon (S400) Generating a plurality of separation patterns by performing a pattern separation operation for the layout ...

Подробнее
19-11-2014 дата публикации

Номер: KR1020140133339A
Автор:
Принадлежит:

Подробнее
11-06-2013 дата публикации

MASK

Номер: KR1020130061657A
Автор:
Принадлежит:

Подробнее
01-11-2009 дата публикации

Method of forming mask for lithography, method of forming mask data for lithography, method of manufacturing back-illuminated solid-state imaging device, back-illuminated solid-state imaging device and electronic device

Номер: TW0200945414A
Принадлежит:

A method of forming a mask for lithography includes the step of forming the mask by using reverse data in which positions of at least part of output terminals are reversed, when forming the mask for lithography used for manufacturing a back-illuminated solid-state imaging device which takes incident light from the side of a surface on which wiring of a device region in which photoelectric conversion elements are formed is formed.

Подробнее
16-07-2013 дата публикации

Deposition mask and manufacturing method of the same

Номер: TW0201329258A
Принадлежит:

A deposition mask includes a mask main body and a coating layer. The mask main body includes a plurality of slits penetrating the mask main body. The coating layer is coated on an entire surface of the mask main body. The coating layer is made of a material different from a material of the main body, and it has a magnetic force stronger than that of the main body. Each of the slits has an open area, and a thickness of the coating layer controls a width of the open area. A photolithography process is used to form the plurality of slits.

Подробнее
01-03-2010 дата публикации

Hybrid multi-layer mask

Номер: TW0201009518A
Принадлежит:

A hybrid mask set for exposing a plurality of layers on a semiconductor substrate to create an integrated circuit device is disclosed. The hybrid mask set includes a first group of one or more multi-layer masks (MLMs) for a first subset of the plurality of layers. Each MLM includes a plurality of different images for different layers, the images being separated by a relatively wide image spacer. The hybrid mask set also includes a first group of one or more production-ready masks for a second subset of the plurality of layers. Each production-ready mask includes a plurality of similar images for a common layer, each image being separated by a relatively narrow scribe street.

Подробнее
02-05-1985 дата публикации

Method of producing offset film to print street plan - uses superimposed heavy negative and lean positive obtained via taped transparent carrier

Номер: BE901484A
Автор:
Принадлежит:

The process is intended for producing an offset film (6) e.g. for printing a street plan or a map. Details are produced by fixing opaque lithographic tape (2) on a transparent carrier (1). This carrier is placed on a photo-sensitive film and given a strong light exposure to produce a dense negative (3). - The negative is placed on a photo-sensitive film and given a weak light exposure to produce a high key positive (4). The negative (3) and positive (4) are superimposed and thus used for photographic production of the film (6) in the usual manner to only show the contours (5). This is due to the strong exposure producing images being slightly wider than the tape. The thickness of the contour lines (5) may be controlled by interposing one or more transparent layers (7) between the negative (3) and positive (4) when exposing to produce the film (6).

Подробнее
01-01-2002 дата публикации

Production of integrated circuit

Номер: TW0000471089B
Автор:
Принадлежит:

The integrated circuit (IC) has many first structure (SI) which are generated in many wiring-planes (M1, M2, P) by means of exposure-masks (MSKi), said 1st structures (S1) are used to realize the functions expected by the user of the circuit. In addition, it has many 2nd structures (S2) which are generated in many wiring-planes by means of exposure-masks (MSKi), said 2nd structure (S2) are not used to realize the specific function, on the contrary it is used to check the relationship of the used exposure-masks with a common mask-set (MSKS).

Подробнее
02-10-2014 дата публикации

PHOTO-IRRADIATION DEVICE

Номер: WO2014156628A1
Принадлежит:

The purpose of the present invention is to provide a photo-irradiation device which allows for a substantially constant distance between the light emission surface of a light transmission window and the surface of an object to be processed, and which is capable of uniformly processing the object to be processed. The photo-irradiation device comprising an ultraviolet emission lamp for irradiating an object to be processed placed in an oxygen atmosphere with vacuum-ultraviolet light, and a light transmission window disposed between the object to be processed and the ultraviolet emission lamp for transmitting the vacuum-ultraviolet light from the ultraviolet emission lamp, wherein the light transmission window is disposed to press the object to be processed with a spacer for pressing an object to be processed, thus creating a gap that establishes a constant distance between the light emission surface of the light transmission window and the surface of the object to be processed.

Подробнее
03-11-1953 дата публикации

Номер: US0002657467A1
Автор:
Принадлежит:

Подробнее
05-08-2014 дата публикации

Hardmask

Номер: US0008795774B2

Compositions containing certain organometallic oligomers suitable for use as spin-on, metal hardmasks are provided, where such compositions can be tailored to provide a metal oxide hardmask having a range of etch selectivity. Also provided are methods of depositing metal oxide hardmasks using the present compositions.

Подробнее
01-07-1958 дата публикации

Номер: US0002841493A1
Автор:
Принадлежит:

Подробнее
26-03-1974 дата публикации

GRAPHIC ARTS PROCESS SIMULATOR MODIFIED FOR LETTERPRESS SIMULATION

Номер: US0003800075A1
Автор:
Принадлежит: HAZELTINE CORP.

Подробнее
22-10-1991 дата публикации

REFLECTION-PREVENTIVE PELLICLE FILM AND PROCESS FOR PREPARATION THEREOF

Номер: US5059451A
Автор:
Принадлежит:

Подробнее
07-04-1914 дата публикации

PRODUCTION OF PRINTING-SURFACES WITH RELIEF EFFECT.

Номер: US1092239A
Автор:
Принадлежит:

Подробнее
02-03-1993 дата публикации

Mask control system

Номер: US0005191535A
Автор:
Принадлежит:

A mask control system for a semiconductor production photo engraving process (PEP step), comprising a controller including lot selecting means for selecting a lot from wafer lots to be withdrawn from a step preceding the PEP step, and wafer lots to be processing by the PEP step, and mask searching means for searching a mask corresponding to the lot selected by the lot selecting means; and a output unit for causing the controller to detect locations of mask corresponding to selected lots, and for designating a washing order for the mask detected by the controller when the masks are in a washing step. With the preferred embodiment of the invention, selection of a wafer lot and search of a mask are automatically performed, and washing of masks is carried out according to priority, thereby shortening the time required for the PEP.

Подробнее
21-02-1933 дата публикации

Art of photo-engraving

Номер: US1898798A
Автор:
Принадлежит:

Подробнее
17-09-2002 дата публикации

Method for forming photoresist mask

Номер: US0006451511B1
Автор: Yuji Asanuma, ASANUMA YUJI
Принадлежит: TDK Corporation, TDK CORP, TDK CORPORATION

Multiple exposure of a photoresist layer having an exposure depth depending upon the amount of exposure energy applied are executed at different respective exposure energy amounts through a plurality of respective photomasks with different respective opening patterns. The photoresist layer is then processed for image reversal.

Подробнее
06-02-2014 дата публикации

SELF-POLARIZED MASK AND SELF-POLARIZED MASK APPLICATION

Номер: US20140038089A1
Принадлежит: GLOBALFOUNDRIES Inc.

A self-polarized mask is provided including a transparent substrate, first and second layers of polarization material consecutively provided on the transparent substrate and polarized in a first and a second direction, respectively. A first region is provided that extends in the first direction and contains only the first layer and no second layer, a second region is provided that extends in the second direction and contains only the second layer and no first layer. Embodiments include exposing a photoresist to light through the mask such that light polarized in the first direction passes through the mask in the first region to expose a first-directional region of the photoresist layer used to form a first-directional semiconductor device structure, and light polarized in the second direction passes through the mask in the second region to expose a second-directional region of the photoresist layer used to form a second-directional semiconductor device structure.

Подробнее
21-04-2009 дата публикации

Methods of forming semiconductor constructions having lines

Номер: US0007521371B2
Автор: Lee DeBruler, DEBRULER LEE

In some embodiments, an opening is formed through a first material, and sidewall topography of the opening is utilized to form a pair of separate anisotropically etched spacers. The spacers are utilized to pattern lines in material underlying the spacers. Some embodiments include constructions having one or more openings which contain steep sidewalls joining to one another at shallow sidewall regions. The constructions may also contain lines along and directly against the steep sidewalls, and spaced from one another by gaps along the shallow sidewall regions.

Подробнее
20-10-2009 дата публикации

Multi-exposure semiconductor fabrication mask sets and methods of fabricating such multi-exposure mask sets

Номер: US0007604907B2

Mask sets are provided which may be used to define a first pattern region that has a first pitch pattern and a second pattern region that has a second pitch pattern during the fabrication of a semiconductor device. These mask sets may include a first mask that has a first exposure region in which a first halftone pattern defines the first pattern region and a first screen region in which a first shield layer covers the second pattern region. These mask sets may further include a second mask that has a second exposure region in which a second halftone pattern defines the second pattern region and a second screen region in which a second shield layer covers the first pattern region. The second shield layer also extends from the second screen region to cover a portion of the second halftone pattern.

Подробнее
09-12-2004 дата публикации

Exposure mask and pattern exposure method

Номер: US2004248020A1
Автор:
Принадлежит:

An exposure mask which is capable of reducing non-uniformity in display by a liquid crystal display device. A mask pattern having pattern-forming portions and shield portions mosaically arranged therein is formed in one end portion of an exposure mask, and a mask pattern having pattern-forming portions and shield portions arranged in a manner complementary to the first-mentioned mask pattern is formed the other-end portion of the eposure mask. Further, the exposure mask is formed such that areas between vertically or laterally adjacent ones of the shield portions in mosaic areas where the pattern-forming portions and the shield portions are mosaically arranged are also shielded.

Подробнее
27-03-2014 дата публикации

Manufacturing Method of Transparent Electrode and Mask Thereof

Номер: US20140087290A1

The present invention provides a manufacturing method of transparent electrode and mask thereof. The method includes: forming a film on a glass substrate, and coating photo-resist on film; irradiating photo-resist through mask, wherein the mask at corresponding active area of liquid crystal panel forming, from outer area to inner area, at least a first area and a second area, gap of pattern corresponding to transparent electrode in first area being first gap, gap of pattern in second area being second gap, first gap being greater than corresponding default gap, difference between first gap and corresponding default gap being greater than difference between second gap and corresponding default gap: and performing photolithography and etching processes on substrate after exposure to form transparent electrodes on substrate. As such, the present invention can reduce gap errors of formed transparent electrodes in entire active area to improve display effect.

Подробнее
12-07-2016 дата публикации

Method of determining whether a layout is colorable

Номер: US0009390223B2

A method of determining whether a layout is colorable includes assigning nodes to polygon features of the layout. The method includes designating nodes as being adjacent nodes for nodes separated by less than a minimum pitch. The method includes iteratively removing nodes having less than three adjacent nodes from consideration to identify a node arrangement, wherein all nodes in the node arrangement have at least three adjacent nodes. The method includes determining whether the layout is colorable based on the node arrangement. Determining whether the layout is colorable includes independently assessing each internal node of node arrangement to determine whether each internal node of the node arrangement is colorable. The method includes generating a colored layout design for fabrication of the semiconductor device if each internal node of the node arrangement is colorable; and modifying the layout if at least one internal node of the node arrangement is not colorable.

Подробнее
24-10-2017 дата публикации

Mask plate, color filter substrate and method for fabricating the same, display panel and display device

Номер: US0009798053B2

A mask plate, a color filter substrate and a method for fabricating the same, a display panel and display device are disclosed. The mask plate includes a light transmitting region having at least two light transmittance levels, wherein the light transmittance level of the light transmitting region corresponding to a black matrix is configured to be increased from a central region of the mask plate to a peripheral region of the mask plate.

Подробнее
04-05-2010 дата публикации

LCD device including a reflection film having a convex-concave surface

Номер: US0007710521B2

An LCD device includes a reflective area in each pixel. A reflection film having a convex-concave surface is provided in the reflective area, film in cross section configuration is formed. Each pixel includes a pixel electrode and a common electrode for applying a lateral electric field on a LC layer. The inclination angle of the reflection film has an inclination angle distribution, wherein the angle component in an area corresponding to the electrodes has a lower angle distribution than the angle components in an area corresponding to a gap between adjacent two of the electrodes.

Подробнее
24-04-2007 дата публикации

Semiconductor device and manufacturing method thereof

Номер: US0007208788B2

A semiconductor device and a manufacturing method thereof in which the peripheral length of an aperture and the mechanical strength of cylinders in a cell can be increased without changing the occupation rate of patterns in the cell. By forming a slit in the middle of each mask pattern so as not to expose parts of wafer, the aperture of the wafer becomes nearly cocoon-shaped with a constriction in the middle. Thereby, the peripheral length of the aperture can be increased without changing the occupation rate of the mask patterns in a cell. Further, the shape of the bottom of the aperture also becomes nearly cocoon-shaped with a constriction in the middle, and therefore it is possible to increase the mechanical strength of cylinders.

Подробнее
16-05-1944 дата публикации

Method of simplifying animation

Номер: US2348983A
Автор:
Принадлежит:

Подробнее
12-03-2015 дата публикации

ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND PHOTOMASK FOR MANUFACTURING THE SAME

Номер: US20150069348A1
Принадлежит:

A semiconductor device includes a semiconductor layer over a substrate. The semiconductor layer changes direction at least twice and has at least two different widths in the same plane. The length of a current path through the semiconductor layer is greater than a shortest path through the semiconductor layer in the same plane. 1. A display apparatus comprising:a switching thin-film transistor (TFT) on a substrate and connected to a scan line and a data line;a driving TFT connected to the switching TFT; anda display device connected to the driving TFT, wherein the driving TFT includes a driving semiconductor layer which includes:a first region extending in a first direction;a first curved portion curved at an end of the first region and which changes direction;a second region extending in a second direction and having an end connected to the first curved portion;a third region extending in the second direction;a second curved portion curved at an end of the third region and which changes direction;a fourth region extending in the first direction and having an end connected to the second curved portion; anda connecting region to connect the second and third regions, and wherein widths of the second and third regions are narrower than widths of the first and fourth regions.2. The apparatus as claimed in claim 1 , wherein the widths of the first and fourth regions are substantially equal.3. The apparatus as claimed in claim 1 , wherein the widths of the second and third regions are substantially equal.4. The apparatus as claimed in claim 1 , wherein the connecting region includes a region extending in the first direction.5. The apparatus as claimed in claim 1 , wherein the connecting region extends to form an obtuse angle relative to each of the second and third regions.6. The apparatus as claimed in claim 1 , wherein:each of the first and second curved portions includes an inner corner corresponding to an outer corner, anda radius of curvature of the outer corner is ...

Подробнее
04-12-2014 дата публикации

Integrated Circuits and Methods of Design and Manufacture Thereof

Номер: US20140353757A1
Принадлежит:

Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.

Подробнее
20-05-2021 дата публикации

SIMULATION APPARATUS, SIMULATION METHOD, AND STORAGE MEDIUM

Номер: US20210150114A1
Принадлежит:

A simulation apparatus includes: a factor amount converting information storage unit in which factor amount converting information, which is information indicating correspondence between low-fidelity information and high-fidelity information, is stored; a writing pattern information storage unit in which writing pattern information is stored; an ADI simulation unit that performs an ADI simulation using one or more evaluation points, for a writing pattern indicated by the writing pattern information, thereby acquiring one or more factor amounts; a converting unit that acquires high-fidelity information, which is one or more factor amounts, corresponding to the low-fidelity information, which is one or more factor amounts, using the factor amount converting information; and an etching simulation unit that performs an etching simulation using the one or more factor amounts acquired by the converting unit.

Подробнее
19-03-2024 дата публикации

Photolithography mask and photolithography system comprising said photolithography mask

Номер: US0011934091B1
Автор: Richard Beaudry
Принадлежит: TECHNOLOGIES DIGITHO INC.

A photolithography mask (10) is provided, said photolithography mask (10) including a plate (15) or an empty frame matrix, a surface of the plate (15) or empty frame matrix including an array of micro-pixels (20), wherein each micro-pixel (20) is independently controllable using an on-board micro-controller (25) in such a manner that a pattern can be generated with the array of micro-pixels (20).

Подробнее
20-06-1988 дата публикации

Номер: JP0063030620B2
Принадлежит:

Подробнее
12-01-1988 дата публикации

TYPESETTING SYSTEM

Номер: JP0063006555A
Принадлежит:

PURPOSE: To input and display the numerical value of a set unit by storing a designated unit in a unit store buffer, and executing a numerical value conversion between its designated unit and a system unit by a numerical value converting part. CONSTITUTION: A designated unit is inputted from an input device 1, and stored in a unit store buffer 14. Subsequently, a numerical value conversion between the designated unit and a unit used by a system is executed by a numerical value converting part 15, and a decoded data is stored in a command decoded data store part 5. In accordance with the data of its store part 5, a forme command is generated by a typesetting command processing part 6. In accordance with its command, an area for executing the typesetting of an original data is determined by the area generating part 8 of a processing executing part 7, the data is analyzed by a data analyzing part 10, and a typesetting data is generated. Subsequently, the typesetting data is displayed on a ...

Подробнее
27-09-2014 дата публикации

МАСКА ДЛЯ БЛИЖНЕПОЛЬНОЙ ЛИТОГРАФИИ И ЕЕ ИЗГОТОВЛЕНИЕ

Номер: RU2013112860A
Принадлежит:

... 1. Способ формирования снабженной нанорисунком цилиндрической фотомаски, содержащий:формирование рисунка шаблона на подложке шаблона, причем рисунок шаблона включает в себя элементы размером в диапазоне от примерно 1 нанометра до примерно 100 микрон;формирование слоя эластомерного материала на поверхности прозрачного цилиндра;перевод рисунка шаблона с шаблона в слой эластомерного материала на поверхности цилиндра.2. Способ по п.1, при этом подложка шаблона является плоской жесткой подложкой.3. Способ по п.1, при этом подложка шаблона выполнена из гибкого материала.4. Способ по п.1, при этом перевод рисунка шаблона с шаблона в слой эластомерного материала на поверхности цилиндра включает в себя наноимпринтную литографию «с пластины на цилиндр», оптическую литографию в стандартной контактной или ближнепольной конфигурациях, литографию со связыванием и отсоединением или переводную литографию, микроконтактную печать, нанопереводную печать и интерференционную литографию со сканирующим лучом.5 ...

Подробнее
04-07-1974 дата публикации

Номер: DE0001797023B2
Автор:
Принадлежит: TETZNER, WOLFGANG, 7000 STUTTGART

Подробнее
04-01-2007 дата публикации

Maske für die Herstellung integrierter Schaltungen

Номер: DE0019825043B4
Принадлежит: LG SEMICON CO LTD, LG SEMICON CO. LTD.

Maske mit - einem durchlässigen Substrat (31), - einem ersten auf dem durchlässigen Substrat (31) ausgebildeten Lichtabschirmungs-Linienmuster (32) mit langgestreckter Form und - zweiten und dritten Lichtabschirmungs-Linienmustern (33, 34) mit rechtwinklig zur langen Seite (D31) des ersten Lichtabschirmungs-Linienmusters (32) langgestreckter Form, die von der langen Seite (D31) des ersten Lichtabschirmungs-Linienmusters (32) beabstandet sind und eine Breite aufweisen, die kleiner als die Breite von Randabschnitten des ersten Lichtabschirmungs-Linienmusters (32) ist, - wobei zwischen dem zweiten und dritten Lichtabschirmungs-Linienmuster (33, 34) ein Zwischenraum (36) rechtwinklig zur langen Seite (D31) des ersten Lichtabschirmungs-Linienmusters (32) ausgebildet ist und - wobei in der langen Seite des ersten Lichtabschirmungs-Linienmusters (32) - ein konkaver Bereich (39) ausgebildet ist, der dem Zwischenraum (36) zwischen dem zweiten und dritten Lichtabschirmungs-Linienmuster (33, 34) zugewandt ...

Подробнее
14-06-2000 дата публикации

Process for forming photoresist pattern by top surface imaging process

Номер: GB0000010359D0
Автор:
Принадлежит:

Подробнее
26-02-1986 дата публикации

MODIFYING ARTWORK MASTERS FOR PRINTED CIRCUIT BOARDS

Номер: GB0002163561A
Принадлежит:

Printed circuit board artwork masters may need modifying - for example, in order to make minute changes to track or gap dimensions. This can be done in a number of ways using the original master as an object to form a modified image on a fresh photographic emulsion, but all the known ways suffer from slight but significant disadvantages. The invention proposes a new approach to the known method in which a copy of the mask is prepared photographically by light irradiation through the mask onto a spaced photosensitive emulsion, in which new approach the source of irradiating light is a ring source, preferably one composed of a multiplicity of individual specular "point" sources. ...

Подробнее
14-10-1998 дата публикации

A method for preparing masks for use in the manufacture of a semi-conductor IC wafer,and a combination of masks

Номер: GB0009818216D0
Автор:
Принадлежит:

Подробнее
15-04-2019 дата публикации

Method for applying a liquid or paste on a surface microstructured

Номер: AT0000518004A3
Принадлежит:

Die Erfindung betrifft ein Verfahren zum mikro-strukturierten Aufbringen einer Flüssigkeit oder Paste auf eine Oberfläche mit den Schritten (A) Bereitstellen eines Substrats (10,50) mit einer Oberfläche (100) (B) Beschichten der Oberfläche (100) mit einer Antihaftschicht (200) (C) Wenigstens teilweises Entfernen der Antihaftschicht (200) und erzeugen eines Beschichtungsbereichs (250) (D) Aufbringen wenigstens eines Flüssigkeitstropfens oder Pastentropfens (300) auf die Oberfläche (100) in dem Beschichtungsbereich (250) ...

Подробнее
25-04-1973 дата публикации

Procedure for manufacturing a photomask for the production circuits printed by surface samples, in particular

Номер: AT0000306829B
Автор:
Принадлежит:

Подробнее
20-11-2014 дата публикации

Fast generation of elements with individually patterned anisotropy

Номер: AU2013269942A1
Принадлежит:

The present invention relates to an apparatus which allows producing elements with individually patterned anisotropic properties, where the pattern may vary from element to element. An apparatus according to the invention comprises a support for a substrate and an exposure unit for providing spatially modulated aligning light with a first polarization plane, wherein the exposure unit contains a light source, a spatial light modulator, which can be controlled electronically, for example by a computer, and a projection lens. The present invention furthermore relates to a method for fast production of elements with individually patterned anisotropic properties using such an apparatus.

Подробнее
27-12-2012 дата публикации

METHOD FOR ACTIVATING COLORANT ASSOCIATED WITH AN ARTICLE

Номер: CA0002840320A1
Принадлежит:

Methods for activating colorant in selected regions of an article in which the colorant is incorporated are described. The colorant activation can create various desired visual aspects. Energy is directed to the article through the open area of a mask, while the article is moved and the mask is stationary.

Подробнее
21-09-1999 дата публикации

MASKS WITH LOW STRESS MULTILAYER FILMS AND A PROCESS FOR CONTROLLING THE STRESS OF MULTILAYER FILMS

Номер: CA0002159848C
Принадлежит:

A process for controlling the stress of multilayer films formed on a substrate is disclosed. A plurality of periods, each period having at least two layers of material wherein one of the layers of material is under compressive stress and the other layer of material is under tensile stress, are formed in a substrate. The stress in the multilayer film is controlled by selecting a thickness for the layer under compressive stress and a thickness for the layer under tensile stress that will provide a multilayer film of the desired stress. The thickness of each layer is about 0.5 nm to about 10 nm. Multilayer films with a stress of about -50 MPa to about 50 MPa are obtained using the present process. The present invention is also directed to masks with such multilayer films.

Подробнее
06-11-2018 дата публикации

Mask, exposure method and touch panel

Номер: CN0108761995A
Принадлежит:

Подробнее
11-08-2017 дата публикации

METHOD FOR THE MICRO-STRUCTURED APPLICATION OF A FLUID OR PASTE ONTO A SURFACE

Номер: CN0107029959A
Принадлежит:

Подробнее
12-03-2014 дата публикации

Patterning single integrated circuit layer using automatically-generated masks and multiple masking layers

Номер: CN102160144B
Автор: LIU TSU-JAE KING
Принадлежит:

Подробнее
16-02-2012 дата публикации

Intensity selective exposure photomask

Номер: US20120040278A1

An intensity selective exposure photomask, also describes as a gradated photomask, is provided. The photomask includes a first region including a first array of sub-resolution features. The first region blocks a first percentage of the incident radiation. The photomask also includes a second region including a second array of sub-resolution features. The second region blocks a second percentage of the incident radiation different that the first percentage.

Подробнее
23-02-2012 дата публикации

Purgign apparatus and purging method

Номер: US20120042988A1
Принадлежит: Murata Machinery Ltd

A pod opener is an apparatus for purging the inside of a reticle pod having a pod cover and an openable bottom lid by supplying and discharging a clean gas to and from the inside of the reticle pod. The pod opener includes a stage, an elevator driving mechanism, a latch mechanism, and a supplying-discharging section. The stage can attach and remove the bottom lid to and from the pod cover. The elevator driving mechanism can move the stage. The latch mechanism serves to move a latch member that locks the bottom lid to the pod cover such that it cannot be detached and to release the locked state of the bottom lid. The supplying-discharging section starts purging before the latch member has completed an operation of locking the bottom lid to the pod cover.

Подробнее
15-03-2012 дата публикации

Technique for Repairing a Reflective Photo-Mask

Номер: US20120066651A1
Принадлежит: Luminescent Technologies Inc

During a calculation technique, a modification to a reflective photo-mask is calculated. In particular, using information associated with different types of analysis techniques a group of one or more potential defects in the reflective photo-mask is determined. Then, the modification to the reflective photo-mask is calculated based on at least a subset of the group of potential defects using an inverse optical calculation. In particular, during the inverse optical calculation, a cost function at an image plane in a model of the photolithographic process is used to determine the modification to the reflective photo-mask at an object plane in the model of the photolithographic process.

Подробнее
22-03-2012 дата публикации

Reticles with subdivided blocking regions and methods of fabrication

Номер: US20120070768A1
Автор: J. Brett Rolfson
Принадлежит: Micron Technology Inc

Methods for designing, fabricating, and using attenuated phase shift reticles, or photomasks are disclosed. Methods are also disclosed for subdividing the radiation blocking regions of previously fabricated reticles of previously existing designs. The methods may include forming radiation blocking regions that are subdivided, by cut lines, into discrete, spaced apart sections with dimensions (e.g., surface area, etc.) configured to minimize or eliminate the buildup of electrostatic energy by the radiation blocking regions and/or the discharge of electrostatic energy from the radiation blocking regions and the damage that may be caused by such electrostatic discharge. The methods may include configuring the reticle to prevent radiation from passing through the cut lines between adjacent sections of a subdivided radiation blocking region.

Подробнее
07-06-2012 дата публикации

Lcd panel photolithography process and mask

Номер: US20120141926A1
Автор: Cai li Zhang

Disclosed is an LCD panel photolithography process, employed in a lithography system for manufacturing a plurality of LCD panel, comprising steps of: performing photolithography to a glass substrate with a first mask, and the first mask comprises a plurality of sets of alignment marks corresponding to a plurality of following masks thereafter, and a plurality of sets of alignment marks corresponding to the plurality of following masks thereafter are formed on the glass substrate; and employing the plurality of sets of alignment marks on the glass substrate respectively, to perform alignment procedure and photolithography for the plurality of following masks with the plurality of sets of alignment marks on the glass substrate to form patterns; wherein corresponding to the same LCD panel area, the plurality of sets of alignment marks on the glass substrate have different position coordinates respectively.

Подробнее
28-06-2012 дата публикации

Reticle set modification to produce multi-core dies

Номер: US20120161328A1
Принадлежит: Via Technologies Inc

A first reticle set designed for manufacturing dies with a limited number of cores is modified into a second reticle set suitable for manufacturing at least some dies with at least twice as many cores. The first reticle set defines scribe lines to separate the originally defined dies. At least one scribe line is removed from pairs of adjacent but originally distinctly defined dies. Inter-core communication wires are defined to connect the adjacent cores, which are configured to enable the adjacent cores to communicate during operation without connecting to any physical input/output landing pads of the resulting more numerously cored die, which will not carry signals through the inter-core communication wires off the P-core die. The inter-core communication wires may be used for power management coordination purposes or to bypass the external processor bus.

Подробнее
09-08-2012 дата публикации

Reduced lens heating methods, apparatus, and systems

Номер: US20120202143A1
Автор: Fei Wang, Xinya Lei
Принадлежит: Individual

In one embodiment, a system is disclosed that includes an illuminator having a source that produces light waves having a first wavelength, and a mask. The mask includes at least one partly opaque area and at least one opening within the opaque area includes a slanted, sub-resolution feature that redistributes a portion of the light passing through the open area to an off-axis location. A method of forming a device by way of photolithography might include forming unresolvable features on a mask and projecting light through the mask. Other systems, methods, and apparatus are disclosed.

Подробнее
25-10-2012 дата публикации

Photomask and Method for Fabricating Source/Drain Electrode of Thin Film Transistor

Номер: US20120270397A1
Принадлежит: AU OPTRONICS CORP

A method is provided for fabricating source/drain electrodes of a thin film transistor. The method generally provides a substrate having a first gate electrode and a second gate electrode adjacent and electrically connected. The method further provides coating a photoresist layer on the metal layer, and performing an exposure process on the photoresist layer by a photomask. The method further performs a development process on the exposed photoresist layer to form a photoresist pattern layer with different thicknesses on the metal layer, and then etches the metal layer using the photoresist pattern layer as an etch mask, to form a pair of first source/drain electrodes on the first gate electrode and a pair of second source/drain electrodes on the second gate electrode.

Подробнее
21-03-2013 дата публикации

Patterning process and resist composition

Номер: US20130071788A1
Принадлежит: Shin Etsu Chemical Co Ltd

A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units of acid labile group-substituted vinyl alcohol and maleic anhydride and/or maleimide, an acid generator, and an organic solvent onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.

Подробнее
18-04-2013 дата публикации

PHOTOMASK AND PATTERN FORMATION METHOD

Номер: US20130095416A1
Автор: MISAKA Akio, Nonami Yuji
Принадлежит: Panasonic Corporation

A photomask includes: a transparent substrate; and first and second mask patterns located on the transparent substrate and at least partially facing each other with a space sandwiched therebetween. The first mask pattern includes a semi-light-shielding part which transmits part of light therethrough and a light-shielding part. In the first mask pattern, the semi-light-shielding part at least partially faces the space with the light-shielding part sandwiched therebetween. In a direction along which the first mask pattern and the second mask pattern face each other, the first mask pattern has a size greater than (0.7×λ/NA)×M, and the space has a size less than or equal to (0.5×λ/NA)×M where λ is a wavelength of exposure light, NA is a numerical aperture of a reduced projection optical system of an exposure device, and M is a magnification of the reduced projection optical system. 1. A photomask , comprising:a transparent substrate; andfirst and second mask patterns located on the transparent substrate and at least partially facing each other with a space sandwiched therebetween, whereinthe first mask pattern includes a semi-light-shielding part which transmits part of light therethrough and a light-shielding part,in the first mask pattern, the semi-light-shielding part at least partially faces the space with the light-shielding part sandwiched therebetween,in a direction along which the first mask pattern and the second mask pattern face each other,the first mask pattern has a size greater than (0.7×λ/NA)×M, andthe space has a size less than or equal to (0.5×λ/NA)×M (where λ is a wavelength of exposure light, NA is a numerical aperture of a reduced projection optical system of an exposure device, and M is a magnification of the reduced projection optical system).2. The photomask of claim 1 , whereinthe second mask pattern includes a semi-light-shielding part which transmits part of light therethrough and a light-shielding part, andin the second mask pattern, the semi- ...

Подробнее
25-04-2013 дата публикации

RETICLE FOR EXPOSURE, EXPOSURE METHOD AND PRODUCTION METHOD OF SEMICONDUCTOR WAFER

Номер: US20130101925A1
Автор: SHIMIZU Hironobu
Принадлежит: SHARP KABUSHIKI KAISHA

A reticle comprises a reticle pattern comprising a plurality of chip patterns in a circular effective exposure region of a reduced projection exposure apparatus. The reticle pattern has an outer shape arranged to be inscribed in or without jutting out from a circle of the effective exposure region with a greater number of chip patterns in comparison to the number of chip patterns in a quadrangular shape in a plane view, and when sequentially exposed. The plurality of chip patterns are arranged such that a top part of the reticle pattern fits in without space to a bottom position of the reticle patterns adjacent to each other to the left and right. An exposure method using the reticle and a production method of a semiconductor wafer are also provided. 1. A reticle for exposure containing a reticle pattern constituted of a plurality of chip patterns in a circular effective exposure region of a reduced projection exposure apparatus , whereinthe reticle pattern has an outer shape arranged to be inscribed in or without jutting out from the circle of the effective exposure region with a greater number of chip patterns in comparison to the number of chip patterns in a quadrangular shape in a plane view, and when sequentially exposed, the plurality of chip patterns are arranged such that a top part of the reticle pattern fits in without space to a bottom position of the reticle patterns adjacent to each other to the left and right.2. A reticle for exposure according to claim 1 , wherein the outer shape of the reticle pattern has the plurality of chip patterns arranged in a stair-like shape of a shot with uniform steps or uneven steps claim 1 , such that the outer shape of the reticle pattern is inscribed in or does not jut out from the circle of the effective exposure region.3. A reticle for exposure according to claim 1 , wherein the outer shape of the reticle pattern has the plurality of chip patterns arranged line-symmetrically top and bottom or left and right in a plane ...

Подробнее
16-05-2013 дата публикации

Mask for exposure and method of fabricating substrate using said mask

Номер: US20130122403A1
Принадлежит: Samsung Display Co Ltd

Provided is a photolithography mask capable of forming fine patterns beyond a critical resolution of an exposer without replacing or changing the exposer. The mask includes an at least partially light absorbing phase shift layer and uses a complex wavelength light source.

Подробнее
23-05-2013 дата публикации

LIGHT PATTERN EXPOSURE METHOD, PHOTOMASK, AND PHOTOMASK BLANK

Номер: US20130130160A1
Принадлежит:

A light pattern exposure method is by irradiating ArF excimer laser light to a resist film through a photomask. The photomask includes a transparent substrate and a pattern of optical film of a material comprising a transition metal, silicon, nitrogen and oxygen, with contents thereof falling in a specific range. The photomask may be irradiated with ArF excimer laser light in a cumulative dose of at least 10 kJ/cm. 1. A light pattern exposure method comprising irradiating a pattern of light to a resist film through a photomask using ArF excimer laser light as the light source , wherein{'sup': '2', 'the photomask used is such that it has been irradiated with ArF excimer laser light in a cumulative dose of at least 10 kJ/cm,'}said photomask comprising a transparent substrate and a pattern of optical film of a material comprising a transition metal, silicon, and nitrogen and/or oxygen, the transition metal having potentially a valence of 6, [{'br': None, 'sub': M', 'Si, '6×C/100−4×C/100≦−0.89\u2003\u2003(1)'}, {'br': None, 'sub': M', 'N', 'M', 'M', 'M', 'Si', 'M', 'N', 'M', 'O', 'Si', 'Si', 'Si', 'N', 'Si', 'O, '6C×3C/(6C×6C+6C×4C+6C×3C+6C×2C+4C×4C+4C×3C+4C×2C)≧0.094\u2003\u2003(2)'}], 'the contents of transition metal, silicon, nitrogen and oxygen in the optical film meeting both the formulae (1) and (2){'sub': M', 'Si', 'N', 'O, 'wherein Cis a transition metal content in atom %, Cis a silicon content in atom %, Cis a nitrogen content in atom % and Cis an oxygen content in atom %, except for an outermost surface region extending from the film surface remote from the substrate to a depth of 10 nm.'}2. The method of wherein the photomask has been treated for defect correction by directing a high-energy radiation beam in a fluorine base gas atmosphere.3. The method of wherein the transition metal is molybdenum.4. A photomask for use in a light pattern exposure method comprising irradiating a pattern of light to a resist film through the mask using ArF excimer laser light ...

Подробнее
23-05-2013 дата публикации

PHOTOMASK SETS FOR FABRICATING SEMICONDUCTOR DEVICES

Номер: US20130130161A1
Автор: Deng Yunfei, Kye Jongwook
Принадлежит:

Methods are provided for fabricating a semiconductor device. One method comprises providing a first pattern having a first polygon, the first polygon having a first tonality and having a first side and a second side, the first side adjacent to a second polygon having a second tonality, and the second side adjacent to a third polygon having the second tonality, and forming a second pattern by reversing the tonality of the first pattern. The method further comprises forming a third pattern from the second pattern by converting the second polygon from the first tonality to the second tonality forming a fourth pattern from the second pattern by converting the third polygon from the first tonality to the second tonality forming a fifth pattern by reversing the tonality of the third pattern, and forming a sixth pattern by reversing the tonality of the fourth pattern. 1. A photomask set suitable for a double patterning lithography technique , the photomask set comprising: a first surface;', 'a first substantially opaque layer on the first surface; and', 'a first substantially transparent polygon etched into the first substantially opaque layer, the first substantially transparent polygon having a first side and a second side substantially parallel to each other; and, 'a first photomask comprising a second surface;', 'a second substantially opaque layer on the second surface; and', 'a second substantially transparent polygon etched into the second substantially opaque layer, the second substantially transparent polygon having a third side and a fourth side substantially parallel to the first side and second side, and wherein the first substantially transparent polygon and the second substantially transparent polygon overlap when the first photomask and the second photomask are aligned to each other., 'a second photomask comprising2. The photomask set of wherein the double patterning lithography technique has a critical dimension resolution limit claim 1 , and wherein claim ...

Подробнее
23-05-2013 дата публикации

Reticle Carrier

Номер: US20130130162A1

A reticle carrier for a polishing tool capable of accommodating a reticle includes a base plate with an obverse and reverse surfaces, a retaining ring secured to the obverse surface of the base plate forming a recess defined by the obverse surface of the rigid base plate and internal edges of the retaining ring. A reticle pad supports a reticle in the recess. The base plate and the reticle pad having an array of matching, aligned passageway holes therethrough for exhaustion of air from space between the base plate and a the reticle and for supply of air to that space so a vacuum can retain a the reticle in place on the reticle carrier under vacuum conditions and application of air under pressure can eject a reticle from the reticle carrier. 1. A damascene reticle formed in a transparent substrate having a top surface comprising:a feature recess formed in said top surface of said transparent substrate; anda radiation transmissivity modifying material formed in said feature recess.2. The damascene reticle of wherein said radiation transmissivity modifying material comprises a material selected from the group consisting of an opaque material claim 1 , and a partially transmissive material.3. The damascene reticle of wherein a plurality of deposited films are included in said feature recess with said deposited films having different degrees of transmissivity.4. The damascene reticle of wherein said radiation transmissivity modifying material is selected from the group comprising:at least two deposited films including an opaque film and a transmissive film; andan opaque film deposited with a partially transmissive material.5. The damascene reticle of wherein said radiation transmissivity modifying material comprises an opaque film juxtaposed with a phase error correction material selected from the group consisting of a solid or a gas.6. The damascene reticle of comprising:said recess having sidewalls; andsaid radiation transmissivity modifying material being spaced away ...

Подробнее
23-05-2013 дата публикации

Masks for microlithography and methods of making and using such masks

Номер: US20130130163A1
Принадлежит: Micron Technology Inc

Masks for microlithography apparatus, methods for making such masks, and methods for exposing photosensitive materials to form arrays of microfeatures on semiconductor wafers using such masks. In one embodiment, a method of making a mask comprises forming a mask layer on a substrate and identifying a first opening in the mask layer corresponding to a first feature site at which an intensity of the radiation at a focal zone is less than the intensity of the radiation at the focal zone for a second feature site corresponding to a second opening in the mask. The second opening is adjacent or at least proximate the first opening. The method can further include forming a first surface at the first opening and a second surface at the second opening such that radiation passing through the second opening constructively interferes with radiation passing through the first opening at the focal zone.

Подробнее
30-05-2013 дата публикации

Mask for Deposition and Manufacturing Method of the Same

Номер: US20130133573A1
Принадлежит: Samsung Mobile Display Co Ltd

A deposition mask includes a mask main body and a coating layer. The mask main body includes a plurality of slits penetrating the mask main body. The coating layer is coated on an entire surface of the mask main body. The coating layer is made of a material different from a material of the main body, and it has a magnetic force stronger than that of the main body. Each of the slits has an open area, and a thickness of the coating layer controls a width of the open area. A photolithography process is used to form the plurality of slits.

Подробнее
30-05-2013 дата публикации

Photomask Constructions Having Liners of Specified Compositions Along Sidewalls of Multi-Layered Structures

Номер: US20130137017A1
Автор: Stanton William, WANG FEI
Принадлежит: MICRON TECHNOLOGY, INC.

Some embodiments include methods in which a mathematical representation of a photomask construction is defined, with such representation comprising a plurality of pillars that individually contain a plurality of distinct layers. Each of the layers has two or more characteristic parameters which are optimized through an optimization loop. Subsequently, specifications obtained from the optimization loop are utilized to form actual layers over an actual reticle base. Some embodiments include photomask constructions in which a radiation-patterning topography is across a reticle base, with such topography including multiple pillars that individually contain at least seven distinct layers. 1. A photomask construction , comprising:a reticle base; anda radiation-patterning topography across the reticle base; the radiation-patterning topography comprising pillars that individually contain at least seven distinct layers, and comprising gaps between the pillars; the pillars being transmissive of actinic radiation passed through the photomask construction, each of the layers being optimized relative to the refractive index, extinction coefficient and thickness for maximum imaging contrast between radiation passing through the pillars and radiation passing through the gaps.2. The construction of further comprising liners along sidewalls of the pillars claim 1 , the liners narrowing the gaps but not eliminating the gaps.3. The construction of wherein the at least seven distinct layers primarily comprise only two distinct materials.4. The construction of wherein said two distinct materials are silicon and molybdenum.5. The construction of wherein the at least seven distinct layers are at least 80 distinct layers.6. The construction of wherein the at least seven distinct layers comprise more than two distinct materials.7. A photomask construction comprising:a base comprising quartz; anda plurality of spaced-apart features supported by the base, individual features comprising ...

Подробнее
20-06-2013 дата публикации

RETICLES WITH SUBDIVIDED BLOCKING REGIONS

Номер: US20130157179A1
Автор: Rolfson J. Brett
Принадлежит: MICRON TECHNOLOGY, INC.

Methods for designing, fabricating, and using attenuated phase shift reticles, or photomasks are disclosed. Methods are also disclosed for subdividing the radiation blocking regions of previously fabricated reticles of previously existing designs. The methods may include forming radiation blocking regions that are subdivided, by cut lines, into discrete, spaced apart sections with dimensions (e.g., surface area, etc.) configured to minimize or eliminate the buildup of electrostatic energy by the radiation blocking regions and/or the discharge of electrostatic energy from the radiation blocking regions and the damage that may be caused by such electrostatic discharge. The methods may include configuring the reticle to prevent radiation from passing through the cut lines between adjacent sections of a subdivided radiation blocking region. 1. A reticle , comprising:a radiation blocking material over a surface of a transparent substrate, the radiation blocking material including discrete sections, each discrete section having a sufficiently small surface area to minimize at least one of electrostatic buildup on and electrostatic discharge from the radiation blocking material, adjacent discrete sections of the radiation blocking material separated from one another by a subresolution distance.2. The reticle of claim 1 , wherein the discrete sections are arranged in a grid array.3. The reticle of claim 1 , wherein each discrete section has a maximum surface area of about 900 μm.4. The reticle of claim 1 , wherein each discrete section has a maximum surface area of about 400 μm.5. The reticle of claim 1 , wherein the adjacent discrete sections of the radiation blocking material are separated from one another a distance sufficient to inhibit electromagnetic radiation from being transmitted between the adjacent discrete sections.6. The reticle of claim 1 , wherein the adjacent discrete sections of the radiation blocking material are spaced a distance of about 0.5 μm apart ...

Подробнее
20-06-2013 дата публикации

Mask Manufacturing Device

Номер: US20130157473A1
Автор: ITOH Masamitsu
Принадлежит: KABUSHIKI KAISHA TOSHIBA

According to one embodiment, a mask manufacturing method includes acquiring positional deviation information between an actual position of a pattern formed on a mask substrate and a design position decided at the time of designing the pattern; calculating an irradiating amount and an irradiating position of radiation to be irradiated to a predetermined area of a square on the mask substrate according to the calculated positional deviation information; and irradiating the radiation based on the calculated irradiating amount and the calculated irradiating position to form in a part of the mask substrate a heterogeneous layer of which volume is expanded more greatly than that of the surrounding mask substrate region. 1. A mask manufacturing method comprising:acquiring positional deviation information between an actual position of a pattern formed on a mask substrate and a design position decided at the time of designing the pattern;calculating an irradiating amount and an irradiating position of radiation to be irradiated to a predetermined area of a square on the mask substrate according to the calculated positional deviation information; andirradiating the radiation based on the calculated irradiating amount and the calculated irradiating position to form in a part of the mask substrate a heterogeneous layer of which volume is expanded more greatly than that of the surrounding mask substrate region.2. The mask manufacturing method according to claim 1 , wherein the calculating an irradiating amount of radiation includes calculating the irradiating amount of the radiation according to positional-deviation correction information indicating a relationship between an irradiating amount of the radiation when an irradiating position of the radiation in a thickness direction of the mask substrate is fixed and a pattern position change after irradiation of the radiation.3. The mask manufacturing method according to claim 2 , wherein the irradiating position of the radiation ...

Подробнее
27-06-2013 дата публикации

STRUCTURES COMPRISING MASKS COMPRISING CARBON

Номер: US20130164659A1
Принадлежит: MICRON TECHNOLOGY, INC.

The critical dimension (CD) of features formed during the fabrication of a semiconductor device may be controlled through the use of a dry develop chemistry comprising O, SOand a hydrogen halide. For example, a dry develop chemistry comprising a gas comprising Oand a gas comprising SOand a gas comprising HBr may be used to remove exposed areas of a carbon-based mask. The addition of HBr to the conventional Oand SOdry develop chemistry enables a user to tune the critical dimension by growing, trimming and/or sloping the sidewalls and to enhance sidewall passivation and reduce sidewall bowing. 1. A structure , comprising:an intermediate mask comprising carbon overlying a semiconductor device structure; anda negative mask comprising carbon overlying the intermediate mask.2. The structure of claim 1 , wherein the negative mask overlies a hard mask overlying the intermediate mask claim 1 , the negative mask comprising an amorphous carbon or a transparent carbon.3. The structure of claim 1 , wherein at least one area of the negative mask is exposed through a photomask overlying the negative mask.4. The structure of claim 1 , wherein at least one area of the Intermediate mask is exposed through the negative mask.5. The structure of claim 1 , wherein the negative mask further comprises a spacer mask.6. The structure of claim 5 , wherein at least one area of the intermediate mask is exposed through the spacer mask.7. The structure of claim 6 , wherein at least one area of the semiconductor device structure is exposed through the spacer mask and the intermediate mask.8. A structure claim 6 , comprising:a carbon-based mask overlying a semiconductor substrate;a photomask overlying the carbon-based mask; andat least another material disposed between the carbon-based mask and the photomask.9. The structure of claim 8 , further comprising discrete features comprising regions of the carbon-based mask claim 8 , the photomask claim 8 , and the at least another material.10. The ...

Подробнее
04-07-2013 дата публикации

Patterning A Single Integrated Circuit Layer Using Automatically-Generated Masks And Multiple Masking Layers

Номер: US20130171548A1
Автор: Liu Tsu-Jae King
Принадлежит: Synopsys, Inc.

A multiple mask and a multiple masking layer technique can be used to pattern an IC layer. A RET can be used to define one or more fine-line patterns in a first masking layer. Portions of the fine-line features are then removed or designated for removal using a mask. This removal/designation can include accessing a desired layout (with at least one layout feature including a fine-line feature and a coarse feature) and expanding layout features only in directions along critical dimensions of those layout features. Another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. Coarse feature(s) can be derived from the desired layout using a shrink/grow operation performed only in directions orthogonal to a critical dimension of the fine-line features. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers. 1. A mask set used in a lithographic process for patterning multiple masking layers , the multiple masking layers used to pattern an integrated circuit (IC) layer , the mask set comprising:a first mask for defining only fine-line features in a first masking layer, wherein each fine-line feature has a dimension less than a wavelength of a light used to define the fine-line feature;a second mask for one of removing and designating for removal portions of the fine-line features, wherein the second mask includes bloated features, each bloated feature corresponding to a layout feature of a desired layout that is expanded only in directions along a critical dimension of that layout feature, and wherein at least one layout feature in the desired layout includes a fine-line feature and a coarse feature; anda third mask for defining a plurality of coarse features of the IC layer in a second masking layer formed over a patterned first masking layer, wherein at least one coarse feature is formed to connect two fine-line features.2. The method of claim 1 , ...

Подробнее
18-07-2013 дата публикации

METHOD FOR PRODUCING SUBSTRATE FOR LIQUID CRYSTAL DISPLAY PANEL, AND PHOTOMASK

Номер: US20130183612A1
Принадлежит: SHARP KABUSHIKI KAISHA

The present invention provides a method for producing a substrate for a liquid crystal display panel and a photomask each of which can suppress misalignment of liquid crystal molecules due to liquid crystal alignment control projections. The present invention relates to a method for producing a substrate for a liquid crystal display panel. The substrate includes liquid crystal alignment control projections, and the liquid crystal alignment control projections include a main projection and a sub-projection. The sub-projection is linear and is lower than the main projection. The production method includes a step of forming a positive photosensitive resin film and a step of exposing the photosensitive resin film to light through a photomask. The photomask has a light-control region for forming the sub-projection. The light-control region has a slit-shaped translucent part. 1. A method for producing a substrate for a liquid crystal display panel , the substrate comprising liquid crystal alignment control projections including a main projection and a sub-projection that is linear and is lower than the main projection ,the method comprising:a step of forming a positive photosensitive resin film; anda step of exposing the photosensitive resin film to light through a photomask,the photomask including a light-control region for forming the sub-projection, andthe light-control region including a slit-shaped translucent part.2. The method for producing a substrate for a liquid crystal display panel according to claim 1 ,wherein the photomask further includes a translucent region and a light-shielding region for forming the main projection, andthe light-control region is a gray-tone region including a light-shielding part and the translucent part.3. The method for producing a substrate for a liquid crystal display panel according to claim 2 ,wherein the substrate further comprises a color layer and a light-shielding layer that is higher than the color layer;the sub-projection ...

Подробнее
25-07-2013 дата публикации

Thin films organized in nanodomains on the basis of copolymers having polysaccharide blocks for applications in nanotechnology

Номер: US20130189609A1

A material (M) includes a substrate one of the surfaces of which is covered with a layer based on a block copolymer having a block (B) consisting of a polysaccharide and to its uses for electronics, in order to prepare organic electroluminescent diodes (OLEDs) or organic photovoltaic cells (OPV) or for designing detection devices (nanobiosensors, biochips).

Подробнее
22-08-2013 дата публикации

LITHOGRAPHY MASK HAVING SUB-RESOLUTION PHASED ASSIST FEATURES

Номер: US20130216941A1
Принадлежит:

Techniques are disclosed for using sub-resolution phased assist features (SPAF) in a lithography mask to improve through process pattern fidelity and/or mitigate inverted aerial image problems. The technique also may be used to improve image contrast in non-inverted weak image sites. The use of SPAF in accordance with some such embodiments requires no adjustment to existing design rules, although adjustments can be made to enable compliance with mask inspection constraints. The use of SPAF also does not require changing existing fab or manufacturing processes, especially if such processes already comprehend phased shift mask capabilities. The SPAFs can be used to enhance aerial image contrast, without the SPAFs themselves printing. In addition, the SPAF phase etch depth can be optimized so as to make adjustments to a given predicted printed feature critical dimension. 1. A lithography mask for fabricating semiconductor circuits , comprising:a main feature having a phase and a polarity; anda sub-resolution phased assist feature (SPAF) having a polarity that is the same as the main feature and a phase that is 155° to 205° out-of-phase with the phase of the main feature.2. The lithography mask of wherein the mask includes a plurality of main features and one or more SPAFs.3. The lithography mask of wherein the SPAF is synthesized in a weak non-inverted image drawn space of the mask.4. The lithography mask of wherein the SPAF is synthesized in an inverted image drawn space of the mask.5. The lithography mask of wherein the SPAF has 100% transmittance.6. The lithography mask of wherein the main feature has 100% transmittance.7. The lithography mask of wherein the SPAF is configured with an overlay margin to compensate for registration shift during mask formation.8. The lithography mask of wherein the overlay margin does not overlap with the main feature.9. The lithography mask of further comprising:a plurality of resist lines each having a width, wherein the SPAF is ...

Подробнее
29-08-2013 дата публикации

METHOD FOR MANUFACTURING GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT, GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT, LAMP, AND RETICLE

Номер: US20130221388A1
Автор: TANAKA Kazufumi
Принадлежит: TOYODA GOSEI CO., LTD.

A method for manufacturing a Group III nitride semiconductor light-emitting element of the invention includes a substrate-processing process of forming a main surface including a flat surface and a convex portion on the substrate , an epitaxial process of epitaxially growing an underlying layer on the main surface of the substrate so as to cover the flat surface and the convex portion , and an LED lamination process of forming an LED structure by epitaxially growing a Group III nitride semiconductor. In the substrate-processing process, mask patterns are sequentially formed in respective regions R and R of the flat surface using a polygonal reticle having two pairs of parallel opposing ends in a plan view, by a stepper exposure method, and then the flat surface is etched to dispose and form three arbitrary convex portions , which are arranged to be adjacent to each other, in an isosceles triangular shape in a plan view. 1. A method for manufacturing a Group III nitride semiconductor light-emitting element in which a single crystalline Group III nitride semiconductor layer is formed on a substrate formed from sapphire , and an LED structure is formed on the Group III nitride semiconductor layer , the method comprising:{'sub': '1', 'a substrate-processing process of periodically forming a plurality of convex portions having a base width dand a height h in a flat surface, which is formed from a (0001) C-plane, of the substrate to form a main surface including the flat surface and the convex portions in the substrate;'}an epitaxial process of epitaxially growing the Group III nitride semiconductor on the main surface of the substrate so as to cover the flat surface and the convex portions; andan LED lamination process of forming the LED structure by epitaxially growing the Group III nitride semiconductor subsequently to the epitaxial process,wherein the substrate-processing process includes,a patterning process of sequentially forming mask patterns in respective regions ...

Подробнее
29-08-2013 дата публикации

MASK FOR NEAR-FIELD LITHOGRAPHY AND FABRICATION THE SAME

Номер: US20130224636A1
Автор: Kobrin Boris
Принадлежит: Rolith, Inc.

Methods for fabricating nanopatterned cylindrical photomasks are disclosed. A master pattern having nanometer scale features may be formed on a master substrate. A layer of an elastomer material may be formed on a surface of a transparent cylinder. The master pattern may be transferred from the master to the layer of elastomer material on the surface of the transparent cylinder. Alternatively, a nanopatterned cylindrical photomask may be fabricated by forming a pattern having nanometer scale features on an elastomer substrate and laminating the patterned elastomer substrate to a surface of a cylinder. In another method, a layer of elastomer material may be formed on a surface of a transparent cylinder and a pattern having nanometer scale features may be formed on the elastomer material by a direct patterning process. 1. A method for forming a nanopatterned cylindrical photomask , comprising:forming a master pattern on a master substrate, wherein the master pattern includes nanometer scale features;forming a layer of an elastomer material on a surface of a transparent cylinder;transferring the master pattern from the master to the layer of elastomer material on the surface of the cylinder.2. The method of claim 1 , wherein the master substrate is a flat rigid substrate.3. The method of claim 1 , wherein the master substrate is made of a flexible material.4. The method of wherein transferring the master pattern from the master to the layer of elastomer material on the surface of the cylinder includes “plate-to-cylinder” nanoimprint lithography claim 1 , optical lithography in a standard contact or near-field configurations claim 1 , bond detach or decal transfer lithography claim 1 , micro-contact printing claim 1 , nanotransfer printing claim 1 , and scanning beam interference lithography.5. The method of claim 1 , wherein transferring the master pattern from the master to the layer of elastomer material on the surface of the cylinder includes continuously rotating ...

Подробнее
29-08-2013 дата публикации

PHOTOMASK AND SEMICONDUCTOR APPARATUS MANUFACTURING METHOD

Номер: US20130224637A1
Принадлежит: CANON KABUSHIKI KAISHA

A photomask for exposing a region on a substrate, with a mask pattern, including a first line pattern, a second line pattern, a first connection pattern for a peripheral portion of the region and a second connection pattern for the peripheral portion, wherein the first connection pattern is wider than the first line pattern and the second connection pattern is wider than the second line pattern, a distance from a virtual line between the first line pattern and the second line pattern to a center line of the first connection pattern is larger than a distance from the virtual line to a center line of the first line pattern and a distance from the virtual line to a center line of the second connection pattern is larger than a distance from the virtual line to a center line of the second line pattern. 1. A photomask for exposing a region on a substrate ,the photomask with a mask pattern including a first line pattern arranged along a first direction, a second line pattern arranged along a first direction and arranged adjacent to the first line pattern, a first connection pattern arranged in a portion corresponding to a peripheral portion of the region to be in contact with the first line pattern, and a second connection pattern arranged in the portion corresponding to the peripheral portion to be in contact with the second line pattern,wherein the first connection pattern has a width in a second direction perpendicular to the first direction, which is larger than a width of the first line pattern in the second direction, and the second connection pattern has a width in the second direction, which is larger than a width of the second line pattern in the second direction, anda distance from a virtual line extending along the first direction and arranged between the first line pattern and the second line pattern to a center line of the first connection pattern, is larger than a distance from the virtual line to a center line of the first line pattern.2. The photomask ...

Подробнее
19-09-2013 дата публикации

Method and Apparatus for Inspecting a Mask Substrate for Defects, Method of Manufacturing a Photomask, and Method of Manufacturing a Semiconductor Device

Номер: US20130244142A1
Принадлежит:

According to one embodiment, a method of inspecting a mask substrate for defects, includes acquiring a defocus image of a partial region of a mask substrate using a dark-field optical system, acquiring a just-focus image of the partial region using the dark-field optical system, generating a set composed of first signals obtained from the defocus image and having signal intensities equal to or higher than a first threshold value, excluding, from the set, the first signals pertaining to parts in which signal intensities of signals obtained from the just-focus image are equal to or higher than a second threshold value, determining an inspection threshold value for signal intensities, on the basis of the first signals not excluded from, and remaining in, the sea. 1. A method of inspecting a mask substrate for defects , the method comprising:acquiring a defocus image of a partial region of a mask substrate using a dark-field optical system;acquiring a just-focus image of the partial region of the mask substrate using the dark-field optical system;generating a set composed of first signals obtained from the defocus image and having signal intensities equal to or higher than a first threshold value;excluding, from the set, the first signals pertaining to parts in which signal intensities of signals obtained from the just-focus image are equal to or higher than a second threshold value;determining an inspection threshold value for signal intensities, on the basis of the first signals not excluded from, and remaining in, the set;acquiring an image of en inspection region of the mask substrate using the dark-field optical system; anddetermining, based on the image of the inspection region, that any part having a signal intensity equal to or higher than the inspection threshold value has a defect.2. The method according to claim 1 , wherein determining the inspection threshold value includes:acquiring the number of parts having a signal intensity equal to or higher than a ...

Подробнее
19-09-2013 дата публикации

Method of fabricating a polarized color filter

Номер: US20130244145A1
Принадлежит: National Taiwan University NTU

A method of fabricating a polarized color filter wherein a transparent substrate is provided and coated with a photoresist layer. A wave-shaped mask may then be prepared and a periodic wave-shaped surface may be placed in contact with the photoresist layer, treating the photoresist layer with a primary exposure process. An external force may be applied to the wave-shaped mask, and the transparent substrate or wave-shaped mask by be rotated by a predetermined degree. The photoresist layer may be treated with a secondary exposure process, wherein the photoresist layer is developed in order to obtain a photoresist pattern layer. A metal layer may be coated on the transparent substrate with the photoresist pattern layer. The photoresist pattern layer and the portion of the metal layer on the photoresist pattern layer may then be removed such that the remaining metal layer forms a periodic hole substrate.

Подробнее
26-09-2013 дата публикации

METHOD FOR MANUFACTURING A PHOTOMASK

Номер: US20130252141A1

In a method form manufacturing a photomask, the method includes coating an organometallic ink on a base substrate to form a solution layer. The base substrate is heat-treated on which the solution layer is formed, to self-produce a nanoparticle in the solution layer. A laser is irradiated to the solution layer, to form a metal pattern. The solution layer having the metal pattern is cleaned. The metal pattern is heat-treated. The metal pattern is covered using an encapsulant. 1. A method for manufacturing a photomask , the method comprising:coating an organometallic ink on a base substrate, to form a solution layer;heat-treating the base substrate on which the solution layer is formed, to self-produce a nanoparticle in the solution layer;irradiating a laser to the solution layer, to form a metal pattern;cleaning the solution layer having the metal pattern;heat-treating the metal pattern; andcovering the metal pattern using an encapsulant.2. The method of claim 1 , wherein the organometallic ink is coated via one of a slot die coating claim 1 , a roll coating claim 1 , a blade coating claim 1 , a spin coating claim 1 , a spray coating and an inkjet coating.3. The method of claim 1 , wherein a size of the nanoparticle is same as or less than about 100 nm.4. The method of claim 1 , wherein the base substrate is heat-treated before the nanoparticles are combined to be a metal layer.5. The method of claim 4 , wherein the base substrate is heat-treated using one of a heat source claim 4 , a heating oven claim 4 , a microwave oven and a light lamp.6. The method of claim 1 , wherein the nanoparticles into which the laser is irradiated are sintered to be a metal layer claim 1 , in forming the metal pattern.7. The method of claim 6 , wherein the laser is irradiated in a chamber in which oxygen claim 6 , humidity and light are blocked claim 6 , in forming the metal pattern.8. The method of claim 1 , wherein the solution layer into which the laser is not irradiated is removed ...

Подробнее
03-10-2013 дата публикации

MODIFIED MASK FOR PHOTOLITHOGRAPHY OF A WAFER WITH RECESS, METHOD FOR PRODUCING SUCH A MASK AND METHOD FOR PHOTOLITHOGRAPHY OF A WAFER WITH RECESS

Номер: US20130260291A1
Принадлежит: DISCO CORPORATION

A photolithography mask for a semiconductor wafer. The mask includes a protrusion section that protrudes from a handling section of the mask. An outer shape of the handling section enables handling by a mask aligner device. The protrusion includes a face surface provided at a level which is different from a face surface area of the handling section. 1. A photolithography mask for a semiconductor wafer , the mask comprising:a handling section having an outer shape being provided for handling by a mask aligner;a protrusion section that protrudes from the handling section of the mask; andwherein a face surface of the protrusion section is provided at a level which is different from a face surface area of the handling section.2. The mask according to claim 1 , wherein the protrusion section has a circular shape.3. The mask according to claim 1 , wherein the face surface area of the handling section includes a circular groove.4. The mask according claim 3 , wherein the circular groove comprises a ramp towards an edge portion of the handling section.5. The mask according claim 3 , wherein the circular groove comprises a rounded portion towards an edge portion of the handling section.6. The mask according to claim 3 , wherein the circular groove comprises a sigmoid shaped portion towards an edge portion of the mask.7. The mask according to claim 1 , wherein the handling section comprises a square shape and the protrusion comprises a circular shape.8. The mask according to claim 7 , wherein a cut-out is provided in the center of the handling section and wherein the protrusion is provided in the cut-out.9. The mask according to claim 1 , wherein a height of the protrusion over the face surface of the handling section is in a range of 500 to 680 micrometers.10. The mask according to claim 9 , wherein a height of the protrusion over the face surface of the handling section is in a range of 600 to 650 micrometers.11. A method for producing a photolithography mask claim 9 , ...

Подробнее
03-10-2013 дата публикации

Method of designing pattern layouts

Номер: US20130263062A1
Автор: Moon-gyu JEONG
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of designing a pattern layout includes defining one shot area including a plurality of chip areas, generating an initial common layout in the plurality of chip areas, primarily correcting the initial layout to form a primary corrected layout, and secondarily correcting the primary corrected layout independently to form a plurality of secondary corrected layouts.

Подробнее
24-10-2013 дата публикации

MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20130280646A1
Принадлежит: HOYA CORPORATION

A mask blank for use in the manufacture of a binary mask adapted to be applied with ArF excimer laser exposure light has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for exposure light and a thickness of 45 nm or less. The lower layer is made of a material in which the total content of a transition metal and silicon is 90 at % or more, and has a thickness of 30 nm or more. The upper layer has a thickness of 3 nm or more and 6 nm or less. The phase difference between exposure light transmitted through the light-shielding film and exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 30 degrees or less. 1. A mask blank for use in the manufacture of a binary mask adapted to be applied with ArF excimer laser exposure light , the mask blank comprising , on a transparent substrate , a light-shielding film for forming a transfer pattern ,wherein the light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for the exposure light,the lower layer is made of a material containing transition metal and silicon, and having a refractive index n of 1.80 or less and an extinction coefficient k of 2.37 or more, and has a thickness of 30 nm or more,the upper layer is made of a material having a refractive index n of 2.00 or more and an extinction coefficient k of 1.00 or less, and has a thickness of 3 nm or more and 6 nm or less, anda phase difference between the exposure light transmitted through the light-shielding film and the exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 30 degrees or less.2. The mask blank according to claim 1 , wherein the upper layer is made of:a material containing a transition metal, silicon, and at least one element from ...

Подробнее
21-11-2013 дата публикации

PHOTOMASK BLANK MANUFACTURING METHOD, PHOTOMASK BLANK, PHOTOMASK, AND PATTERN TRANSFER METHOD

Номер: US20130309599A1
Принадлежит:

The method for manufacturing a photomask blank according to the present invention, when manufacturing a photomask blank having at least one functional layer on a transparent substrate, in a step of film-formation of such a functional film where the functional film includes a chromium-containing element and an a metallic element that is capable of bringing a mixture of the metallic element and the chromium into a liquid phase at a temperature of 400° C. or lower, a chromium target (target A) and a target (target B) mainly containing at least one kind of the metallic element are simultaneously sputtered (co-sputtered). The present invention provides a technique for manufacturing a functional film having a small variation in its characteristics such as optical density and a low detect, and showing a high etching rate. 1. A method for manufacturing a photomask blank having at least functional film on a transparent substrate , whereinthe functional film is made of a chromium-containing material including a chromium element and a metallic element that is capable of bringing a mixture of the metallic element and the chromium into a liquid phase at a temperature of 400° C. or lower; and,in a step of forming the functional film,a chromium target (target A) and a target (target B) mainly containing at least one kind of the metallic element are simultaneously sputtered (co-sputtered).2. The method for manufacturing a photomask blank according to claim 1 , wherein claim 1 ,in the step of forming the functional film, at least one of the target A and the target B is used more than one.3. The method for manufacturing a photomask blank according to claim 1 , wherein{'sub': B', 'A', 'A', 'B, 'an expression: S Подробнее

28-11-2013 дата публикации

MASK

Номер: US20130314685A1
Автор: SHIN BU Gon
Принадлежит: LG CHEM LTD.

Provided are a mask, a method of manufacturing the same, a light irradiation device, a method of radiating light, and a method of manufacturing an orientationally ordered photo-alignment layer. By the mask, collimated light or nearly collimated light may be irradiated with uniform illumination to a surface of an object to be irradiated. Further, by the mask, light may be effectively incident even on the object to be irradiated in the curved shape. 1. A mask , comprising:a transparent supporting structure; anda metal layer which is on one surface of the transparent supporting structure and, in which at least one opening configured to guide light to an object to be irradiated.2. The mask according to claim 1 , wherein the transparent supporting structure is a transparent ceramic structure.3. The mask according to claim 1 , wherein the metal layer is a metal deposition layer or a metal film layer.4. The mask according to claim 1 , wherein the metal layer comprises gold claim 1 , silver claim 1 , chromium claim 1 , aluminum claim 1 , copper claim 1 , titanium claim 1 , nickel claim 1 , molybdenum or tungsten.5. The mask according to claim 1 , wherein the surface of the transparent supporting structure claim 1 , on which the metal layer is formed claim 1 , is a curved surface claim 1 , and the metal layer is formed in a curved shape on the curved surface of the transparent supporting structure.6. The mask according to claim 4 , wherein the metal layer in the curved shape has the radius of curvature in the range from 10 mm to 500 mm.7. A method of manufacturing a mask claim 4 , comprising:forming a metal layer having at least one opening configured to guide light to an object to be irradiated on one surface of a transparent supporting structure.8. The method according to claim 7 , wherein the surface of the structure on which the metal layer is formed is a curved surface claim 7 , and the metal layer is formed in a curved shape according to the curved surface of the ...

Подробнее
28-11-2013 дата публикации

PHOTOMASK AND METHOD FOR MANUFACTURING THE SAME

Номер: US20130316269A1
Автор: Xu Liang, Ye Dong

The present invention provides a photo-mask and a method for manufacturing the same. The method for manufacturing the photo-mask comprising: forming a shading pattern layer on a substrate; forming a protecting layer covering the shading pattern layer and the substrate; and; forming a reduced reflection layer on the protecting layer, wherein a refractive index of the protecting layer is greater than a refractive index of the reduced reflection layer. The present invention can mitigate a light reflection problem of a substrate of the conventional photo-mask. 1. A photo-mask , comprising:a substrate;a shading pattern layer formed on the substrate;a protecting layer covering the shading pattern layer and the substrate; and {'br': None, 'i': 'nd=λ', '/4;'}, 'a reduced reflection layer formed on the protecting layer, and a refractive index of the protecting layer is greater than a refractive index of the reduced reflection layer, and the reduced reflection layer is made of silica, silicon nitride or an organic photo-resist material, and a thickness (d) of the reduced reflection layer satisfies the below equationwherein n indicates the refractive index of the reduced reflection layer, and λ indicates a wavelength of light rays passing through the substrate.2. The photo-mask according to claim 1 , wherein the protecting layer is made of silicon nitride claim 1 , and the reduced reflection layer is made of silica.3. The photo-mask according to claim 1 , wherein the protecting layer and the reduced reflection layer are made of silicon nitride.4. The photo-mask according to claim 1 , wherein a thickness (d) of the protecting layer satisfies the below equation:{'br': None, 'i': 'nd=λ/', '4;'}wherein n indicates the protecting layer of the reduced reflection layer, and A indicates a wavelength of light rays passing through the substrate.5. The photo-mask according to claim 1 , wherein the reduced reflection layer is made of the organic photo-resist material claim 1 , and the ...

Подробнее
05-12-2013 дата публикации

MASK

Номер: US20130321787A1
Автор: SHIN BU Gon
Принадлежит: LG CHEM, LTD.

Provided are a mask, a method of manufacturing a mask, a light radiating device, a method of radiating light and a method of manufacturing an ordered photo-alignment layer. By the mask, collimated light or nearly collimated light may be irradiated with uniform illumination on the object to be irradiated. Further, by the mask, light may be effectively irradiated even in a state where the object to be irradiated has the curved surface. 1. A mask , comprising:a transparent thin film, of which a thickness is from 10 μm to 300 μm; anda metal layer that is on one surface of the transparent thin film and comprises at least one opening configured to guide light to an object to be irradiated.2. The mask according to claim 1 , wherein the transparent thin film is a transparent ceramic layer.3. The mask according to claim 2 , wherein the transparent ceramic layer is a glass layer claim 2 , a zirconia layer claim 2 , an alumina layer claim 2 , a titania layer or a quartz layer.4. The mask according to claim 1 , further comprising a structure having a curved surface claim 1 , on which the transparent thin film is attached so as to be in a curved shape.5. The mask according to claim 4 , wherein the transparent thin film attached on the curved shape has a radius of curvature of 10 to 500 mm.6. The mask according to claim 1 , wherein the metal layer includes gold claim 1 , silver claim 1 , chromium claim 1 , aluminum claim 1 , copper claim 1 , titanium claim 1 , nickel claim 1 , molybdenum or tungsten.7. A method of manufacturing a mask claim 1 , comprising: forming a metal layer having at least one opening configured to guide light to an object to be irradiated on a surface of a transparent thin film claim 1 , of which a thickness is from 10 μm to 300 μm.8. The method according to claim 7 , wherein the metal layer is formed by depositing a metal on one surface of the transparent thin film or laminating a metal film on one surface of the transparent thin film.9. The method ...

Подробнее
05-12-2013 дата публикации

Device manufacturing and cleaning method

Номер: US20130323931A1

A method of manufacturing is disclosed. An exemplary method includes providing a substrate and forming one or more layers over the substrate. The method further includes forming a surface layer over the one or more layers. The method further includes performing a patterning process on the surface layer thereby forming a pattern on the surface layer. The method further includes performing a cleaning process using a cleaning solution to clean a top surface of the substrate. The cleaning solution includes tetra methyl ammonium hydroxide (TMAH), hydrogen peroxide (H 2 O 2 ) and water (H 2 O).

Подробнее
19-12-2013 дата публикации

Focus Monitoring Method Using Asymmetry Embedded Imaging Target

Номер: US20130336572A1
Принадлежит:

A method for monitoring mask focus includes measuring profile asymmetries in a target feature including sub-resolution assist features and deriving a focus response based on a known correlation between the profile and focus of a corresponding mask. A computer system in a lithographic process may adjust mask focus based on such derived information to conform to a desired fabrication process. 1. An apparatus for monitoring focus during lithography comprising:a processor;memory connected to the processor; and the computer executable program code is configured to:', 'receive a first image profile corresponding to a first mask element;', 'receive a second image profile corresponding to a second mask element comprising at least one sub-resolution assist feature;', 'measure a profile asymmetry based on the first image profile and the second image profile; and', 'determine a focus response based on the profile asymmetry; and, 'computer executable program code configured to execute on the processor, whereinthe first image profile and the second image profile are asymmetrical.2. The apparatus of claim 1 , wherein the computer executable program code is further configured to alter a scanner focus.3. The apparatus of claim 1 , wherein the computer executable program code is further configured to:measure a reference overlay marker;determine an overlay error;calculate an image placement error; anddetermine a focus error.4. The apparatus of claim 2 , wherein the computer executable program code is further configured to combine the profile asymmetry and image placement error;5. The apparatus of claim 4 , wherein the computer executable program code is further configured to alter a scanner focus.6. The apparatus of claim 1 , wherein: receive a third image profile corresponding to a third mask element;', 'receive a fourth image profile corresponding to a fourth mask element comprising at least one sub-resolution assist feature;', 'measure a second profile asymmetry based on the third ...

Подробнее
02-01-2014 дата публикации

Blankmask and method for fabricating photomask using the same

Номер: US20140004449A1
Принадлежит: S&S Tech Co Ltd

Provided is a blankmask with a light-shielding layer including a light block layer and an anti-reflective layer, and a hard mask film. The light block layer and the anti-reflective layer are formed by combining a layer formed of a MoSi compound and a layer formed of a MoTaSi compound. Thus, the blankmask enables formation of a pattern of 32 nm or less, since the light-shielding layer can be thinly formed to a thickness of 200 to 700 and a photomask having pattern fidelity corresponding to the resolution of the pattern can be formed. The light-shielding layer has an optical density of 2.0 to 4.0 at an exposure wavelength of 193 nm, chemical resistance, and a sufficient process margin for defect repair. Further, the hard mask film is formed to a thickness of 20 to 50 using a compound including tin (Sn) and chromium (Cr), thereby decreasing an etch rate of the hard mask film. Accordingly, a resist film can be formed as a thin film, thereby manufacturing a high-resolution blankmask.

Подробнее
09-01-2014 дата публикации

METHOD AND DEVICE FOR INCREASING FIN DEVICE DENSITY FOR UNALIGNED FINS

Номер: US20140013288A1

A method of generating a layout for a device includes receiving a first layout including a plurality of active regions, each active region of the plurality of active regions having sides. The method further includes defining a plurality of elongate mandrels that each extend in a first direction and are spaced apart from one another in a second direction perpendicular to the first direction. The method further includes for each adjacent pair of partially-parallel active regions of the plurality of active regions having a minimum distance less than a specified minimum spacing, connecting at least a portion of nearest ends of pairs of elongate mandrels, each mandrel of a pair from a different active region. The method further includes generating a second layout including a plurality of elongate mandrels in the plurality of active regions, and connective elements between active regions of at least one adjacent pair of active regions. 1. A semiconductor manufacturing method of generating a layout for a device , comprising:for each of a plurality of active regions of a first layout, defining a plurality of elongate mandrels that each extend in a first direction and are spaced apart from one another in a second direction perpendicular to the first direction, each active region of the plurality of active regions having sides;for each adjacent pair of partially-parallel active regions of the plurality of active regions having a minimum distance less than a specified minimum spacing, connecting at least a portion of nearest ends of pairs of elongate mandrels, each mandrel of a pair from a different active region of the adjacent pair of partially-parallel active regions; and,generating a second layout using a layout-generating machine, said second layout including the plurality of active regions, a plurality of elongate mandrels in the plurality of active regions, and connective elements between active regions of at least one adjacent pair of partially-parallel active regions. ...

Подробнее
30-01-2014 дата публикации

MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20140030641A1
Принадлежит: HOYA CORPORATION

A mask blank for use in the manufacture of a transfer mask adapted to be applied with ArF excimer laser exposure light is disclosed. The mask blank has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has an at least two-layer structure including a lower layer and an upper layer from the transparent substrate side. The lower layer is made of a material composed of a transition metal, silicon, and nitrogen and having a nitrogen content of 21 at % or more and a refractive index n of 1.9 or less. The upper layer is made of a material composed of a transition metal, silicon, and nitrogen and having a refractive index n of 2.1 or less. A surface layer of the upper layer contains oxygen and has a nitrogen content of 14 at % or more. 1. A mask blank adapted to manufacture a transfer mask applied with ArF excimer laser exposure light , comprising:a transparent substrate; anda light-shielding film formed on the transparent substrate, the light-shielding film serving to form a transfer pattern;wherein the light-shielding film has an at least two-layer structure comprising a lower layer and an upper layer from a side of the transparent substrate,the lower layer contains a transition metal, silicon, and nitrogen and is made of a material having a refractive index n of 1.9 or less and an extinction coefficient k of 2.2 or more,the upper layer contains a transition metal, silicon, and nitrogen and is made of a material having a refractive index n of 2.1 or less an extinction coefficient k of 1.6 or less, anda difference between a ratio obtained by dividing a content of the transition metal in the lower layer by a total content of the transition metal and the silicon in the lower layer and a ratio obtained by dividing a content of the transition metal in the upper layer by a total content of the transition metal and the silicon in the upper layer is 4% or less.2. The mask blank according to claim 1 , wherein the ...

Подробнее
13-02-2014 дата публикации

METHODS FOR CONTROLLING DEFECTS FOR EXTREME ULTRAVIOLET LITHOGRAPHY (EUVL) PHOTOMASK SUBSTRATE

Номер: US20140045103A1
Принадлежит:

Methods for providing a silicon layer on a photomask substrate surface with minimum defeats for fabricating film stack thereon for EUVL applications are provided. In one embodiment, a method for forming a silicon layer on a photomask substrate includes performing an oxidation process to form a silicon oxide layer on a surface of a first substrate wherein the first substrate comprises a crystalline silicon material, performing an ion implantation process to define a cleavage plane in the first substrate, and bonding the silicon oxide layer to a surface of a second substrate, wherein the second substrate is a quartz photomask. 1. A method for forming a silicon layer on a photomask substrate , comprising:performing an oxidation process to form a silicon oxide layer on a surface of a first substrate wherein the first substrate comprises a crystalline silicon material;performing an ion implantation process to define a cleavage plane in the first substrate; andbonding the silicon oxide layer to a surface of a second substrate, wherein the second substrate is a photomask substrate.2. The method of claim 1 , further comprising:splitting the first substrate along the cleavage plane.3. The method of claim 2 , further comprising:forming a crystalline silicon layer and leaving the silicon oxide layer on the surface of the second substrate.4. The method of claim 1 , wherein performing the ion implantation process to define the cleavage plane further comprises:activating the surfaces of the first and the second substrates prior to bonding the substrates.5. The method of claim 4 , wherein activating the surfaces of the first and the second substrates further comprises:exposing the first and the second substrates to an oxygen gas.6. The method of claim 5 , wherein exposing the substrates to an oxygen gas further comprises:oxidizing the surfaces of the first and the second substrate; andaltering the surfaces of the first and the second substrate into hydrophilic state.7. The method ...

Подробнее
27-02-2014 дата публикации

Mask Plate, Method For Fabricating Array Substrate Using The Same, And Array Substrate

Номер: US20140057082A1
Принадлежит:

Embodiments of the invention provide a mask plate, a method for fabricating an array substrate using the mask plate, and an array substrate. The mask plate is used for fabricating the array substrate by a stitching exposure. The mask plate comprises 2n+1 mask patterns successively arranged and parallel to each other, where n is any natural number, each mask pattern includes a light-shielding pattern corresponding to a portion of a data signal line on the array substrate. The light-shielding patterns of two adjacent mask patterns are discontinuous, and the portions on both sides of the light-shielding pattern of the mask pattern located in the middle of the mask plate are asymmetric. 1. A mask plate for fabricating an array substrate by a stitching exposure ,wherein the mask plate comprises 2n+1 mask patterns successively arranged and parallel to each other, where n is any natural number, each mask pattern includes a light-shielding pattern corresponding to a portion of a data signal line on the array substrate, andwherein the light-shielding patterns of two adjacent mask patterns are discontinuous, and the portions on both sides of the light-shielding pattern of the mask pattern located in the middle of the mask plate are asymmetric.2. The mask plate according to claim 1 , wherein the mask plate comprises a first mask pattern claim 1 , a second mask pattern and a third mask pattern successively arranged claim 1 , and the portions on both sides of the light-shielding pattern of the second mask pattern are asymmetric.3. An array substrate claim 1 , wherein the array substrate comprises 2m pattern regions successively arranged and parallel to each other claim 1 , where m is any natural number claim 1 , there exists a stitching region between two adjacent pattern regions claim 1 , and a data signal line on the array substrate is disconnected in the stitching region between two adjacent pattern regions in the middle of the 2m pattern regions.4. The array substrate ...

Подробнее
06-03-2014 дата публикации

PATTERN MASK AND METHOD OF MANUFACTURING THIN FILM PATTERN USING PATTERN MASK

Номер: US20140065523A1
Принадлежит: Samsung Display Co., Ltd.

A pattern mask for patterning a thin film includes a transparent or translucent substrate with a plurality of grooves formed thereon having a pitch of about 4.6 μm to about 10.8 μm. 1. A pattern mask for patterning a thin film , comprising:a substrate formed of a transparent or translucent material having a plurality of grooves formed thereon having a pitch of about 4.6 μm to about 10.8 μm.2. The pattern mask of claim 1 , wherein the pitch of the grooves is from about 4.6 μm to about 8.8 μm.3. The pattern mask of claim 1 , wherein the pitch of the grooves is from about 5.1 μm to about 10.8 μm.4. The pattern mask of claim 1 , wherein each groove has a depth of about 260 nm to about 680 nm.5. The pattern mask of claim 1 , wherein the pattern mask has a refractive index of about 1.5.6. The pattern mask of claim 5 , wherein the pattern mask comprises quartz or glass.7. The pattern mask of claim 1 , further comprisinga plurality of opaque members disposed on the substrate and having a pitch greater than about 8.8.8. The pattern mask of claim 7 , wherein the pitch of the opaque members is greater than about 10.8.9. A method of manufacturing a thin film pattern claim 7 , the method comprising:depositing a photosensitive layer on a thin film;exposing the photosensitive layer through a pattern mask;developing the exposed photosensitive layer;etching the thin film using the developed photosensitive layer as an etch mask to form a plurality of thin film members; andremoving the developed photosensitive layer,wherein the pattern mask has a plurality of grooves having a pitch of about 4.6 μm to about 10.8 μm.10. The method of claim 9 , wherein the pattern mask comprises a plurality of opaque members having a pitch greater than about 10.8 μm.11. The method of claim 9 , wherein each groove has a depth of about 260 nm to 680 nm.12. The method of claim 9 , wherein the thin film members have a pitch that is half the pitch of the grooves.13. The method of wherein the pattern mask has ...

Подробнее
13-03-2014 дата публикации

Technique for Repairing a Reflective Photo-Mask

Номер: US20140072903A1
Автор: LI Ying, Satake Masaki
Принадлежит: LUMINESCENT TECHNOLOGIES, INC.

During a calculation technique, a modification to a reflective photo-mask is calculated. In particular, using information specifying a defect associated with a location on a top surface of the reflective photo-mask, the modification to the reflective photo-mask is calculated. For example, the calculation may involve an inverse optical calculation in which a difference between a pattern associated with the reflective photo-mask at an image plane in a photo-lithographic process and a reference pattern at the image plane in the photo-lithographic process is used to calculate the modification at an object plane in the photo-lithographic process. Note that the modification includes a material added to the top surface of the reflective photo-mask using an additive fabrication process. Moreover, the modification is proximate to the location. 1. A computer-implemented method for calculating a modification to a reflective photo-mask , comprising:receiving information specifying a defect associated with at least a location on a top surface of the reflective photo-mask; andusing the computer, calculating the modification to the reflective photo-mask, wherein the modification includes a material added to the top surface of the reflective photo-mask using an additive fabrication process, and wherein the modification is proximate to the location.2. The method of claim 1 , wherein the calculating involves an inverse optical calculation in which a difference between a pattern associated with the reflective photo-mask at an image plane in a photo-lithographic process and a reference pattern at the image plane in the photo-lithographic process is used to calculate the modification at an object plane in the photo-lithographic process.3. The method of claim 1 , wherein the defect includes a phase error.4. The method of claim 1 , wherein the receiving involves identifying the defect.5. The method of claim 1 , wherein the defect includes a pit below a top surface of an absorption layer ...

Подробнее
27-03-2014 дата публикации

Multi-core dies produced by reticle set modification

Номер: US20140084427A1
Автор: Darius D. Gaskins
Принадлежит: Via Technologies Inc

A first reticle set designed for manufacturing dies with a limited number of cores is modified into a second reticle set suitable for manufacturing at least some dies with at least twice as many cores. The first reticle set defines scribe lines to separate the originally defined dies. At least one scribe line is removed from pairs of adjacent but originally distinctly defined dies. Inter-core communication wires are defined to connect the adjacent cores, which are configured to enable the adjacent cores to communicate during operation without connecting to any physical input/output landing pads of the resulting more numerously cored die, which will not carry signals through the inter-core communication wires off the P-core die. The inter-core communication wires may be used for power management coordination purposes or to bypass the external processor bus.

Подробнее
01-01-2015 дата публикации

MASK

Номер: US20150002803A1
Автор: Qin Dandan, Xia Zhiqiang
Принадлежит:

A mask for sealant curing includes an opaque region, a transparent region, and a partially transparent region. The transparent region is associated with a sealant region, which is to be cured, and the partially transparent region is disposed between the opaque region and the transparent region. RaysThe partially transparent region includes a pattern that has a regular shape or an irregular shape. 1. A mask for curing sealant , comprising: an opaque region; a transparent region; and a partially transparent region , wherein the transparent region is associated with a sealant region which is to be cured , and wherein the partially transparent region is disposed between the opaque region and the transparent region.2. The mask according to claim 1 , wherein the partially transparent region comprises a pattern having a regular shape or an irregular shape.3. The mask according to claim 2 , wherein the pattern comprises:a plurality of opaque sections, a plurality of transparent sections and a plurality of partially transparent sections; ora plurality of transparent sections and a plurality of opaque sections; ora plurality of transparent sections and a plurality of partially transparent sections; ora plurality of opaque sections and a plurality of partially transparent sections.4. The mask according to claim 3 , wherein the plurality of opaque sections has a density in a vicinity of the opaque region that is greater than a density in a direction away from the opaque region.5. The mask according to claim 3 , wherein the plurality of partially transparent sections has a density in a vicinity of the opaque region that is greater than a density in a direction away from the opaque region.6. The mask according to claim 3 , wherein the plurality of partially transparent sections is a half-tone mask.7. The mask according to claim 2 , wherein the pattern has a grid claim 2 , serrate claim 2 , triangular claim 2 , or trapezoid shape.8. The mask according to claim 1 , wherein the ...

Подробнее
06-01-2022 дата публикации

MANUFACTURING METHOD OF THIN FILM TRANSISTOR PATTERN, THIN FILM TRANSISTOR, AND MASK

Номер: US20220005843A1
Автор: Zhang Ning, ZHONG Shuting

The present disclosure provides a manufacturing method of a TFT pattern, and a mask, which is used to make light pass through a hole corresponding to a position of the TFTs on the mask which is disposed on the TFTs, thereby producing two or more stacked photoresists on the TFTs to counteract a reflected light on a semiconductor As layer and ensure normal working of the TFTs. 1. A manufacturing method of a thin film transistor (TFT) pattern , comprising:TFTs being subjected to coating a first photoresist by a spray coating device;the TFTs being subjected to a first exposure by an exposure device through a first type of mask covering the TFTs; wherein the first type has no light-shielding layer on a first column of sub-pixels and has the light-shielding layer on a second column of sub-pixels and a third column of sub-pixels, the first column of sub-pixels, the second column of sub-pixels, and the third column of sub-pixels constitute a set of pixel color blocks, and the mask consists of corresponding three types subjected to exposures by the exposure device at adjacent different time points;the TFTs being subjected to a first development to form a first pattern;the TFTs being subjected to coating a second photoresist on the first pattern by the spray coating device;the TFTs being subjected to a second exposure by the exposure device through a second type of mask; wherein the second type has no light-shielding layer on the second column of sub-pixels and has the light-shielding layer on the first column of sub-pixels and the third column of sub-pixels;the TFTs being subjected to a second development to form a second pattern;the TFTs being subjected to coating a third photoresist on the second pattern by the spray coating device;the TFTs being subjected to a third exposure by the exposure device through a third type of mask; wherein the third type has no light-shielding layer on the third column of sub-pixels and has the light-shielding layer on the first column of sub- ...

Подробнее
01-01-2015 дата публикации

HARDMASK COMPOSITION, METHOD OF FORMING PATTERNS USING THE HARDMASK COMPOSITION AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE INCLUDING THE PATTERNS

Номер: US20150004531A1
Принадлежит:

A hardmask composition includes a monomer represented by the following Chemical Formula 1, a polymer including a moiety represented by the following Chemical Formula 2, a polymer including a moiety represented by the following Chemical Formula 3, or a combination thereof, and a solvent, 3. The hardmask composition as claimed in claim 2 , wherein at least one of the A claim 2 , A′ claim 2 , and A″ is a polycyclic aromatic group.4. The hardmask composition as claimed in claim 1 , wherein at least one hydrogen of the A claim 1 , A′ claim 1 , and A″ is substituted with a hydroxy group claim 1 , a thionyl group claim 1 , a thiol group claim 1 , a cyano group claim 1 , a substituted or unsubstituted amino group claim 1 , a halogen atom claim 1 , a halogen-containing group claim 1 , a substituted or unsubstituted C1 to C30 alkoxy group claim 1 , or a combination thereof.5. The hardmask composition as claimed in claim 1 , wherein the hardmask composition includes the polymer including the moiety represented by Chemical Formula 2 and claim 1 , in Chemical Formula 2 claim 1 , at least one hydrogen of Ar is substituted with a hydroxy group claim 1 , a thionyl group claim 1 , a thiol group claim 1 , a cyano group claim 1 , a substituted or unsubstituted amino group claim 1 , a halogen atom claim 1 , a halogen-containing group claim 1 , a substituted or unsubstituted C1 to C30 alkoxy group claim 1 , or a combination thereof.8. The hardmask composition as claimed in claim 1 , wherein the monomer has a molecular weight of about 200 to about 5 claim 1 ,000.9. The hardmask composition as claimed in claim 1 , wherein the polymer including the moiety represented by Chemical Formula 2 and the polymer including the moiety represented by Chemical Formula 3 each have a weight average molecular weight of about 500 to about 10 claim 1 ,000.10. The hardmask composition as claimed in claim 1 , wherein a weight ratio of the monomer to the polymer is about 9:1 to about 1:9.11. The hardmask ...

Подробнее
08-01-2015 дата публикации

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE COMPOSITION, AND RESIST FILM, RESIST-COATED MASK BLANKS, RESIST PATTERN FORMING METHOD AND PHOTOMASK EACH USING THE COMPOSITION

Номер: US20150010855A1
Принадлежит: FUJIFILM Corporation

There is provided an actinic ray-sensitive or radiation-sensitive composition containing (α) a compound represented by the formula (αI) capable of generating an acid having a size of 200 Åor more in volume and (β) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and the formula (αI) is defined as herein, 2. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 ,wherein A has a ring structure.3. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 ,{'sup': '3', 'wherein the size of the acid generated from the compound (α) is 300 Åor more in volume.'}4. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 3 ,{'sup': '3', 'wherein the size of the acid generated from said compound (α) is 400 Åor more in volume.'}5. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which further contains (γ) a resin having a group capable of decomposing by an action of acid to produce an alkali-soluble group and is used for positive pattern formation.7. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which further contains (δ) a crosslinking agent and is used for negative pattern formation.8. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 7 ,wherein the crosslinking agent (δ) is a compound having two or more hydroxymethyl groups or alkoxymethyl groups in the molecule.9. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which further contains (ε) a compound having a phenolic hydroxyl group and is used for negative pattern formation.11. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 , which is used for electron beam or extreme-ultraviolet exposure.12. The actinic ray-sensitive or radiation-sensitive composition as claimed in claim 1 ,{'sup': '3', 'wherein the compound (β) is a compound ...

Подробнее
10-01-2019 дата публикации

Method of manufacturing chemical fluid for manufacturing electronic material, pattern forming method, method of manufacturing semiconductor device, chemical fluid for manufacturing electronic material, container, and quality inspection method

Номер: US20190011827A1
Принадлежит: Fujifilm Corp

In a method of manufacturing a chemical fluid for manufacturing an electronic material, a method of reducing particulate metal in the chemical fluid is selected according to a concentration of particulate metal including an iron atom, a concentration of particulate metal including a copper atom, and a concentration of particulate metal including a zinc atom which are measured by SP ICP-MS in the chemical fluid, and at least one of the concentration of particulate metal including an iron atom, the concentration of particulate metal including a copper atom, or the concentration of particulate metal including a zinc atom is reduced by using the selected reducing method.

Подробнее
10-01-2019 дата публикации

Photomask and method for manufacturing active switch array substrate using same

Номер: US20190011829A1
Автор: Yu-Jen Chen

This application relates to a photomask and a method for manufacturing an active switch array substrate using same. The photomask includes: a light penetration region, including a light penetration substrate; a translucent region, disposed on the light penetration substrate and formed of chromium or a chromium compound; a light shielding region, disposed on the light penetration substrate; and reflective material layers of a plurality of fine lines, disposed between the translucent region and the light shielding region and formed of chromium or a chromium compound, where the light penetration rate of the photomask is regulated according to doping and a distribution density of a low reflective material, so that the light penetration rate of the translucent region is lower than the light penetration rate of the light transmitting region and is higher than the light penetration rate of the light shielding region.

Подробнее
09-01-2020 дата публикации

Photosensitive resin composition, cured film, element equipped with cured film, organic el display device equipped with cured film, cured film production method, and organic el display device production method

Номер: US20200012191A1
Принадлежит: TORAY INDUSTRIES INC

The present invention provides a photosensitive resin composition which has a light-blocking property, and at the same time, a high sensitivity, and has excellent half-tone characteristics. The present invention provides a photosensitive resin composition including an (A) alkali-soluble resin, a (B) radically polymerizable compound, a (C) photo initiator, and a (D) colorant, where the (A) alkali-soluble resin contains a polyimide, a polyimide precursor, a polybenzoxazole precursor, and/or a copolymer thereof, and the (B) radically polymerizable compound contains a (B-1) bifunctional or higher (meth)acrylic compound that has a glass transition temperature of 150° C. or higher as a homopolymer, and a (B-2) tetrafunctional or higher (meth)acrylic compound other than the (B-1).

Подробнее
21-01-2016 дата публикации

MASK

Номер: US20160018726A1
Автор: QU Lianjie, WANG Deshuai
Принадлежит:

A mask, comprising an opaque region, a first semi-transparent region, and a second semi-transparent region. The transmittance of the second semi-transparent region is less than that of the first semi-transparent region. The mask solves the over-etching problem caused by the difference between the thicknesses of photoresist in different regions.

Подробнее
17-04-2014 дата публикации

Mask, TFT Glass Substrate and the Manufacturing Method Thereof

Номер: US20140103480A1

A mask for partially blocking ultraviolet rays in TFT glass substrate manufacturing process is disclosed. The mask includes a panel pattern area for forming the panel patterns, and an additional pattern area for forming additional patterns in a rim of the panel pattern area. In addition, a TFT glass substrate and the manufacturing thereof are also disclosed. By arranging the additional patterns in the rim of the panel patterns, the microstructures in the rim of the panel patterns are substantially the same with that in the middle of the panel patterns. 1. A mask for partially blocking ultraviolet rays in TFT glass substrate manufacturing process , comprising:a panel pattern area for forming the panel patterns; andan additional pattern area for forming additional patterns in a rim of the panel pattern area.2. The mask as claimed in claim 1 , wherein the panel patterns comprise panel microstructures claim 1 , and the additional patterns comprise additional microstructures.3. The mask as claimed in claim 2 , wherein the panel microstructures and the additional microstructures are substantially the same.4. The mask as claimed in claim 2 , wherein the additional microstructures are extensions of the panel microstructures.5. The mask as claimed in claim 1 , wherein the additional patterns are rectangular-shaped claim 1 , saw-shaped claim 1 , or ripple-shaped.6. A manufacturing method of TFT glass substrate claim 1 , comprising:washing the glass substrate;depositing a thin film on the glass substrate;coating the glass substrate with photo resistors;exposing the glass substrate to ultraviolet rays so as to form panel patterns and to form additional patterns in a rim of the panel patterns;applying a developing procedure to the glass substrate;etching the glass substrate;stripping the glass substrate; andcutting the glass substrate so as to form the TFT glass substrate.7. The manufacturing method as claimed in claim 6 , wherein the panel patterns comprise panel ...

Подробнее
28-01-2016 дата публикации

SYNTHESIS OF COMPLEX CELLS

Номер: US20160027768A1
Автор: Maziasz Robert L.
Принадлежит:

Hierarchical layout synthesis of complex cells. In some embodiments, a method may include partitioning a cell into a plurality of subcells, where the cell represents a set of electronic components in an integrated circuit; identifying, among the plurality of subcells, a most complex subcell; synthesizing a layout of the most complex subcell for each of one or more side-port configurations; selecting a side-port configuration based upon the layout of the most complex subcell; and synthesizing a layout of one or more of the plurality of subcells neighboring the most complex subcell by propagating one or more constraints associated with the selected side-port configuration. 1. In a computer comprising a processor and a memory coupled to the processor , the memory having program instructions stored thereon that , upon execution by the processor , cause the computer to provide a computer-aided design (CAD) environment , a method comprising:partitioning a cell into a plurality of subcells, wherein the cell represents a set of electronic components in an integrated circuit;identifying, among the plurality of subcells, a most complex subcell; (a) identifying one or more routing regions for each native input/output (I/O) net;', '(b) identifying one or more routing regions for each feed-through Net;', '(c) performing an exact capacity analysis for each possible assignment of native I/O and feed-through wires in subcells; and, 'synthesizing a layout of the most complex subcell for each of one or more side-port configurations by, for each of the plurality of subcells starting with a farthest one from the most complex subcell(d) enumerating the one or more side-port configurations as those that successfully complete the exact capacity analysis;selecting a side-port configuration based upon the layout of the most complex subcell; andsynthesizing a layout of one or more of the plurality of subcells neighboring the most complex subcell by propagating one or more constraints ...

Подробнее
24-01-2019 дата публикации

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING A HYBRID MASK PATTERN

Номер: US20190027376A1
Принадлежит:

A method for fabricating a semiconductor device includes forming an insulating layer on a substrate; forming a first mask pattern including silicon on the insulating layer and forming a second mask pattern including an oxide on the first mask pattern; forming a coating layer that includes carbon and which covers an upper surface of the insulating layer, a sidewall of the first mask pattern, and the second mask pattern; removing a portion of the coating layer and the second mask pattern; forming a metal layer on an upper surface of the first mask pattern and on a sidewall of the coating layer; exposing the upper surface of the insulating layer by removing the coating layer; and etching the insulating layer by using the first mask pattern and the metal layer as a mask. 1. A method for fabricating a semiconductor device , comprising:forming an insulating layer over a substrate;forming a first mask pattern comprising silicon on the insulating layer and forming a second mask pattern comprising an oxide on the first mask pattern;forming a coating layer that comprises carbon and that covers an upper surface of the insulating layer exposed through the first and second mask patterns, sidewalls of the first mask pattern, and the second mask pattern;removing a portion of the coating layer and the second mask pattern to provide remaining parts of the coating layer;forming a metal layer on an upper surface of the first mask pattern, and on sidewalls of the remaining parts of the coating layer;exposing the upper surface of the insulating layer by removing the remaining parts of the coating layer; andetching the insulating layer using the first mask pattern and the metal layer as a mask.2. The method of claim 1 , wherein the forming the insulating layer comprises stacking a first mold film claim 1 , a first supporter film claim 1 , a second mold film claim 1 , and a second supporter film over the substrate in sequence.3. The method of claim 2 , wherein the etching the insulating ...

Подробнее
01-02-2018 дата публикации

MASK BLANK AND PHASE SHIFT MASK USING SAME

Номер: US20180033612A1
Принадлежит:

A mask blank includes: a light transmitting substrate; a first layer disposed on the light transmitting substrate, and including a chromium compound that contains chromium and at least one element selected from oxygen, nitrogen, and carbon; and a second layer disposed on the first layer as an outermost layer from among the first and second layers, and including a silicon compound that contains silicon and at least one element selected from oxygen, nitrogen, and carbon, an alloy of a transition metal and silicon, or a transition metal and silicon compound that contains a transition metal, silicon, and at least one element selected from oxygen, nitrogen, and carbon. The thickness of the first layer is 45 nm or less, and the thickness of the second layer is 5 nm or greater. An optical density of a stack composed of the first layer and the second layer is 3 or greater. 1. A mask blank comprising:a light transmitting substrate;a first layer disposed on the light transmitting substrate, and including a chromium compound that contains chromium and at least one element selected from oxygen, nitrogen, and carbon; anda second layer disposed on the first layer as an outermost layer, and including a silicon compound that contains silicon and at least one element selected from oxygen, nitrogen, and carbon, an alloy of a transition metal and silicon, or a transition metal and silicon compound that contains a transition metal, silicon, and at least one element selected from oxygen, nitrogen, and carbon,wherein an optical density (OD) of a stack composed of the first layer and the second layer is 3 or greater.2. The mask blank of claim 1 , wherein the thickness of the first layer is 45 nm or less claim 1 , and the thickness of the second layer is 5 nm or greater.3. The mask blank of claim 2 , wherein the thickness of the first layer is about 30 nm or less claim 2 , and the thickness of the second layer is a value ranging from about 10 nm to about 40 nm.4. The mask blank of claim 1 ...

Подробнее
31-01-2019 дата публикации

REAL-TIME CORRECTION OF TEMPLATE DEFORMATION IN NANOIMPRINT LITHOGRAPHY

Номер: US20190033708A1
Принадлежит:

Reducing an alignment error of an imprint lithography template with respect to a substrate includes locating central alignment marks of the template with respect to corresponding central alignment marks of the substrate and locating peripheral alignment marks of the template with respect to corresponding peripheral alignment marks of the substrate. In-plane alignment error of the template is assessed based on relative positions of central alignment marks of the template and corresponding central alignment marks of the substrate. A combined alignment error of the template is assessed based on relative positions of peripheral alignment marks of the template and corresponding peripheral alignment marks of the substrate. Out-of-plane alignment error of the template is assessed based on a difference between the-combined and the in-plane alignment error of the template, and a relative position of the template and the substrate is adjusted to reduce the out-of-plane alignment error of the template. 1. An imprint lithography method for reducing an alignment error of an imprint lithography template with respect to a substrate , the method comprising:a) disposing an imprint resist on the substrate; the template comprises peripheral alignment marks in a peripheral region of the template and central alignment marks in a central region of the template, and', 'the substrate comprises peripheral alignment marks that correspond to the peripheral alignment marks of the template and central alignment marks that correspond to the central alignment marks of the template;, 'b) contacting the imprint resist with the template, whereinc) locating each central alignment mark of the template with respect to each corresponding central alignment mark of the substrate;d) locating each peripheral alignment mark of the template with respect to each corresponding peripheral alignment mark of the substrate;e) assessing an in-plane alignment error of the template based on relative positions of each ...

Подробнее
01-05-2014 дата публикации

METHOD FOR IMPROVING RESIST PATTERN PEELING

Номер: US20140120459A1

A method of fabricating a mask is described. The method includes receiving receiving an integrated circuit (IC) design layout that has a first pattern layer including a first feature and has a second pattern layer including a second feature, wherein the first pattern layer and the second pattern layer are spatially related when formed in a substrate such that the first and second features are spaced a first distance between a first edge of the first feature and a second edge of the second feature, modifying the IC design layout by adjusting a dimension of the first feature based on the first distance, and generating a tape-out data from the modified IC design layout for mask making. The method further includes applying a logic operation (LOP) to the IC design layout. 17-. (canceled)8. A method of forming a resist pattern , the method comprising:receiving a substrate having a material feature embedded in the substrate;depositing a resist film on the substrate and the material feature; andexposing the resist film according to a design pattern having a first feature to form the resist pattern overlaying the material feature on the substrate, wherein the first feature and the material feature are spaced a first distance between a first edge of the first feature and a second edge of the material feature in a top view, wherein the first feature has a dimension that is a function of the first distance.9. The method of claim 8 , further comprising developing the exposed resist film deposited on the substrate.10. The method of claim 8 , wherein the exposing the resist film includes applying one of a light beam claim 8 , an electron beam and an ion beam.11. The method of claim 10 , wherein the applying of the optical beam includes using a mask.12. The method of claim 8 , wherein the dimension of the first feature is increased by a first value when the first distance is larger than a first predetermined value.13. The method of claim 12 , wherein the first value is zero when ...

Подробнее
05-02-2015 дата публикации

System and method for decomposition of a single photoresist mask pattern into 3 photoresist mask patterns

Номер: US20150040083A1

A system and method of decomposing a single photoresist mask pattern to three photoresist mask patterns. The system and method assign nodes to polygon features on the single photoresist mask pattern, designate nodes as being adjacent nodes for those nodes that are less than a predetermined distance apart, iteratively remove nodes having 2 or less adjacent nodes until no nodes having 2 or less adjacent nodes remain, identify one or more internal nodes, map photoresist mask pattern designations (colors) to the internal nodes, and replace and map a color to each of the nodes removed by the temporarily removing nodes, such that each node does not have an adjacent node of the same color.

Подробнее
11-02-2016 дата публикации

METHOD FOR REMOVING SEMICONDUCTOR FINS USING ALTERNATING MASKS

Номер: US20160042964A1

A mask set and method for forming FinFET semiconductor devices provides a complementary set of fin-cut masks that are used in DPT (double patterning technology) to remove fins from non-active areas of a semiconductor device, after the fins are formed. Adjacent fins, or adjacent groups of fins, are removed using pattern features from different ones of the multiple fin-cut masks. 1. A mask set for forming a fin pattern in active areas on a semiconductor device , said mask set comprising:a fin mask with a pattern defining a plurality of parallel fins;a first fin-cut mask with a plurality of parallel first fin-cut regions outside of active areas of said semiconductor device, each said first fin-cut region including at least one strip that corresponds to at least a portion of a fin of said plurality of parallel fins; anda second fin-cut mask with a plurality of parallel second fin-cut regions outside of said active areas, each said second fin-cut region including at least one strip that corresponds to at least a portion of a fin of said plurality of parallel fins,wherein said first and second fin-cut regions are arranged so as to be parallel and appear adjacent in an alternating sequence with respect to said plurality of parallel fins, when said first and second fin-cut masks are overlaid.2. The mask set as in claim 1 , wherein said first fin-cut regions and said second fin-cut regions have the same width.3. The mask set as in claim 1 , wherein said mask set is a positive photoresist mask set claim 1 , each of said strips is a clear portion claim 1 , and each said at least one strip of said first fin-cut region has a width of about 0.5 to 2.0 of a width of each said at least one strip of said second fin-cut region.4. The mask set as in claim 3 , wherein said first fin-cut regions and said second fin-cut regions include the same number of a plurality of said strips therein.5. The mask set as in claim 1 , wherein at least one of said first fin-cut mask and said second fin- ...

Подробнее
19-02-2015 дата публикации

OPTICAL ELEMENT, OPTICAL SYSTEM, CAPTURING APPARATUS, OPTICAL EQUIPMENT, AND ORIGINAL RECORDING AND MANUFACTURING METHOD THEREFOR

Номер: US20150049318A1
Принадлежит: SONY CORPORATION

An optical element includes a surface on which a plurality of structures is provided. The plurality of structures is provided to be fluctuated in a random direction from a lattice point at an interval which is equal to or shorter than a wavelength of visible light. 1. An optical element , comprising:a surface on which a plurality of structures is provided,wherein the plurality of structures is provided to be fluctuated in a random direction from a lattice point at an interval which is equal to or shorter than a wavelength of visible light.2. The optical element according to claim 1 ,wherein the fluctuation range is equal to or less than half of a distance between adjacent lattice points.3. The optical element according to claim 1 ,wherein the lattice point is a lattice point of a tetragonal lattice, a rectangular lattice, or a hexagonal lattice.4. The optical element according to claim 1 ,wherein the plurality of structures has a protruded shape or a recessed shape with respect to the surface.5. The optical element according to claim 1 ,wherein the plurality of structures is provided in a distorted shape of the tetragonal lattice, the rectangular lattice, or the hexagonal lattice, respectively.6. An optical system claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the optical element according to .'}7. A capturing apparatus claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the optical element according to .'}8. An optical equipment claim 1 , comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the optical element according to .'}9. An original recording claim 1 , comprising:a surface on which a plurality of structures is provided,wherein the plurality of structures is provided to be fluctuated in a random direction from a lattice point at an interval which is equal to or shorter than a wavelength of visible light.10. A manufacturing method for an original recording claim 1 , comprising:forming a plurality of ...

Подробнее
19-02-2015 дата публикации

Methods of Reducing Registration Errors of Photomasks and Photomasks Formed Using the Methods

Номер: US20150050584A1
Принадлежит:

Methods of reducing registration errors of photomasks and photomasks formed using the methods are provided. The method may include forming a plurality of photomask patterns on a substrate and determining registration errors of the plurality of photomask patterns. The method may further include forming a plurality of stress-producing portions in the substrate to reduce the registration errors by considering exposure latitude variations. 1. A method of reducing photomask registration errors , comprising:forming a plurality of photomask patterns on a substrate;determining registration errors of the plurality of photomask patterns;determining exposure latitude variations of the plurality of photomask patterns; andforming a plurality of stress-producing portions in the substrate to reduce the registration errors based on the exposure latitude variations.2. The method of claim 1 , further comprising:determining preliminary positions of the plurality of stress-producing portions to reduce the registration errors of the plurality of photomask patterns before determining the exposure latitude variations, wherein determining the exposure latitude variations comprises calculating transmittance variations of the substrate based on the preliminary positions of the plurality of stress-producing portions.3. The method of claim 2 , further comprising:determining variations in critical dimensions of the plurality of photomask patterns based on the exposure latitude variations and the transmittance variations.4. The method of claim 3 , wherein determining the variations in the critical dimensions of the plurality of photomask patterns comprises multiplying the exposure latitude variations by the transmittance variations.5. The method of claim 2 , further comprising:designing a target layout including data on target photomask patterns, wherein the exposure latitude variations are determined using exposure latitude modeling based on to a profile of the target photomask patterns.6. The ...

Подробнее
25-02-2016 дата публикации

Method of designing layout of integrated circuit and method of manufacturing integrated circuit

Номер: US20160055286A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of designing a layout of an integrated chip (IC) includes designing a first layout by place and route a plurality of standard cells that define the IC, and generating a second layout by modifying the first layout during a mask data preparation process related to the first layout, wherein the second layout is generated by connecting first and second patterns from among first layer patterns that correspond to a first layer of the first layout, such that the number of masks necessary for forming the first layer patterns is reduced.

Подробнее
26-02-2015 дата публикации

Method of Forming Metal Oxide Hardmask

Номер: US20150056540A1
Автор: Fukuda Hideaki
Принадлежит:

A method of forming a metal oxide hardmask on a template includes: providing a template constituted by a photoresist or amorphous carbon formed on a substrate; and depositing by atomic layer deposition (ALD) a metal oxide hardmask on the template constituted by a material having a formula SiMOwherein M represents at least one metal element, x is less than one including zero, and y is approximately two or a stoichiometrically-determined number. 1. A method of forming a metal oxide hardmask on a template , comprising:providing a template constituted by a photoresist or amorphous carbon formed on a substrate; and{'sub': x', '(1-x)', 'y, 'depositing by atomic layer deposition (ALD) a metal oxide hardmask on the template constituted by a material having a formula SiMOwherein M represents at least one metal element, x is less than one including zero, and y is approximately two or a stoichiometrically-determined number,'}{'sub': x', '(1-x)', 'y, 'wherein the metal oxide hardmask is constituted by a laminate of atomic layers of a metal oxide and atomic layers of a silicon oxide alternately deposited at a cycle ratio C such that the laminate has the formula SiMO, where C=m/(m+n) where m is the number of cycles for metal oxide consecutive layers, and n is the number of cycles for silicon oxide consecutive layers.'}2. The method according to claim 1 , wherein the cycle ratio is adjusted in a range of over 0/1 and less than 1/1.3. The method according to claim 1 , wherein the metal oxide hardmask is a spacer film.4. The method according to claim 3 , wherein the spacer film is for spacer-defined double patterning (SDDP) claim 3 , and the method further comprises performing SDDP after the step of depositing the spacer film on the template.5. The method according to claim 1 , wherein M is a metal whose fluoride has a vapor pressure of more than 100 Pa at a temperature for cleaning a reactor used for depositing the metal oxide hardmask.6. The method according to claim 5 , wherein M ...

Подробнее
26-02-2015 дата публикации

Method for integrated circuit design layout support by computer and apparatus of integrated circuit design layout support by computer

Номер: US20150058816A1
Автор: Keishi Sakanushi
Принадлежит: Toshiba Corp

According to one embodiment, a method is disclosed for designing an integrated circuit by a computer including an input unit, a memory unit, a calculating unit, and an output unit. The method can include storing a design model in the memory unit. The design model has parameters of physical quantities of active elements, passive elements, and an interconnection pattern included in the integrated circuit. The design model has an algorithm generating a circuit layout from values of the parameters. The method can include inputting the values of the parameters based on a first design specification of the integrated circuit by the input unit, generating a first circuit layout of the active elements, the passive elements, and the interconnection pattern by the calculating unit using the design model from the values of the parameters received by the input unit, and outputting the first circuit layout by the output unit.

Подробнее
05-03-2015 дата публикации

HARDMASK

Номер: US20150064612A1
Принадлежит:

This invention provides a composition containing an organometallic compound having a chromophore moiety in the metal polymer backbone which allows a wider range of n/k values such that substrate reflectivity can be controlled under various conditions. 2. The composition of wherein Mis chosen from titanium claim 1 , zirconium claim 1 , hafnium claim 1 , tungsten claim 1 , tantalum claim 1 , molybdenum claim 1 , vanadium claim 1 , indium claim 1 , germanium claim 1 , gallium claim 1 , thallium claim 1 , and aluminum.3. The composition of wherein each Lis chosen from (C-C)alkoxy claim 1 , (C-C)carboxyl claim 1 , beta-diketonates claim 1 , beta-hydroxyketonates claim 1 , beta-ketoesters claim 1 , beta-diketiminates claim 1 , amindinates claim 1 , guanidinates claim 1 , or beta-hydroxyiminates.4. The composition of wherein the chromophore moiety comprises one or more of an aromatic ring or an isocyanurate.5. The composition of wherein the aromatic ring is chosen from phenyl claim 4 , naphthyl claim 4 , anthracenyl claim 4 , or phenanthryl.6. The composition of further comprising a surface treating polymer having a surface energy of 20 to 40 erg/cmand comprising a surface treating moiety chosen from hydroxyl claim 1 , protected hydroxyl claim 1 , protected carboxyl claim 1 , or mixtures thereof.7. The composition of wherein Rcomprises one or more atoms selected from the group consisting of oxygen claim 1 , nitrogen claim 1 , and sulfur.8. The composition of wherein Ris selected from the group consisting of (C-C)alkylene-O— and (C-C)alkylidene-O—.9. The composition of wherein G is chosen from Ch claim 1 , Ch-R claim 1 , R-Ch claim 1 , or Ch(OMLOR).10. The Composition of wherein the chromophore moiety is substituted with one or more substituents selected from the group consisting of (C-C)alkyl claim 1 , cyano claim 1 , halo claim 1 , nitro and SO—Y claim 1 , where Y=H claim 1 , ammonium or an alkali metal ion.11. A method of forming a metal hardmask layer comprising: ...

Подробнее
20-02-2020 дата публикации

PHOTOMASK LASER ETCH

Номер: US20200057362A1
Автор: DAGAN Eli, Wu Banqiu
Принадлежит:

Embodiments described herein relate to apparatus and methods for removing one or more films from a photomask to create a black border and one or more pellicle anchor areas thereon. A photomask substrate is exposed by removing the one or more films in the black border and pellicle anchor areas. The black border prevents a pattern on the photomask from overlapping a pattern on a substrate being processed. To create the black border and pellicle anchor areas, a laser beam is projected through a lens and focused on a surface of the films. The films are ablated by the laser beam without damaging the photomask substrate. 1. A laser etch method , comprising:etching a photomask to remove a first layer disposed on the photomask from a black border and one or more pellicle anchor areas;etching the photomask with a femtosecond laser and a lens having a focal length of between about 30 mm and about 110 mm to remove at least a second layer disposed on the photomask from the black border; andetching the photomask with the femtosecond laser to remove the at least the second layer from the one or more pellicle anchor areas.2. The method of claim 1 , wherein a laser source of the femtosecond laser has a power of about 100 mW or less.3. The method of claim 2 , further comprising:positioning the photomask having the at least the second layer disposed thereon in a path of a laser beam emitted from the laser source;projecting the laser beam through the lens positioned between the laser source and the photomask;focusing the laser beam with the lens at a focal point on a surface of the photomask, the focal point positioned in the black border on the photomask; andsublimating the at least the second layer in the black border with the laser beam focused thereon.4. The method of claim 1 , wherein the etching the photomask to remove the first layer is performed in a plasma etch chamber.5. The method of claim 1 , wherein the black border surrounds a pattern area on the photomask.6. The method ...

Подробнее
20-02-2020 дата публикации

PHOTOMASK HAVING RECESSED REGION

Номер: US20200057366A1
Автор: CHEN YU-YU, Liao Chi-Hung

A photomask includes a translucent substrate and at least one main feature. The translucent substrate has a recessed region recessed from a first surface of the translucent substrate. The at least one main feature is disposed on the translucent substrate, and protrudes from the first surface of the translucent substrate. 1. A photomask comprising:a translucent substrate having a recessed region recessed from a first surface of the translucent substrate; andat least one main feature disposed on the translucent substrate, and protruding from the first surface of the translucent substrate.2. The photomask according to claim 1 , wherein a lengthwise direction of the recessed region is substantially parallel to a lengthwise direction of the at least one main feature.3. The photomask according to claim 1 , wherein the recessed region is configured to diffract radiation without imaging a pattern.4. The photomask according to claim 3 , wherein a width of the recessed region is less than about one fifth of a width of the at least one main feature.5. The photomask according to claim 3 , wherein a depth of the recessed region is less than about one third of a height of the at least one main feature.6. The photomask according to claim 1 , wherein the at least one main feature comprises a first main feature claim 1 , a second main feature claim 1 , and a third main feature claim 1 , the recessed region is between the first main feature and the second main feature claim 1 , a first pitch between the first main feature and the recessed region is substantially the same as a second pitch between the second main feature and the third main feature.7. The photomask according to claim 1 , wherein the translucent substrate comprises a quartz material.8. The photomask according to claim 1 , wherein the at least one main feature comprises chromium.9. The photomask according to claim 1 , further comprising an opaque filing disposed in the recessed region.10. A method for producing a ...

Подробнее
20-02-2020 дата публикации

PHOTOLITHOGRAPHY METHOD AND APPARATUS

Номер: US20200057375A1
Принадлежит:

An extreme ultraviolet lithography (EUVL) method includes providing at least two phase-shifting mask areas having a same pattern. A resist layer is formed over a substrate. An optimum exposure dose of the resist layer is determined, and a latent image is formed on a same area of the resist layer by a multiple exposure process. The multiple exposure process includes a plurality of exposure processes and each of the plurality of exposure processes uses a different phase-shifting mask area from the at least two phase-shifting mask areas having a same pattern. 1. An extreme ultraviolet lithography (EUVL) method , comprising:providing at least two phase-shifting mask areas having a same pattern;forming a resist layer over a substrate;determining an optimum exposure dose of the resist layer; and 'wherein the multiple exposure process comprises a plurality of exposure processes and each of the plurality of exposure processes uses a different phase-shifting mask area from the at least two phase-shifting mask areas having a same pattern.', 'forming a latent image on a same area of the resist layer by a multiple exposure process,'}2. The EUVL method of claim 1 , wherein at least one of the at least two phase-shifting mask areas includes a defect.3. The EUVL method of claim 1 , wherein the at least two phase-shifting mask areas are from a single phase-shifting mask.4. The EUVL method of claim 1 , wherein the at least two phase-shifting mask areas are from at least two phase-shifting masks.5. The EUVL method of claim 1 , wherein the optimum exposure dose is based on an exposure dose for a pattern on one of the at least two phase-shifting mask areas to achieve a target dimension under a corresponding single exposure process.6. The EUVL method of claim 1 , wherein the exposure dose of each of the plurality of exposure processes is less than the optimum exposure dose.7. The EUVL method of claim 1 , wherein the exposure dose of each of the plurality of exposure processes is equal ...

Подробнее
12-03-2015 дата публикации

CHEMICAL AMPLIFICATION RESIST COMPOSITION, RESIST FILM USING THE SAME, RESIST-COATED MASK BLANK, METHOD OF FORMING PHOTOMASK AND PATTERN, AND METHOD OF MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE

Номер: US20150072274A1
Принадлежит: FUJIFILM Corporation

A chemical amplification resist composition according to the present invention includes (A) a compound including a triarylsulfonium cation having one or more fluorine atoms and capable of generating an acid with a volume of 240 Åor higher by irradiation of active rays or radiation; and (B) a compound including a phenolic hydroxyl group. 1. A chemical amplification resist composition comprising:{'sup': '3', '(A) a compound including a triarylsulfonium cation having one or more fluorine atoms and capable of generating an acid with a volume of 240 Åor higher by irradiation of active rays or radiation; and'}(B) a compound including a phenolic hydroxyl group.2. The chemical amplification resist composition according to claim 1 , wherein the compound (A) is a compound including a triarylsulfonium cation having three or more fluorine atoms.3. The chemical amplification resist composition according to claim 2 , wherein each of the three aryl groups in the triarylsulfonium cation of compound (A) includes one or more fluorine atoms.4. The chemical amplification resist composition according to claim 2 , wherein a benzene ring configuring at least one aryl group from the three aryl groups in the triarylsulfonium cation of the compound (A) is directly bonded to at least one fluorine atom of the three or more fluorine atoms included in the compound (A).5. The chemical amplification resist composition according to claim 3 , wherein a benzene ring configuring at least one aryl group from the three aryl groups in the triarylsulfonium cation of the compound (A) is directly bonded to at least one fluorine atom of the three or more fluorine atoms included in the compound (A).6. The chemical amplification resist composition according to claim 1 , wherein the compound (B) is a resin in which solubility with respect to an alkali developer increases due to the action of an acid.7. The chemical amplification resist composition according to claim 1 , further comprising (C) an acid ...

Подробнее
19-03-2015 дата публикации

Mask plate

Номер: US20150079503A1
Автор: Jian Guo, Zhenyu Xie

A mask plate is used for implementing a graphic structure with a narrower line width on a target substrate. The mask plate includes a slit-shaped photic area and a lightproof area. An edge of the slit-shaped photic area is in a curve shape.

Подробнее
05-06-2014 дата публикации

METHOD FOR ETCHING EUV MATERIAL LAYERS UTILIZED TO FORM A PHOTOMASK

Номер: US20140154615A1
Принадлежит:

A method and apparatus for etching photomasks are provided herein. In one embodiment, a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer, providing a gas mixture including at least one fluorine containing gas in to a processing chamber, applying a source RF power to form a plasma from the gas mixture, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma. 1. A method of etching an ARC layer or an absorber layer disposed on a photomask , comprising:transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer;providing a gas mixture including at least one fluorine containing gas in to a processing chamber;applying a source RF power to form a plasma from the gas mixture;applying a first type of RF bias power to the substrate for a first period of time;applying a second type of RF bias power away from the substrate for a second period of time; andetching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma.2. The method of claim 1 , wherein ARC layer or an absorber includes at least one of a tantalum containing layer.3. The method of claim 1 , wherein the substrate including the ARC layer disposed on the absorber layer.4. The method of claim 1 , wherein applying the first type of RF bias power comprises:applying the first type of RF bias power to a substrate support disposed in the processing chamber.5. The method of claim 1 , wherein applying the second type of RF bias power comprises:applying the second type of RF bias power ...

Подробнее
15-03-2018 дата публикации

Method and device for synthesizing a circuit layout

Номер: US20180076219A1
Автор: Axel Aue
Принадлежит: ROBERT BOSCH GMBH

A method for synthesizing a circuit layout, characterized by the following features: primary circuit functions are placed on the circuit layout; secondary circuit functions are placed on the circuit layout; at least one first mask is generated in such a way that the first mask reproduces the primary circuit functions and covers the secondary circuit functions when a semiconductor substrate is structured according to the circuit layout by way of the first mask; and the placement of the circuit functions takes place in such a way that at least one changed mask reproduces the primary circuit functions and the secondary circuit functions when the semiconductor substrate is structured according to the circuit layout by way of at least one second mask.

Подробнее
24-03-2016 дата публикации

Mask, spacer produced by using the mask and method for producing spacer using the mask

Номер: US20160085104A1
Автор: Hongshu Zhang

Embodiments of the present invention provide a mask, a spacer produced by the mask and a method for producing a spacer using the mask. They may reduce the bottom size of a cylinder-shaped spacer while keeping the top size of it to meet the design requirements for high resolution TFT-LCD. The mask provided by the embodiments includes a mask substrate on which a light transmission region and a light shielding region are provided, wherein a Fresnel zone plate is arranged in the light transmission region and configured to form a spacer on a glass substrate.

Подробнее
24-03-2016 дата публикации

OPTICAL PATTERN TRANSFER MASK AND METHOD OF FABRICATING THE SAME

Номер: US20160085146A1
Автор: KWON Younggil
Принадлежит:

An optical pattern transfer mask includes a light transmissive substrate, a reflection layer pattern on a plurality of first regions of the light transmissive substrate, a light absorbing layer on the light transmissive substrate and the reflection layer pattern, and a bank layer pattern on the light absorbing layer corresponding to the plurality of first regions of the light transmissive substrate, the bank layer pattern being vertically aligned with the reflection layer pattern. The bank layer pattern includes a Diels-Alder polymer that is polymerizable and depolymerizable by a reversible Diels-Alder reaction. 1. An optical pattern transfer mask , comprising:a light transmissive substrate;a reflection layer pattern on a plurality of first regions of the light transmissive substrate;a light absorbing layer on the light transmissive substrate and the reflection layer pattern; anda bank layer pattern on the light absorbing layer corresponding to the plurality of first regions of the light transmissive substrate, the bank layer pattern being vertically aligned with the reflection layer pattern,wherein the bank layer pattern includes a Diels-Alder polymer that is polymerizable and depolymerizable by a reversible Diels-Alder reaction.2. The optical pattern transfer mask as claimed in claim 1 , wherein the Diels-Alder polymer includes a polyphthalaldehyde (PPA) polymer claim 1 , or a polymer of a bis(maleimide) derivative cross-linked by trioxysilane.4. The optical pattern transfer mask as claimed in claim 1 , further comprising a transfer layer on the bank layer pattern.5. The optical pattern transfer mask as claimed in claim 1 , further comprising a heat insulation layer between the reflection layer pattern and the light absorbing layer.6. A method of fabricating an optical pattern transfer mask claim 1 , the method comprising:providing a light transmissive substrate;forming a reflection layer pattern on a plurality of first regions of the light transmissive substrate, ...

Подробнее
31-03-2022 дата публикации

PHOTOMASK, METHOD FOR PRODUCING PHOTOMASK, AND METHOD FOR PRODUCING COLOR FILTER USING PHOTOMASK

Номер: US20220100082A1
Принадлежит: TOPPAN INC.

A photomask is used for scanning type projection exposure provided with a projection lens assembly composed of a lens assembly. A line width in a plurality of patterns of the photomask in a region to be transferred by performing scanning exposure including connecting portions of the lens assembly are corrected with respect to a line width of patterns which are the same as the patterns of the photomask present in a region to be transferred by performing scanning exposure but do not include the connecting portions. 1. A scanning type projection exposure apparatus comprising a projection lens assembly , a light transmitting substrate , and a mask pattern , wherein:the mask pattern comprises a plurality of patterns that are two-dimensionally formed in a x direction, which is a direction of a scanning type projection exposure of the apparatus, along the long side of a light transmitting substrate, and a y direction, which is a direction perpendicular to the x-direction, along the short side of the light transmitting substrate, the mask pattern includes a single exposure region and a double exposure region along the x-direction, the double-exposure region corresponds to a portion of the projection lens assembly that includes a plurality of connecting portions of the lens assembly and the single-exposure region corresponds to a portion of the projection lens assembly that does not include the connecting portions and wherein a line width in a plurality of patterns of the photomask present in the double-exposure region are corrected with respect to a line width of patterns in the single exposure region by multiplying the line width in the single-exposure region with a correction coefficient determined by a correction curve, wherein the correction curve is determined by measuring line width changes in the x direction during transfer of the patterns, so that the line width of patterns in the double exposure region changes stepwise in the y-direction and wherein said stepwise ...

Подробнее
02-04-2015 дата публикации

EXPOSURE MASK AND METHOD OF FABRICATING DISPLAY PANEL USING THE SAME

Номер: US20150093687A1
Принадлежит: Samsung Display Co., Ltd.

An exposure mask for forming a pattern in a photosensitive material includes a mask substrate which is disposed facing the photosensitive material; a body portion on the mask substrate and corresponding to a shape of the pattern at a distance furthest from the exposure mask; and a plurality of branch portions on the mask substrate and each extending outward from an outer edge of the body portion, in a plan view. The pattern comprises a contact hole of a display device. 1. An exposure mask for forming a pattern in a photosensitive material , comprising:a mask substrate which is disposed facing the photosensitive material;a body portion on the mask substrate and corresponding to a shape of the pattern at a distance furthest from the exposure mask; anda plurality of branch portions on the mask substrate and each extending outward from an outer edge of the body portion, in a plan view,wherein the pattern comprises a contact hole of a display device.2. The exposure mask of claim 1 , whereinthe body portion has a polygon shape comprising a plurality of sides, in the plan view,a branch portion among the plurality of branch portions extends from each side of the body portion, andthe branch portion extends perpendicular to the side from which it extends, in the plan view.3. The exposure mask of claim 1 , wherein adjacent branch portions are spaced apart from each other at a pitch from about 1 micrometer to about 2.6 micrometers.4. The exposure mask of claim 3 , wherein a width of each branch portion is from about 30% to about 60% of the pitch.5. The exposure mask of claim 1 , wherein each branch portion is elongated from the outer edge of the body portion claim 1 , and has a length from about 1 micrometer to about 5 micrometers.6. The exposure mask of claim 1 , wherein a width of each branch portion decreases as a distance from the body portion increases claim 1 , in the plan view.7. The exposure mask of claim 1 , wherein the photosensitive material is a negative ...

Подробнее
29-03-2018 дата публикации

PHOTOMASK AND METHOD OF MANUFACTURING COLOR FILTER SUBSTRATE

Номер: US20180088406A1
Автор: ZHAO Chuang

Provided are a photomask and a method of manufacturing a color filter substrate. This belongs to the field of display technologies, and can be used to simplify manufacturing process of the color filter substrate and improve manufacturing efficiency of the color filter substrate. 1. A photomask , comprising a first portion with a first transmittance , a second portion with a second transmittance , and a third portion with a third transmittance , wherein the first portion , the second portion , and the third portion correspond to a flat layer of a color filter substrate , an auxiliary spacer of the color filter substrate , and a main spacer of the color filter substrate , respectively.2. The photomask according to claim 1 , wherein the first transmittance is larger than the second transmittance claim 1 , and the second transmittance is larger than the third transmittance.3. A method of manufacturing a color filter substrate claim 1 , comprising the steps of:forming an insulation layer; andperforming a patterning procedure on the insulation layer with a photomask, so as to form a flat layer, an auxiliary spacer, and a main spacer, wherein the photomask comprises a first portion with a first transmittance, a second portion with a second transmittance, and a third portion with a third transmittance, andwherein the first portion, the second portion, and the third portion correspond to a flat layer of the color filter substrate, an auxiliary spacer of the color filter substrate, and a main spacer of the color filter substrate, respectively.4. The method according to claim 3 , wherein the first transmittance is larger than the second transmittance claim 3 , and the second transmittance is larger than the third transmittance.5. The method according to claim 4 , wherein the flat layer has a thickness in the range from 1 to 5 μm claim 4 , and the main spacer has a height in the range from 1 to 4 μm.6. The method according to claim 5 , wherein the auxiliary spacer has a height ...

Подробнее
21-03-2019 дата публикации

EXPOSURE MASK AND MANUFACTURING METHOD OF SAME

Номер: US20190086792A1
Автор: KAMO Takashi, TAKAI Kosuke
Принадлежит:

An exposure mask includes a substrate, and a plurality of first films and a plurality of second films located alternately over each other over selected portions of the substrate. The exposure mask further includes a third film selectively located over the first and second films. At least one first pattern is located over the substrate and does not include any of the first, second or third films. At least one second pattern is located over the substrate and includes the first and second films and does not include the third film. At least one third pattern is located over the substrate and includes the first, second and third films. 1. An exposure mask , comprising:a substrate;a plurality of first films and a plurality of second films located alternately over each other over selected portions of the substrate; anda third film selectively located over the first and second films, whereinat least one first pattern is located over the substrate and does not include any of the first, second or third films,at least one second pattern is located over the substrate and includes the first and second films and does not include the third film, andat least one third pattern is located over the substrate and includes the first, second and third films.2. The exposure mask according to claim 1 , whereinthe first and second films comprise layers configured to reflect electromagnetic waves used for exposure of a resist layer on another substrate, andthe third film is an absorber layer configured to absorb electromagnetic waves used for exposure of a resist layer on another substrate.3. The exposure mask according to claim 1 , wherein the reflectance of the third pattern is greater than reflectance of the first pattern and is less than the reflectance of the second pattern.4. The exposure mask according to claim 1 , wherein a second pattern is located between a first pattern region and a third pattern.5. The exposure mask according to claim 1 , wherein a second pattern is located ...

Подробнее
02-04-2015 дата публикации

Photolithography mask synthesis for spacer patterning

Номер: US20150095859A1
Принадлежит: Intel Corp

Photolithography mask synthesis is disclosed for spacer patterning masks. In one example, backbone features are extracted from a target layout of a mask design. A connectivity graph is generated based on the target layout in which lines of the backbone features are represented as nodes on the connectivity graph. The nodes are connected based on spacer patterning process limitations and the connections are assigned to sets. A backbone mask layout is then generated based on one of the sets of nodes.

Подробнее
01-04-2021 дата публикации

Mask and method for manufacturing the same, lithography method, display panel, display device and exposure device

Номер: US20210096470A1

A mask is provided in embodiments of the disclosure, at least including: a first light transmission area provided with a first optical filter film; and a second light transmission area provided with a second optical filter film; the first optical filter film and the second optical filter film comprise respective materials through which light of different frequency ranges is optically filtered, respectively. A method for manufacturing a mask, a lithography method, a display panel, a display device, and an exposure device are further provided in embodiments of the disclosure.

Подробнее
16-04-2015 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20150104887A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A method of manufacturing a semiconductor device includes generating a mask layout of patterns in which the distance between adjacent ones of the patterns is equal to or less than a resolution of a lithography process, the patterns are apportioned among a plurality of masks such that in each of the masks the space between adjacent ones of the patterns is greater than the resolution, and a dual pattern is added to one of the masks. A semiconductor pattern is formed on a substrate using the mask(s) and the mask to which the dual pattern has been added. Patterns having a pitch equal to or less than the resolution may be formed on the semiconductor device. 1. A method of manufacturing a semiconductor device , comprising:using a computer program to generate an original layout of patterns wherein adjacent ones of the patterns are spaced from each other by a distance equal to or less than a resolution of a lithography process;fabricating a plurality of lithography masks, including by:apportioning the patterns of the original layout among the lithography masks in such a way that the lithography masks have mask patterns derived from the original layout, and in each of the lithography masks adjacent ones of said mask patterns are spaced by a distance greater than the resolution, andincorporating a dual pattern into one of the lithography masks, the dual pattern corresponding to at least part of one of said mask patterns of another of the lithography masks; andforming a semiconductor pattern on a substrate using all of the lithography masks including that having the dual pattern.2. The method of claim 1 , wherein the fabricating of the lithography masks comprises fabricating a first mask having first patterns and a second mask having second patterns that do not overlap the first patterns in a plan view in which the first and second masks are stacked as vertically aligned claim 1 ,checking whether a distance from one of the first patterns before arriving at any other of the ...

Подробнее
19-04-2018 дата публикации

Mask and photo alignment method

Номер: US20180107073A1

A mask including a plurality of baffles, a frame and a light transmission region, and a photo alignment method are provided. A support component and a movable component are disposed on the frame. The baffle is configured to block the light transmission region. The support component is configured to support the baffle which blocks the light transmission region. The movable component is configured to move the baffle to a position blocking the light transmission region.

Подробнее
30-04-2015 дата публикации

PHOTOMASK AND FABRICATION METHOD THEREOF

Номер: US20150118602A1
Принадлежит: UNITED MICROELECTRONICS CORP.

A photomask including first opaque patterns and second opaque patterns is provided. The first opaque patterns are distributed in a first plane defined in the photomask, while the second opaque patterns are disposed above the first opaque patterns and spaced apart from the first opaque patterns. In other words, the first opaque pattern and second opaque pattern are not distributed in the same plane. 1. A photomask , comprising:a plurality of first opaque patterns distributed in the first plane; anda plurality of second opaque patterns disposed above the first opaque patterns and spaced apart from the first opaque patterns, wherein the first opaque pattern and second opaque pattern are not in the same plane.2. The photomask according to claim 1 , further comprising a first transparent interlayer covering the first opaque patterns.3. The photomask according to claim 1 , wherein top surfaces of the first opaque patterns are aligned with bottom surfaces of the second opaque patterns.4. The photomask according to claim 1 , further comprising a plurality of first transparent patterns disposed in proximity to the first opaque patterns.5. The photomask according to claim 4 , wherein top surfaces of the first opaque patterns are aligned with top surfaces of the first transparent patterns.6. The photomask according to claim 4 , further comprising a first transparent interlayer covering the first opaque patterns and the first transparent patterns.7. The photomask according to claim 1 , further comprising a transparent substrate claim 1 , wherein the first opaque patterns are disposed on the transparent substrate.8. The photomask according to claim 7 , wherein the transparent substrate further comprises a plurality of recesses disposed on a surface of the transparent substrate claim 7 , and portions of the first opaque patterns are respectively disposed in the recesses.9. The photomask according to claim 7 , wherein top surfaces of the first opaque patterns are aligned with ...

Подробнее
30-04-2015 дата публикации

PHOTO MASK AND METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING TRENCHES BY USING PHOTO MASK

Номер: US20150118603A1
Принадлежит: SAMSUNG ELECTRO-MECHANICS CO., LTD.

Embodiments of the invention provide a photo mask capable of simultaneously forming trenches for preventing an under-fill leakage in a process of forming an opening of a solder resist. In accordance with at least one embodiment, the photo mask includes a transparent base material having a non-transmitting film formed on one surface thereof, a semi-transmitting region formed by performing selective etching using a laser on the transparent base material, a transmitting region and a non-transmitting region formed on the transparent base material together with the semi-transmitting region, and an opening of a solder resist and trenches for preventing a leakage of an under-fill liquid or EMC mold may be simultaneously formed using the photo mask. 1. A photo mask , comprising:a transparent base material; anda mask pattern layer comprising a transmitting region, a non-transmitting region, and a semi-transmitting region on the transparent base material,wherein the mask pattern layer is configured by the transmitting region in which the transparent base material is exposed, and the non-transmitting region and the semi-transmitting region in which a non-transmitting film is covered over the transparent base material, andwherein the semi-transmitting region is formed of the non-transmitting film having a thickness thinner than the non-transmitting region.2. The photo mask according to claim 1 , wherein the transparent base material is any one of a transparent film or a transparent substrate.3. The photo mask according to claim 1 , wherein the non-transmitting region of the photo mask has a thickness of 0.1 to 0.5 μm.4. The photo mask according to claim 1 , wherein the non-transmitting region of the photo mask is a chrome film.5. The photo mask according to claim 1 , wherein the semi-transmitting region has a different light transmissivity depending on the thickness of the non-transmitting film.6. The photo mask according to claim 1 , wherein the semi-transmitting region has a ...

Подробнее
24-07-2014 дата публикации

SINGLE RETICLE APPROACH FOR MULTIPLE PATTERNING TECHNOLOGY

Номер: US20140205934A1
Принадлежит: XILINX, INC.

A reticle for multiple patterning a layer of an integrated circuit die includes a first portion with a first layout pattern for multiple patterning the layer of the integrated circuit die, and a second portion with a second layout pattern for multiple patterning the layer of the integrated circuit die. The first layout pattern is different from the second layout pattern. 1. A reticle for multiple patterning a layer of an integrated circuit die , comprising:a first portion with a first layout pattern for multiple patterning the layer of the integrated circuit die; anda second portion with a second layout pattern for multiple patterning the layer of the integrated circuit die, wherein the first layout pattern is different from the second layout pattern.2. The reticle of claim 1 , wherein the first portion of the reticle corresponds to a first integrated circuit die and the second portion of the reticle corresponds to a second integrated circuit die claim 1 , the first integrated circuit die and the second integrated circuit die being parts of the integrated circuit die.3. The reticle of claim 2 , further comprising a third portion with a third layout pattern for multiple patterning the layer of the integrated circuit die.4. The reticle of claim 3 , wherein the third portion of the reticle corresponds to a third integrated circuit die.5. The reticle of claim 1 , wherein the first layout pattern and the second layout pattern are non-combinable on a same portion of the reticle.6. The reticle of claim 1 , wherein the reticle is configured for forming nodes that are 32 nm and smaller.7. The reticle of claim 1 , wherein the first portion and the second portion have different respective numbers of openings.8. An apparatus comprising the reticle of claim 1 , and a positioner configured to shift the reticle a half reticle step.9. A method for performing multiple patterning of a layer of an integrated circuit die with a reticle claim 1 , comprising:performing a first ...

Подробнее
14-05-2015 дата публикации

PHOTOMASK AND METHOD FOR FORMING DUAL STI STRUCTURE BY USING THE SAME

Номер: US20150132919A1

In a method for manufacturing a dual shallow trench isolation structure, a substrate is provided, and a mask layer is formed on the substrate. The mask layer is patterned by using a photomask to form at least one first hole and at least one second hole in the mask layer, in which a depth of the at least one first hole is different from a depth of the at least one second hole. The mask layer and the substrate are etched to form at least one first trench having a first depth and at least one second trench having a second depth, in which the first depth is different from the second depth. The remaining mask layer is removed. A first isolation layer and A second isolation layer are respectively formed in the at least one first trench and the at least one second trench. 1. A method for manufacturing a dual shallow trench isolation structure , the method comprising:providing a substrate;forming a mask layer on the substrate;patterning the mask layer by using a photomask to form at least one first hole and at least one second hole in the mask layer, wherein a depth of the at least one first hole is different from a depth of the at least one second hole;etching the mask layer and the substrate to form at least one first trench having a first depth and at least one second trench having a second depth, wherein the first depth is different from the second depth;removing the remaining mask layer; andforming a first isolation layer and a second isolation layer respectively in the at least one first trench and the at least one second trench.2. The method of claim 1 , before the operation of forming the mask layer claim 1 , further comprising forming a hard mask layer on the substrate.3. The method of claim 1 , before the operation of forming the mask layer claim 1 , further comprising forming an oxide layer on the substrate.4. The method of claim 1 , wherein the photomask comprises at least one first transparent region and at least one second transparent region claim 1 , and ...

Подробнее
16-04-2020 дата публикации

System and Method for Aligned Stitching

Номер: US20200118937A1
Принадлежит:

A method for manufacturing semiconductor devices include steps of depositing a first photoresist over a first dielectric layer, first exposing the first photoresist to a first light-exposure using a first lithographic mask, and second exposing the first photoresist to a second light-exposure using a second lithographic mask. An overlap region of the first photoresist is exposed to both the first light-exposure and the second light-exposure. The first dielectric layer is thereafter patterned to form a mask overlay alignment mark in the overlap region. The patterning includes etching the first dielectric layer form a trench, and filling the trench with a conductive material to produce the alignment mark. A second dielectric layer is deposited over the alignment mark, and a second photoresist is deposited over the second dielectric layer. A third lithographic mask is aligned to the second photoresist using the underlying mask overlay alignment mark for registration. 1. A semiconductor device comprising:a first active signal region over a semiconductor substrate;a second active signal region over the semiconductor substrate;a transition region between the first active signal region and the second active signal region;a first alignment mark located within the transition region;a second alignment mark located within the transition region, the first alignment mark and the second alignment mark being at a same level; andconductive routing extending through the transition region and electrically connecting the first active signal region to the second active signal region.2. The semiconductor device of claim 1 , wherein the alignment mark comprises a first portion and a second portion surrounding the first portion.3. The semiconductor device of claim 1 , wherein the semiconductor device has a length of at least 26 mm.4. The semiconductor device of claim 1 , wherein the second alignment mark and the first alignment mark are aligned with each other.5. The semiconductor device ...

Подробнее
21-05-2015 дата публикации

METHOD OF PROCESSING A SEMICONDUCTOR WAFER SUCH AS TO MAKE PROTOTYPES AND RELATED APPARATUS

Номер: US20150140479A1
Автор: GE Xi, Lee Alan
Принадлежит: STMICROELECTRONICS PTE LTD

A method of processing a semiconductor wafer may include providing a rotatably alignable photolithography mask that includes different mask images. Each mask image may be in a corresponding different mask sector. The method may also include performing a series of exposures with the rotatably alignable photolithography mask at different rotational alignments with respect to the semiconductor wafer so that the different mask images produce at least one working semiconductor wafer sector, and at least one non-working semiconductor wafer sector. 1. A method of processing a semiconductor wafer comprising:providing a rotatably alignable photolithography mask comprising a plurality of different mask images, each mask image in a corresponding different mask sector; andperforming a series of exposures with the rotatably alignable photolithography mask at different rotational alignments with respect to the semiconductor wafer so that the different mask images produce at least one working semiconductor wafer sector, and at least one non-working semiconductor wafer sector.2. The method of wherein the plurality of different mask images comprises between two and four mask images.3. The method of wherein the at least one working semiconductor wafer sector comprises a single working semiconductor wafer quadrant.4. The method of wherein a next mask image is rotated 90-degrees relative to a preceding mask image.5. The method of wherein the rotatably alignable photolithography mask comprises alignment indicia.6. The method of wherein performing the series of exposures comprises performing the series of exposures with the rotatably alignable photolithography mask rotatably aligned based upon the alignment indicia.7. The method of further comprising providing at least one other rotatably alignable photolithography mask and performing another series of exposures therewith.8. The method of wherein the at least one working semiconductor sector comprises a plurality of working integrated ...

Подробнее
21-05-2015 дата публикации

MANUFACTURING METHOD FOR PATTERN MULTILAYER BODY AND MASK SET

Номер: US20150140685A1
Принадлежит: TDK Corporation

A method for manufacturing a pattern multilayer body that has a plurality of pattern layers, and where a pattern is formed in each pattern layer, includes a step of forming an overlay pattern within an overlay pattern formation region, and in the step of forming the overlay pattern, a photoresist film is formed, and after a photoresist film is exposed via a main mask, a resist pattern is formed by exposing a sub mask(s). The main mask has a pattern light-shielding part that is commonly used for forming a pattern in each pattern layer, and each main light-shielding part for forming each overlay patter; and a sub mask has an opening part that is exposable to an unexposed region(s) within an overlay pattern formation region other than an unexposed region(s) on the photoresist film, which has been light-shielded by the main light-shielding part for forming a corresponding overlay pattern. This enables forming an overlay pattern that is high in position gap measurement accuracy in a direction orthogonal to the lamination direction when manufacturing a pattern multilayer body. 1. A method for manufacturing a pattern multilayer body where first to Npattern layers (N is an integer of two or greater) are laminated within a pattern formation region on a substrate in respective order , and where a pattern is formed in the pattern layers , respectively , comprising:{'sup': th', 'th, 'a step of forming a pattern in the first to Npattern layers (N is an integer of two or greater) on the substrate, respectively, and of forming first to Noverlay patterns that are used for measuring a position gap in a direction orthogonal to a lamination direction between/among patterns of each pattern layer, within the overlay pattern formation region on the substrate;'}{'sup': th', 'th, 'claim-text': [{'sup': th', 'th, 'a resist film formation step of forming an Mphotoresist film on the Mpattern layer and within the overlay pattern formation region,'}, {'sup': 'th', 'a first exposure step of ...

Подробнее
09-05-2019 дата публикации

Powder for additive modeling, structure, semiconductor production device component, and semiconductor production device

Номер: US20190135705A1
Принадлежит: Canon Inc

A material powder for additive modeling including a nitride, and a eutectic oxide, the nitride having an average density lower than an average density of the eutectic oxide, is used to produce a structure using an additive modeling method.

Подробнее
21-08-2014 дата публикации

METHOD FOR PROCESSING A CARRIER, A CARRIER, AN ELECTRONIC DEVICE AND A LITHOGRAPHIC MASK

Номер: US20140231970A1
Принадлежит: INFINEON TECHNOLOGIES AG

Various embodiments provide a method for processing a carrier, the method including changing the three-dimensional structure of a mask layer arranged over the carrier so that at least two mask layer regions are formed having different mask layer thicknesses; and applying an ion implantation process to the at least two mask layer regions to form at least two implanted regions in the carrier having different implantation depth profiles. 1. A method for processing a carrier , the method comprising:changing a three-dimensional structure of a mask layer arranged over the carrier so that at least two mask layer regions are formed having different mask layer thicknesses;applying an ion implantation process to the at least two mask layer regions to form at least two implanted regions in the carrier having different implantation depth profiles.2. The method according to claim 1 ,wherein changing the three-dimensional structure of the mask layer comprises changing the three-dimensional structure of a resist layer.3. The method according to claim 1 , further comprising:forming at least one electronic device including at least one of the at least two implanted regions.4. The method according to claim 1 ,wherein changing the three-dimensional structure of the mask layer comprises at least one lithographic process, wherein the at least two mask layer regions are formed using different exposures for each of the at least two mask layer regions.5. The method according to claim 1 ,wherein changing the three-dimensional structure of the mask layer comprises at least one lithographic process, wherein a plurality of mask layer regions are formed using different exposures for each of the plurality of mask layer regions.6. The method according to claim 1 ,wherein changing the three-dimensional structure of the mask layer comprises forming at least one mask layer region to form one of a step-wise and gradual change of the thickness of the mask layer.7. The method according to claim 1 , ...

Подробнее
21-08-2014 дата публикации

INDEX MATCHED GRATING INSCRIPTION

Номер: US20140232997A1
Принадлежит: OFS FITEL, LLC

The disclosed embodiments provide systems and methods for mitigating lensing and scattering as an optical fiber is being inscribed with a grating. The disclosed systems and methods mitigate the lensing phenomenon by surrounding an optical fiber with an index-matching material that is held in a vessel with a sealed phase mask. The sealed phase mask allows it to be in contact with a liquid index-matching material without having the liquid index-matching material seep into the grooves of the sealed phase mask. Thus, for some embodiments, the sealed phase mask may be immersed in a liquid index-matching material without adversely affecting the function of the phase mask. 1. A system , comprising:a liquid index-matching material having a refractive index that substantially matches a refractive index of an optical fiber;a vessel to hold the liquid index-matching material, the vessel comprising a passage for the optical fiber such that the optical fiber is surrounded by the liquid index-matching material; anda sealed phase mask optically coupled to the passage, the sealed phase mask to generate an interferogram from incoming actinic radiation, the interferogram to inscribe a grating on the optical fiber.2. The system of claim 1 , the sealed phase mask comprising grooves claim 1 , the grooves being isolated from the liquid index-matching material.3. The system of claim 1 , the sealed phase mask comprising:a substantially transparent medium; andindex modulations within the substantially-transparent medium, the index modulations to generate the interferogram when irradiated by the incoming actinic radiation.4. The system of claim 1 , the sealed phase mask comprising:a substantially transparent medium;grooves located on a surface of the substantially-transparent medium, the grooves to generate the interferogram when irradiated by the incoming actinic radiation; anda cover to seal the grooves, the cover being located on the surface of the substantially-transparent medium.5. The ...

Подробнее