Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1607. Отображено 194.
03-11-2017 дата публикации

Cleaner composition and preparation of thin substrate

Номер: CN0107312650A
Принадлежит:

Подробнее
14-01-2009 дата публикации

SEMICONDUCTOR WET ETCHANT REDUCING METAL CONTAMINATION GENERATED FROM THE PERIPHERAL AREA OF A SEMICONDUCTOR SUBSTRATE AND A METHOD OF FORMING AN INTERCONNECTION STRUCTURE USING THE SAME

Номер: KR1020090005489A
Принадлежит:

PURPOSE: A semiconductor wet etchant is provided to remove an insulating layer and a metal layer positioned in the peripheral area of a semiconductor substrate while covering an insulating layer and a metal layer positioned in the central area of a semiconductor substrate with a protective film. CONSTITUTION: A semiconductor wet etchant is manufactured by mixing ionized water, fluorine compound 0.25~10.0 wt%, oxidizer 0.45~3.6 wt% and inorganic salt 1.0~5.0 wt%. The inorganic salt comprises at least one of ammonium ion (NH4+) and chlorine ion (Cl-). The fluorine compound comprises at least one selected from hydrofluoric acid (HF), ammonium fluoride (NH4F), ammonium fluoride (NH4HF2), tetramethyl amonium (TMAF: (CH3)4NF) and tetrabutylammonium fluoride (TBMA: (CH3CH2CH2CH2)4NF). The oxidizer comprises at least one selected from hydrogen peroxide (H2O2), nitric acid (HNO3), sulfuric acid (H2SO4), ammonium nitrate (NH4NO3), ammonium iodate (NH4IO3) and ammonium die sulfite ((NH4) 2S2O5). © ...

Подробнее
27-03-2012 дата публикации

LIQUID PROCESSING METHOD, RECORDING MEDIUM HAVING RECORDED PROGRAM FOR EXECUTING LIQUID PROCESSING METHOD THEREIN AND LIQUID PROCESSING APPARATUS

Номер: KR1020120029987A
Принадлежит:

PURPOSE: A liquid processing method and apparatus, and a recording medium recording a program for executing the liquid processing method are provided to eliminate a titanium element in a short time by supplying processing liquids having different etching rate. CONSTITUTION: A substrate supported in a supporting part is rotated with a supporting part. First processing liquid including hydrofluoric acid is provided to the rear side of the rotated substrate(S11). The rear side of the substrate is processed by the first processing liquid. Second processing liquid including ammonium hydrogen peroxide is provided to the rear side of the rotated substrate(S12). The rear side of the substrate is processed by the second processing liquid. DeIonized water is supplied to the bottom side of the substrate(S13). The substrate is dried(S14). COPYRIGHT KIPO 2012 ...

Подробнее
09-08-2003 дата публикации

REMOVING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер: KR20030066451A
Принадлежит:

PURPOSE: To remove a metal containing a 3A group element or a 4A group element formed on a semiconductor substrate with a high cleaning degree. CONSTITUTION: Wet treatment with a solution containing hydrofluoric acid and nitric acid is applied after applying wet treatment with concentrated HF and, subsequently, the wet treatment with the concentrated HF is applied again. © KIPO & JPO 2004 ...

Подробнее
01-02-2006 дата публикации

Method and apparatus for fabricating semiconductor device

Номер: TWI248642B
Автор:
Принадлежит:

A method for fabricating a semiconductor device, wherein a BTBAS-SiN film and an oxide film formed on a reverse-surface side of a semiconductor substrate at the same time as the formation of a BTBAS-SiN film for a side wall or a liner and an oxide film for an offset spacer are completely removed to thereby expose the reverse surface of the semiconductor substrate, and the semiconductor substrate is handled in a process or transfer of the semiconductor substrate by means of an electrostatic chuck or a vacuum chuck as a wafer handler after the reverse surface of the semiconductor substrate is exposed.

Подробнее
11-03-2014 дата публикации

Method of fabricating epitaxial silicon wafer

Номер: TWI430336B
Принадлежит: SUMCO CORP, SUMCO CORPORATION

Подробнее
01-06-2004 дата публикации

Manufacturing method of semiconductor device and semiconductor manufacturing device

Номер: TW0000589687B
Автор:
Принадлежит:

The present invention provides a copper film etching method and manufacturing method of semiconductor, which is to oxidize the copper film and remove the oxide by acid or alkali, so as to obtain a smoother copper film surface with less working time of etching. A barrier metal 2 is buried into a wiring groove on an insulating film 1, and the copper wiring surface surrounded by the barrier metal 2 is contact by a mixed solution of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have a pH of 8 to 10, so as to form a copper oxide film 5 including an ammonia complex on its surface. Then acid with weaker oxidizing capability such as diluted HCL or alkali such as aqueous ammonia is used for selectively etching the copper oxide film 5. A barrier metal 4 is formed on its surface. Thus, it is possible to have a smooth surface after etching copper, which cannot be realized in the prior art. Stable barrier metal is formed by oxidizing and etching with safe and cheap agent ...

Подробнее
28-11-2002 дата публикации

DIFFERENTIAL CLEANING FOR SEMICONDUCTOR WAFERS WITH COPPER CIRCUITRY

Номер: WO2002095813A2
Принадлежит:

The invention provides a method for differentially applying cleaning chemistries to a silicon wafer that has undergone a polishing process whether chemical mechanical polishing or polishing with a fixed abrasive material. In accordance with the invention, cleaning fluid with a specific chemistry designed for cleaning the front side of the wafer is applied to the front side; while different chemistry specifically selected for more effectively cleaning the rear side of the wafer is applied to that side. This application of different chemistries to the two sides of the wafer is referred to as "differential cleaning".

Подробнее
22-09-2005 дата публикации

Wet processing method and processing apparatus of substrate

Номер: US20050208774A1
Принадлежит:

A substrate wet-processing method can carry out uniform chemical processing of the surface of a substrate while easily preventing a gas from remaining on the surface of the substrate and preventing difference in the concentration and the temperature of a chemical solution between the end portion and the central portion of the substrate. The substrate wet-processing method includes: providing an acidic solution whose concentration is previously adjusted within a predetermined concentration range; continuously spraying the acidic solution having the adjusted concentration toward a substrate at a predetermined pressure to bring it into contact with a surface of the substrate; and then forming a film of an insulating material, a metal or an alloy on the exposed surface of a metal formed in the surface of the substrate.

Подробнее
26-02-2002 дата публикации

Method to remove copper contamination by using downstream oxygen and chelating agent plasma

Номер: US0006350689B1

A method of removing copper contamination from a semiconductor wafer, comprising the following steps. A semiconductor wafer having copper contamination thereon is provided. An oxidizing radical containing downstream plasma is provided from a first source (alternatively halogen (F2, Cl2, or Br2) may be used as on oxidizing agent). A vaporized chelating agent is provided from a second source. The oxidizing radical containing downstream plasma and vaporized chelating agent are mixed to form an oxidizing radical containing downstream plasma/vaporized chelating agent mixture. The mixture is directed to the copper contamination so that the mixture reacts with the copper contamination to form a volatile product. The volatile product is removed from the proximity of the wafer.

Подробнее
09-02-2010 дата публикации

Process for manufacturing semiconductor integrated circuit device

Номер: US0007659201B2

In order to provide an anticorrosive technique for metal wirings formed by a chemical mechanical polishing (CMP) method, a process for manufacturing a semiconductor integrated circuit device according to the invention comprises the steps of: forming a metal layer of Cu (or a Cu alloy containing Cu as a main component) over the major face of a wafer and then planarizing the metal layer by a chemical mechanical polishing (CMP) method to form metal wirings; anticorroding the planarized major face of the wafer to form a hydrophobic protective film over the surfaces of the metal wirings; immersing the anticorroded major face of the wafer or keeping the same in a wet state so that it may not become dry; and post-cleaning the major face, kept in the wet state, of the wafer.

Подробнее
12-11-2009 дата публикации

METHOD AND APPARATUS FOR REMOVING POLYMER FROM A SUBSTRATE

Номер: US2009277874A1
Принадлежит:

A method and an apparatus for removing polymer from a substrate are provided. In one embodiment, an apparatus utilized to remove polymer from a substrate includes a processing chamber having a chamber wall and a chamber lid defining a process volume, a substrate support assembly disposed in the processing chamber, a remote plasma source coupled to the processing chamber through an outlet port formed through the processing chamber, the outlet port having an opening pointing toward an periphery region of a substrate disposed on the substrate support assembly, and a substrate supporting surface of the substrate support assembly that substantially electrically floats the substrate disposed thereon relative to the substrate support assembly.

Подробнее
11-03-2010 дата публикации

APPARATUS FOR PLASMA PROCESSING AND METHOD FOR PLASMA PROCESSING

Номер: US20100059478A1
Принадлежит:

There is provided a substrate supporter capable of securely supporting a substrate such as a wafer on which a device having a predetermined thin film pattern is formed to remove various impurities formed on the rear surface of the substrate, and a plasma processing apparatus having the same. The plasma processing apparatus includes: at least one arm; and a supporting portion extending from the arm toward a substrate seating position of the substrate, so that the plasma processing apparatus can reduce the likelihood of arc discharges compared with conventional dry etching to increase process yield and product reliability, and ensure stable mounting of a substrate.

Подробнее
12-11-2020 дата публикации

CHEMICAL SOLUTION, METHOD FOR MANUFACTURING CHEMICAL SOLUTION, AND METHOD FOR TREATING SUBSTRATE

Номер: US20200354632A1
Принадлежит: FUJIFILM Corporation

The chemical solution according to an embodiment of the present invention includes one or more kinds of periodic acids selected from the group consisting of a periodic acid and a salt thereof, one or more kinds of first metal components selected from the group consisting of Ti and Zr, and water. In a case where the chemical solution includes one kind of first metal component, a content of the one kind of first metal component is 1 ppt by mass to 100 ppm by mass with respect to a total mass of the periodic acids. In a case where the chemical solution includes two kinds of first metal components, a content of both the two kinds of first metal components is equal to or smaller than 100 ppm by mass with respect to the total mass of the periodic acids, and a content of at least one of the two kinds of first metal components is equal to or greater than 1 ppt by mass with respect to the total mass of the periodic acids.

Подробнее
08-04-2010 дата публикации

Рrосеss fоr mаnufасturing sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе

Номер: US0023950526B2

In оrdеr tо prоvidе аn аntiсоrrоsivе tесhniquе fоr mеtаl wirings fоrmеd bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd, а prосеss fоr mаnufасturing а sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе ассоrding tо thе invеntiоn соmprisеs thе stеps оf: fоrming а mеtаl lауеr оf Сu (оr а Сu аllоу соntаining Сu аs а mаin соmpоnеnt) оvеr thе mаjоr fасе оf а wаfеr аnd thеn plаnаrizing thе mеtаl lауеr bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd tо fоrm mеtаl wirings; аntiсоrrоding thе plаnаrizеd mаjоr fасе оf thе wаfеr tо fоrm а hуdrоphоbiс prоtесtivе film оvеr thе surfасеs оf thе mеtаl wirings; immеrsing thе аntiсоrrоdеd mаjоr fасе оf thе wаfеr оr kееping thе sаmе in а wеt stаtе sо thаt it mау nоt bесоmе drу; аnd pоst-сlеаning thе mаjоr fасе, kеpt in thе wеt stаtе, оf thе wаfеr.

Подробнее
31-07-2013 дата публикации

Номер: JP0005249915B2
Автор:
Принадлежит:

Подробнее
05-06-2013 дата публикации

Номер: JP0005203435B2
Автор:
Принадлежит:

Подробнее
21-03-2007 дата публикации

Electroless plating liquid and semiconductor device

Номер: CN0001933143A
Принадлежит:

Подробнее
22-06-2016 дата публикации

Remove the particle on the wafer rear side

Номер: CN0103065934B
Автор:
Принадлежит:

Подробнее
25-02-2000 дата публикации

MANUFACTURING METHOD FOR SEMICONDUCTOR INTEGRATION CIRCUIT DEVICE

Номер: KR20000011853A
Принадлежит:

PURPOSE: A semiconductor integration device is provided to prevent the corrosion of a metal wire formed by using a Chemical Mechanical Polishing method. CONSTITUTION: The manufacturing method for the semiconductor integration circuit includes the steps of: forming a metal layer consisted of Cu on the circumferential face of a wafer; forming a metal wire by flattening-treating the metal layer with the chemical mechanical polishing method; forming a hydrophobic protection film on the surface of the metal wire; keeping the liquid in a dipping or a wet state lest the circumferential face dries; after-cleaning the circumferential face of the wafer kept in the wet state. COPYRIGHT 2000 KIPO ...

Подробнее
14-07-2016 дата публикации

SINGLE WAFER CLEANING FACILITY

Номер: KR1020160084695A
Принадлежит:

According to an embodiment of a technical concept of the present invention, disclosed is a configuration of a chamber which can can control static electricity generated in processes of rotating a wafer of a singly type to clean and manufacture the wafer, and defects generated due to the static electricity, during a semiconductor cleaning process. A static electricity eliminating object installed on a chuck is used during the cleaning process. COPYRIGHT KIPO 2016 ...

Подробнее
01-11-2008 дата публикации

Process for wafer backside polymer removal with wafer front side gas purge

Номер: TW0200842974A
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. Gas flow is confined at the edge of the workpiece within a gap at the edge of the workpiece, the gap configured to be on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. The process further includes evacuating the lower process zone, generating a plasma in an external chamber from a polymer etch precursor gas, and introducing a by-product from the plasma into the loser process zone. The process further includes pumping a purge gas into the upper process zone to remove polymer etch species from the upper process zone.

Подробнее
29-06-2006 дата публикации

NON-CONTACT DISCRETE REMOVAL OF SUBSTRATE SURFACE CONTAMINANTS/COATINGS, AND METHOD, APPARATUS, AND SYSTEM FOR IMPLEMENTING THE SAME

Номер: WO000002006068958A3
Принадлежит:

A substrate preparation method is provided. The method includes providing a substrate to be prepared. The substrate has a first layer and second layer. The first layer is to be removed from over the second layer. An energy frequency that is to be absorbed by the second layer while penetrating through the first layer transparently is determined. Energy that has the determined energy frequency is applied onto the first layer so as to disrupt a bond between the first layer and the second layer at a location of application of the energy. A portion of the first layer defined at the location of application of energy is removed. A substrate preparation apparatus is also provided.

Подробнее
07-08-2003 дата публикации

Method for removing contamination and method for fabricating semiconductor device

Номер: US20030148627A1
Принадлежит:

A method for removing contamination on a semiconductor substrate is disclosed. The contamination contains at least one element belonging to one of 3A group, 3B group and 4A group of long-period form of periodic system of elements. The method comprises first and second process steps. The first process is wet processing the semiconductor substrate by first remover liquid that contains one of acid and alkali. The second process is wet processing the semiconductor substrate by second remover liquid that contains oxidizing reagent and one of hydrofluoric acid and salt of hydrofluoric acid.

Подробнее
16-04-2019 дата публикации

Removing particulate contaminants from the backside of a wafer or reticle

Номер: US0010262853B2

The invention is directed to a method for removing particulate contaminants from the backside of a wafer or reticle, and to a cleaning substrate for use in such method. In the method of the invention particulate contaminants are removed from the backside of a wafer or reticle with a cleaning substrate. The cleaning substrate comprises protrusions and a tacky layer between the protrusions. The method comprises contacting the backside of the wafer or reticle with the protrusions of the cleaning substrate while maintaining a distance between the wafer or reticle and the tacky layer, the distance being in the range of 1-10 μm.

Подробнее
09-10-2010 дата публикации

Рrосеss fоr mаnufасturing sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе

Номер: US0021314061B2

In оrdеr tо prоvidе аn аntiсоrrоsivе tесhniquе fоr mеtаl wirings fоrmеd bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd, а prосеss fоr mаnufасturing а sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе ассоrding tо thе invеntiоn соmprisеs thе stеps оf: fоrming а mеtаl lауеr оf Сu (оr а Сu аllоу соntаining Сu аs а mаin соmpоnеnt) оvеr thе mаjоr fасе оf а wаfеr аnd thеn plаnаrizing thе mеtаl lауеr bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd tо fоrm mеtаl wirings; аntiсоrrоding thе plаnаrizеd mаjоr fасе оf thе wаfеr tо fоrm а hуdrоphоbiс prоtесtivе film оvеr thе surfасеs оf thе mеtаl wirings; immеrsing thе аntiсоrrоdеd mаjоr fасе оf thе wаfеr оr kееping thе sаmе in а wеt stаtе sо thаt it mау nоt bесоmе drу; аnd pоst-сlеаning thе mаjоr fасе, kеpt in thе wеt stаtе, оf thе wаfеr.

Подробнее
25-04-2010 дата публикации

Рrосеss fоr mаnufасturing sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе

Номер: US0020547357B2

In оrdеr tо prоvidе аn аntiсоrrоsivе tесhniquе fоr mеtаl wirings fоrmеd bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd, а prосеss fоr mаnufасturing а sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе ассоrding tо thе invеntiоn соmprisеs thе stеps оf: fоrming а mеtаl lауеr оf Сu (оr а Сu аllоу соntаining Сu аs а mаin соmpоnеnt) оvеr thе mаjоr fасе оf а wаfеr аnd thеn plаnаrizing thе mеtаl lауеr bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd tо fоrm mеtаl wirings; аntiсоrrоding thе plаnаrizеd mаjоr fасе оf thе wаfеr tо fоrm а hуdrоphоbiс prоtесtivе film оvеr thе surfасеs оf thе mеtаl wirings; immеrsing thе аntiсоrrоdеd mаjоr fасе оf thе wаfеr оr kееping thе sаmе in а wеt stаtе sо thаt it mау nоt bесоmе drу; аnd pоst-сlеаning thе mаjоr fасе, kеpt in thе wеt stаtе, оf thе wаfеr.

Подробнее
23-01-2002 дата публикации

SEMICONDUCTOR WAFER PROCESSING APPARATUS AND PROCESSING METHOD

Номер: EP0001174912A1
Принадлежит:

This invention relates to a semiconductor substrate processing apparatus and method for forming interconnects by filling a circuit pattern groove and/or a hole formed in a semiconductor substrate with a plated metal film, and removing the plated metal film while leaving the metal film at the filled portion. The semiconductor substrate processing apparatus according to the present invention comprises a loading and unloading section (1) for carrying in and carrying out a semiconductor substrate W, which has a circuit formed on a surface thereof, in a dry state; a plated metal film forming unit (2) for forming a plated metal film on the semiconductor substrate which has been carried in; a bevel etching unit (116) for etching a peripheral edge portion of the semiconductor substrate; a polishing unit (10, 11) for polishing at least part of the plated metal film on the semiconductor substrate; and a robot (3, 8) for transporting the semiconductor substrate between the above units.

Подробнее
25-08-2017 дата публикации

УСТАНОВКА ОЧИСТКИ ПОЛУПРОВОДНИКОВЫХ ПЛАСТИН

Номер: RU173401U1

Полезная модель относится к области электронной техники, а конкретнее к технологии индивидуальной очистки изделий электронной техники, и может быть использована на операциях очистки полупроводниковых пластин с помощью акустического (ультра- и мегазвукового) воздействия в производстве полупроводниковых приборов на основе пластин большого диаметра.Установка очистки полупроводниковых пластин, содержащая корпус отмывочной камеры, пластину, держатель пластины, выполненный в виде фиксирующих прижимных роликов, оснащенную пьезоизлучателем мегазвуковых колебаний фронтальную форсунку с соплом для подачи моющей жидкости на рабочую поверхность пластины, тыльную форсунку с соплом для подачи моющей жидкости на нерабочую поверхность пластины, характеризующаяся тем, что фронтальная форсунка закреплена неподвижно, сопло форсунки выполнено в виде щели длиной не менее радиуса пластины, расположенной вдоль радиуса пластины, а прижимные ролики установлены на прикрепленных к основанию корпуса втулках, причем ...

Подробнее
21-06-2012 дата публикации

Verfahren zur Herstellung eines epitaktischen Siliziumwafers

Номер: DE112010003306T5
Принадлежит: SUMCO CORP, SUMCO CORP.

Eine Aufgabe der Erfindung ist, einen epitaktischen Siliziumwafer in höherer Qualität mit guter Ebenheit und Dickengleichförmigkeit bereitzustellen. Die Aufgabe wird gelöst durch ein Verfahren, welches dadurch gekennzeichnet ist, dass nach Ausbildung einer epitaktischen Schicht (20) auf einer Oberfläche eines hochglanzpolierten Siliziumwafers (10), ein Schleifprozess, ein Polierprozess oder ein chemischer Ätzprozess lediglich auf einer rückseitigen Oberfläche des Siliziumwafers (10) durchgeführt wird, und Silizium-Präzipitat (21), welches bei der Ausbildung der epitaktischen Schicht (20) an dem Endabschnitt der rückseitigen Oberfläche des Siliziumwafers (10) anhaftet, entfernt wird.

Подробнее
24-02-2011 дата публикации

DEVICE AND A METHOD FOR REMOVING POLYMER CIRCULARLY ATTACHED TO THE EDGES OF A SUBSTRATE

Номер: KR1020110018843A
Принадлежит:

PURPOSE: A device and a method for removing polymer are provided to suppress particles by not rotating a substrate. CONSTITUTION: A process container(11) receives a substrate with edges to which polymer is circularly attached. The substrate is mounted on a mounting stand(12). A laser radiating unit radiates a ring type laser beam to the polymer circularly attached to the substrate. An ozone gas supply device supplies ozone gas to the polymer circularly attached to the substrate. An exhaust device(23,24) exhausts ozone gas. COPYRIGHT KIPO 2011 ...

Подробнее
28-10-2014 дата публикации

Номер: KR1020140125203A
Автор:
Принадлежит:

Подробнее
08-10-2018 дата публикации

기판 처리 방법 및 기판 처리 장치

Номер: KR1020180109972A
Принадлежит:

... 기판 처리 방법은, 기판 유지 유닛에 기판을 유지시키는 기판 유지 공정과, 상기 기판 유지 유닛에 유지되어 있는 상기 기판의 일방 주면에, 불산 용액에 오존이 용해된 오존 함유 불산 용액을 공급하는 오존 함유 불산 용액 공급 공정과, 상기 오존 함유 불산 용액 공급 공정 후에, 상기 기판의 상기 일방 주면에 세정 브러시를 접촉시킴으로써, 당해 일방 주면을 세정하는 브러시 세정 공정과, 상기 오존 함유 불산 용액 공급 공정 후 상기 브러시 세정 공정의 개시에 앞서, 또는 상기 브러시 세정 공정에 병행하여, 상기 기판의 상기 일방 주면에 오존수를 공급하는 오존수 공급 공정을 포함한다.

Подробнее
14-04-2016 дата публикации

SUBSTRATE CLEANING METHOD AND SUBSTRATE CLEANING APPARATUS

Номер: KR0101612633B1
Автор: 미야 가츠히코

... [과제] 택트 타임 및 러닝 코스트를 증대시키는 일 없이, 기판의 한쪽 주면에 형성된 패턴이 데미지를 받는 것을 억제하면서 기판의 다른쪽 주면을 양호하게 세정한다. [해결 수단] 기판의 한쪽 주면에 제1 액체를 공급하여 제1 액막을 형성하는 액막 형성 공정과, 제1 액막이 한쪽 주면에 형성된 상태로 제2 액체에 초음파를 인가한 초음파 인가액을 기판의 다른쪽 주면에 공급하여 다른쪽 주면을 세정하는 세정 공정을 구비하고, 제1 액체는, 기판의 주면상에 존재하는 액체에 초음파가 전달될 때에 상기 액체 중에서 발생하는 캐비테이션에 의해 기판에 작용하는 단위 면적당 응력인 캐비테이션 강도가 제2 액체보다 낮다.

Подробнее
08-03-2011 дата публикации

PLASMA DIELECTRIC ETCH PROCESS INCLUDING IN-SITU BACKSIDE POLYMER REMOVAL FOR LOW DIELECTRIC CONSTANT MATERIAL

Номер: KR0101019931B1
Автор:
Принадлежит:

Подробнее
01-04-2015 дата публикации

Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems

Номер: TW0201513165A
Принадлежит:

Disclosed herein are electroplating systems for forming a layer of metal on a wafer which include an electroplating module and a wafer edge imaging system. The electroplating module may include a cell for containing an anode and an electroplating solution during electroplating, and a wafer holder for holding the wafer in the electroplating solution and rotating the wafer during electroplating. The wafer edge imaging system may include a wafer holder for holding and rotating the wafer through different azimuthal orientations, a camera oriented for obtaining multiple azimuthally separated images of a process edge of the wafer while it is held and rotated (the process edge corresponding to the outer edge of the layer of metal formed on the wafer), and image analysis logic for determining an edge exclusion distance, wherein the edge exclusion distance is a distance between the wafer's edge and the process edge.

Подробнее
09-05-2000 дата публикации

Method of processing a substrate and apparatus for the method

Номер: US0006059985A
Автор:
Принадлежит:

A method of processing a substrate has the following processes. After depositing a thin film onto a substrate by a CVD method, the front surface of the substrate is brought close to a gas supply surface of a gas supply mechanism to have a desired interval without making contact between the front surface and the gas supply surface. Afterwards, an etching gas is supplied into a back space of the substrate to generate plasma there, and further a purge gas is also supplied into a space between the gas supply surface and the substrate so that the purge gas flows into the back space through a peripheral-edge region of the substrate. This purge gas prevents radicals included in the plasma from diffusing into the space between the gas supply surface and the substrate.

Подробнее
21-11-2002 дата публикации

Differential Cleaning for semiconductor wafers with copper circuitry

Номер: US20020170574A1
Автор: Justin Quarantello
Принадлежит: SpeedFam-IPEC Corporation

The invention provides a method for differentially applying cleaning chemistries to a silicon wafer that has undergone a polishing process whether chemical mechanical polishing or polishing with a fixed abrasive material. In accordance with the invention, cleaning fluid with a specific chemistry designed for cleaning the front side of the wafer is applied to the front side; while different chemistry specifically selected for more effectively cleaning the rear side of the wafer is applied to that side. This application of different chemistries to the two sides of the wafer is referred to as “differential cleaning”.

Подробнее
23-01-2014 дата публикации

Methods for Minimizing Edge Peeling in the Manufacturing of BSI Chips

Номер: US20140024170A1

A method includes forming top metal lines over a semiconductor substrate, wherein the semiconductor substrate is a portion of a wafer having a bevel. When the top metal lines are exposed, an etchant is supplied on the bevel, wherein regions of the wafer sprayed with the etchant has an inner defining line forming a first ring having a first diameter. A trimming step is performed to trim an edge portion of the wafer, wherein an edge of a remaining portion of the wafer has a second diameter substantially equal to or smaller than the first diameter.

Подробнее
16-09-2014 дата публикации

Wafer backside defectivity clean-up utilizing selective removal of substrate material

Номер: US0008835289B2

A wafer and a fabrication method include a base structure including a substrate for fabricating semiconductor devices. The base structure includes a front side where the semiconductor devices are formed and a back side opposite the front side. An integrated layer is formed in the back side of the base structure including impurities configured to alter etch selectivity relative to the base structure such that the integrated layer is selectively removable from the base structure to remove defects incurred during fabrication of the semiconductor devices.

Подробнее
24-08-2010 дата публикации

Method of manufacturing semiconductor device

Номер: US0007781341B2

A method for manufacturing a semiconductor device is provided, which includes feeding a coating liquid comprising a silicon-containing compound dissolved in a solvent onto a semiconductor substrate, revolving the semiconductor substrate to form a coated film containing the silicon-containing compound, feeding a rinsing liquid at least partially comprising -pinene onto the underside of the semiconductor substrate to perform back-rinsing and washing of the underside of the semiconductor substrate, drying the semiconductor substrate that has been back-rinsed to remove the rinsing liquid, and heat-treating the semiconductor substrate to remove the solvent from the coated film to obtain an insulating film containing the silicon-containing compound.

Подробнее
11-10-2007 дата публикации

PLASMA DIELECTRIC ETCH PROCESS INCLUDING EX-SITU BACKSIDE POLYMER REMOVAL FOR LOW-DIELECTRIC CONSTANT MATERIAL

Номер: US2007238305A1
Принадлежит:

A plasma etch process for etching a porous carbon-doped silicon oxide dielectric layer using a photoresist mask is carried out first in an etch reactor by performing a fluorocarbon based etch process on the workpiece to etch exposed portions of the dielectric layer while depositing protective fluorocarbon polymer on the photoresist mask. Then, in an ashing reactor, polymer and photoresist are removed by heating the workpiece to over 100 degrees C., exposing a peripheral portion of the backside of said workpiece, and providing products from a plasma of a hydrogen process gas to reduce carbon contained in polymer and photoresist on said workpiece until the polymer has been removed from a backside of said workpiece. The process gas preferably contains both hydrogen gas and water vapor, although the primary constituent is hydrogen gas. The wafer (workpiece) backside may be exposed by extending the wafer lift pins.

Подробнее
15-08-2010 дата публикации

Рrосеss fоr mаnufасturing sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе

Номер: US0022028296B2

In оrdеr tо prоvidе аn аntiсоrrоsivе tесhniquе fоr mеtаl wirings fоrmеd bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd, а prосеss fоr mаnufасturing а sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе ассоrding tо thе invеntiоn соmprisеs thе stеps оf: fоrming а mеtаl lауеr оf Сu (оr а Сu аllоу соntаining Сu аs а mаin соmpоnеnt) оvеr thе mаjоr fасе оf а wаfеr аnd thеn plаnаrizing thе mеtаl lауеr bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd tо fоrm mеtаl wirings; аntiсоrrоding thе plаnаrizеd mаjоr fасе оf thе wаfеr tо fоrm а hуdrоphоbiс prоtесtivе film оvеr thе surfасеs оf thе mеtаl wirings; immеrsing thе аntiсоrrоdеd mаjоr fасе оf thе wаfеr оr kееping thе sаmе in а wеt stаtе sо thаt it mау nоt bесоmе drу; аnd pоst-сlеаning thе mаjоr fасе, kеpt in thе wеt stаtе, оf thе wаfеr.

Подробнее
08-10-2003 дата публикации

Method for preventing contamination during the fabrication of a semiconductor device

Номер: EP0001351282A2
Принадлежит:

Immediately after a Si/SiGe film containing a contaminant is formed over all surfaces of a substrate by epitaxial growth, a portion of the Si/SiGe film formed to the back surface side of the substrate is removed by wet etching. In addition, the Si/SiGe film is subjected to processing with heating in a container, after which a dummy run is carried out in the container. These processings prevent secondary wafer contamination through a stage, a robot arm or a vacuum wand for handling a wafer and the contamination of the container also used in the fabrication process of a semiconductor device free from any group IV element but Si.

Подробнее
02-09-2010 дата публикации

CHEMICAL-LIQUID PROCESSING APPARATUS, AND CHEMICAL-LIQUID PROCESSING METHOD

Номер: JP2010192875A
Принадлежит:

PROBLEM TO BE SOLVED: To provide a substrate processing apparatus to improve etching uniformity when a back surface of a substrate is etched with a high-temperature chemical liquid. SOLUTION: The chemical-liquid processing apparatus 1 removes a film formed on a wafer W as the substrate by etching with a high-temperature chemical liquid. The apparatus includes a spin chuck 3 to hold the wafer W horizontally in a state where its back surface faces downward, a rotating mechanism 4 to rotate the spin chuck 3 by a hollow rotating shaft 12 extending vertically, a chemical-liquid discharge nozzle 5 provided in the rotating shaft 12 to supply the high-temperature chemical liquid to the back surface of the wafer W by discharging the high-temperature chemical liquid upwardly, and a chemical-liquid supply mechanism 6 to supply the chemical liquid to the chemical-liquid discharge nozzle 5. The chemical-liquid discharge nozzle 5 includes a plurality of outlets 18a, 18b and 18c discharging the high-temperature ...

Подробнее
04-09-2008 дата публикации

Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika

Номер: DE102007009913A1
Принадлежит:

Es wird ein Plasmaätzprozess mit hoher Ausbeute für ein Zwischenschichtdielektrikum des Halbleiterbauelements bereitgestellt, wobei gemäß einer Ausführungsform eine dielektrische Schicht mit einem stickstoffenthaltenden Plasma geätzt wird. Auf diese Weise kann die Ausbildung von Polymeren auf einer Rückseitenabschrägung eines Substrats vermieden oder deutlich reduziert werden. Verbleibendes Polymer an der Rückseitenabschrägung kann in situ mittels einer dem Ätzvorgang nachgeordneten Behandlung gemäß einer weiteren Ausführungsform der Erfindung entfernt werden. Ferner wird eine Plasmaätzeinrichtung bereitgestellt mit einer Kammer; einem Substrataufnahmebereich zum Aufnehmen eines Substrats; einem Plasmagenerator zum Erzeugen eines Plasmas in der Kammer und einer Temperaturaufbereitungseinrichtung zum Einstellen einer Temperatur an einem äußeren Randgebiet des Substrataufnahmebereichs, um damit Temperaturgradienten an einer Abschrägung der Scheibe zu minimieren.

Подробнее
18-12-2014 дата публикации

Verfahren zur Herstellung von FET-Transistorelementen mit höherer Stabilität der Transistoreigenschaften bei früh gebildetem High-k/Metallgate

Номер: DE102013206295B4
Принадлежит: GLOBALFOUNDRIES INC, GLOBALFOUNDRIES INC.

Verfahren, umfassend: Bilden einer Ausnehmung (204) in einem aktiven Gebiet (202a) einer Halbleitervorrichtung (200) in Gegenwart einer Gateelektrodenstruktur (260a), wobei die Gateelektrodenstruktur (260a) ein High-k-Dielektrikumsmaterial (262) umfasst; Bilden eines ersten Nassreinigungsprozesses (215), um eine Rückseite (201r) eines Substrats (201) der Halbleitervorrichtung (200) zu reinigen, während das in einer Vorderseite (201f) des Substrats (201) gebildete aktive Gebiet (202a) gespült wird; Durchführen eines zweiten Nassreinigungsprozesses (208) vor einem epitaktischen Aufwachsprozess (216); Bilden einer Halbleiterverbindung (251) in der Ausnehmung (204) unter Durchführen des epitaktischen Aufwachsprozesses (216); und Bilden von Source- und Drainbereichen (253) in wenigstens einem Bereich der Halbleiterverbindung (251).

Подробнее
15-01-2009 дата публикации

PROCEDURE FOR PROCESSING RUTHENIUMSILIZID

Номер: AT0000420460T
Принадлежит:

Подробнее
10-03-2014 дата публикации

CHEMICAL PROCESSING APPARATUS AND CHEMICAL PROCESSING METHOD

Номер: KR0101371118B1
Автор:
Принадлежит:

Подробнее
27-12-1999 дата публикации

Номер: KR19990088436A
Автор:
Принадлежит:

Подробнее
30-07-2010 дата публикации

CHEMICAL PROCESSING DEVICE AND A CHEMICAL PROCESSING METHOD, CAPABLE OF IMPROVING THE UNIFORMITY OF ETCHING WHEN ETCHING THE REAR OF A SUBSTRATE WITH THE CHEMICAL OF A HIGH TEMPERATURE

Номер: KR1020100086444A
Принадлежит:

PURPOSE: A chemical processing device and a chemical processing method are provided to uniformly supply the chemical of a high temperature to a surface for a short time by using a plurality of outlets of a chemical discharge nozzle. CONSTITUTION: A substrate holding mechanism(3) horizontally holds a substrate. A rotating mechanism(4) rotates the substrate holding mechanism by a hollow rotation shaft. A chemical discharge nozzle(5) supplies the chemical of a high temperature to the rear of the substrate. The chemical discharge nozzle includes a plurality of outlets(18a-18c). The chemical of the high temperature is uniformly supplied to the rear of the substrate through the outlets. A chemical supply unit(6) supplies the chemical to the chemical discharge nozzle. COPYRIGHT KIPO 2010 ...

Подробнее
29-07-2020 дата публикации

CHEMICAL SOLUTION AND METHOD FOR TREATING SUBSTRATE

Номер: SG11202006176YA
Принадлежит:

Подробнее
04-10-2007 дата публикации

PLASMA DIELECTRIC ETCH PROCESS INCLUDING IN-SITU BACKSIDE POLYMER REMOVAL FOR LOW-DIELECTRIC CONSTANT MATERIAL

Номер: WO2007111837A2
Принадлежит:

A plasma etch process with in-situ backside polymer removal begins with a workpiece having a porous or non-porous carbon-doped silicon oxide dielectric layer and a photoresist mask on a surface of the workpiece. The workpiece is clamped onto an electrostatic chuck in an etch reactor chamber. The process includes introducing a fluoro-carbon based process gas and applying RF bias power to the electrostatic chuck and RF source power to an overhead electrode to etch exposed portions of the dielectric layer while depositing protective fluoro-carbon polymer on the photoresist mask. The process further includes removing the fluoro-carbon based process gas and introducing a hydrogen-based process gas and applying RF source power to the overhead electrode. The lift pins in the electrostatic chuck are extended to raise the workpiece above the electrostatic chuck and expose a backside of the workpiece to plasma in the reactor chamber, so as to reduce polymer previously deposited on the backside, until ...

Подробнее
19-04-2011 дата публикации

Cross-contamination control for semiconductor process flows having metal comprising gate electrodes

Номер: US0007927993B2

A method for fabricating a CMOS integrated circuit (IC) includes providing a semiconductor including wafer having a topside semiconductor surface, a bevel semiconductor surface, and a backside semiconductor surface. A gate dielectric layer is formed on at least the topside semiconductor surface. A metal including gate electrode material including at least a first metal is deposited on the gate dielectric layer on the topside semiconductor surface and on at least a portion of the bevel semiconductor surface and at least a portion of the backside semiconductor surface. The metal including gate electrode material on the bevel semiconductor surface and the backside semiconductor surface are selectively removed to form substantially first metal free bevel and backside surfaces while protecting the metal gate electrode material on the topside semiconductor surface. The selective removing includes a first wet etch that etches the metal gate electrode material highly selectively as compared to ...

Подробнее
25-07-2017 дата публикации

Substrate cleaning method

Номер: US0009716002B2
Принадлежит: TOKYO ELECTRON LIMITED

A disclosed substrate cleaning apparatus for cleaning a back surface of a substrate includes a first substrate supporting portion configured to support the substrate at a first area of a back surface of the substrate, the back surface facing down; a second substrate supporting portion configured to support the substrate at a second area of the back surface of the substrate, the second area being separated from the first area; a cleaning liquid supplying portion configured to supply cleaning liquid to the back surface of the substrate; a drying portion configured to dry the second area of the back surface of the substrate; and a cleaning portion configured to clean a third area of the back surface of the substrate when the substrate is supported by the first substrate supporting portion, the third area including the second area, and a fourth area of the back surface of the substrate when the substrate is supported by the second substrate supporting portion, the fourth area excluding the ...

Подробнее
03-01-2019 дата публикации

SEMICONDUCTOR WAFER AND METHOD OF WAFER THINNING

Номер: US20190006169A1

A semiconductor wafer has a base material. The semiconductor wafer may have an edge support ring. A grinding phase of a surface of the semiconductor wafer removes a portion of the base material. The grinder is removed from or lifted off the surface of the semiconductor wafer during a separation phase. The surface of the semiconductor wafer and under the grinder is rinsed during the grinding phase and separation phase to remove particles. A rinsing solution is dispensed from a rinsing solution source to rinse the surface of the semiconductor wafer. The rinsing solution source can move in position while dispensing the rinsing solution to rinse the surface of the semiconductor wafer. The grinding phase and separation phase are repeated during the entire grinding operation, when grinding conductive TSVs, or during the final grinding stages, until the final thickness of the semiconductor wafer is achieved. 1. A method of thinning a semiconductor wafer , the method comprising:providing a semiconductor wafer including a base material;grinding a surface of the semiconductor wafer during a grinding phase using a grinder to remove a portion of the base material; andlifting the grinder off the surface of the semiconductor wafer only in a z axis during a separation phase.2. The method of claim 1 , further including repeating the grinding phase and separation phase.3. The method of claim 1 , further including rinsing the surface of the semiconductor wafer during the grinding phase and separation phase to remove the particles.4. The method of claim 3 , wherein the rinsing is continuous.5. The method of claim 3 , wherein the rinsing is pulsed.6. The method of claim 1 , further including reversing movement of the grinder during the separation phase.7. A method of thinning a semiconductor wafer claim 1 , the method comprising:performing a grinding phase on a surface of a semiconductor wafer using a grinder; andseparating the grinder from the surface of the semiconductor wafer only ...

Подробнее
31-05-2018 дата публикации

Substrate Processing Apparatus, Substrate Processing Method and Storage Medium

Номер: US20180151343A1
Принадлежит:

A substrate processing apparatus includes: a first holding part configured to hold a substrate; a second holding part configured to hold the substrate; a sliding member configured to rotate about a vertical axis so that the sliding member slides on a back surface of the substrate; a revolution mechanism configured to revolve the sliding member under rotation about a vertical revolution axis; and a relative movement mechanism configured to horizontally move a relative position between the substrate and a revolution trajectory of the sliding member so that when the substrate is held by the first holding part, the sliding member slides on a central portion of the back surface of the substrate, and when the substrate is held by the second holding part, the sliding member slides on the peripheral portion of the back surface of the substrate under rotation. 1. A substrate processing apparatus , comprising:a first holding part configured to horizontally hold a region not overlapping with a central portion of a back surface of a substrate;a second holding part configured to horizontally hold the central portion of the back surface of the substrate and to rotate the substrate about a vertical axis;a sliding member configured to rotate about a vertical axis so that the sliding member slides on the back surface of the substrate to perform processing;a revolution mechanism configured to revolve the sliding member under rotation about a vertical revolution axis so as to have a revolution radius smaller than a diameter of the sliding member; anda relative movement mechanism configured to horizontally move a relative position between the substrate and a revolution trajectory of the sliding member so that when the substrate is held by the first holding part, the sliding member slides on the central portion of the back surface of the substrate, and when the substrate is held by the second holding part, the sliding member slides on a peripheral portion of the back surface of the ...

Подробнее
22-08-2010 дата публикации

Рrосеss fоr mаnufасturing sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе

Номер: US0027046758B2

In оrdеr tо prоvidе аn аntiсоrrоsivе tесhniquе fоr mеtаl wirings fоrmеd bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd, а prосеss fоr mаnufасturing а sеmiсоnduсtоr intеgrаtеd сirсuit dеviсе ассоrding tо thе invеntiоn соmprisеs thе stеps оf: fоrming а mеtаl lауеr оf Сu (оr а Сu аllоу соntаining Сu аs а mаin соmpоnеnt) оvеr thе mаjоr fасе оf а wаfеr аnd thеn plаnаrizing thе mеtаl lауеr bу а сhеmiсаl mесhаniсаl pоlishing (СМР) mеthоd tо fоrm mеtаl wirings; аntiсоrrоding thе plаnаrizеd mаjоr fасе оf thе wаfеr tо fоrm а hуdrоphоbiс prоtесtivе film оvеr thе surfасеs оf thе mеtаl wirings; immеrsing thе аntiсоrrоdеd mаjоr fасе оf thе wаfеr оr kееping thе sаmе in а wеt stаtе sо thаt it mау nоt bесоmе drу; аnd pоst-сlеаning thе mаjоr fасе, kеpt in thе wеt stаtе, оf thе wаfеr.

Подробнее
23-04-1991 дата публикации

METHOD FOR REMOVING DEPOSIT FROM REAR SURFACE AND END EDGE OF SEMICONDUCTOR WAFER AND DEVICE THEREFOR

Номер: JP0003097869A
Принадлежит:

PURPOSE: To remove deposits from the rear surface and end edges of a semiconductor wafer by pressing the front side of the wafer to a face plate in a vacuum chamber, admitting gases into the vacuum chamber via a spacing held between both and generating plasma. CONSTITUTION: Removal pins 70 are risen by a lifting means 80 to press the front surface 54 of the semiconductor wafer 50 to the face plate 30 in the vacuum chamber 4. A central part 32 recessed to a circular shape having projecting segments 36 is formed on the base of the face plate 30. The wafer 50 forms the space communicating with the vacuum chamber 4 via the segments 36. Treating gases contg. process gases to generate fluorine groups are supplied via a conduit 42, plenum 40 and openings 34 into the space and are admitted into the vacuum chamber 4. The plasma is generated between the rear surface 52 of the wafer 50 and a susceptor 20 by an RR electric power source 90. As a result, the deposits on the rear surface 52 and end edges ...

Подробнее
18-12-2018 дата публикации

A method and system for improving adhesion of SiC-based GaN wafer back gold

Номер: CN0109037035A
Автор: WANG JUNNAN
Принадлежит:

Подробнее
18-11-2015 дата публикации

Methods and apparatus for substrate edge cleaning

Номер: CN0105074877A
Принадлежит:

Подробнее
22-02-2006 дата публикации

SEMICONDUCTOR APPARATUS AND PROCESS FOR ITS PRODUCTION

Номер: KR0100554053B1
Автор:
Принадлежит:

Подробнее
26-04-2005 дата публикации

Номер: KR0100487562B1
Автор:
Принадлежит:

Подробнее
26-09-2014 дата публикации

CONFIGURABLE BEVEL ETCHER

Номер: KR0101445416B1
Автор:
Принадлежит:

Подробнее
09-02-2011 дата публикации

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE, CAPABLE OF IMPROVING A FALL OF STANDBY CURRENT GENERATED IN OXIDATION OF COPPER

Номер: KR1020110012449A
Принадлежит:

PURPOSE: A method of manufacturing a semiconductor device is provided to prevent oxidation of copper which is generated in the edge of a wafer by discharging a reducer including hydrogen to the front of a wafer. CONSTITUTION: A wafer(100) comprises a front side(100a) and a back side(100b) which face with each other. A wafer is arranged on a wafer chuck(1). A fixing pin(p) is arranged on the wafer chuck and fixes the wafer. A rotary shaft(2) rotates the wafer chuck including the wafer at predetermined speed. A nozzle(3) comprises a hole discharging air, gas, powder, and liquid to the front side of the wafer. COPYRIGHT KIPO 2011 ...

Подробнее
06-10-2004 дата публикации

SEMICONDUCTOR FABRICATION METHOD TO RESTRAIN WARPAGE OF WAFER BY REMOVING PARTIALLY MATERIAL LAYER

Номер: KR20040083710A
Принадлежит:

PURPOSE: A semiconductor fabrication method for restraining warpage of a wafer is provided to prevent the warpage of the wafer by removing partially a material layer from a semiconductor substrate. CONSTITUTION: A semiconductor substrate(50) having a front side and a back side is provided. A material layer(52) is formed on one of the front side of the back side of the semiconductor substrate. The first structure(52p) is formed on the semiconductor substrate by etching selectively the material layer. A capping layer(54) is formed on the first structure and the material layer. The capping layer is selectively removed by using an etching solution. The first structure is protected and the material layer is removed by the capping layer. The second structure is formed by patterning the capping layer. © KIPO 2005 ...

Подробнее
18-09-2014 дата публикации

ETCHING METHOD AND ETCHING APPARATUS

Номер: WO2014141664A1
Принадлежит:

... [Problem] To provide an etching method and etching apparatus that are capable of dry etching a Si crystal, or the like, using a gas which is easy to handle and can be obtained at a relatively low cost, without using a plasma generating device. [Solution] An etching apparatus (100) is for dry etching a Si member (S1). The etching apparatus (100) is provided with a reaction chamber (150) for etching the Si member (S1), a first gas supplying unit (111) for supplying a first gas including F2 gas to the reaction chamber (150), and a second gas supplying unit (112) for supplying a second gas including NO2 gas to the reaction chamber (150). In addition, etching is performed by making the internal pressure of the reaction chamber (150) within the range of 10 Pa to 10000 Pa and guiding a mixed gas of the first gas and the second gas to the Si member (S1).

Подробнее
24-10-2019 дата публикации

SUBSTRATE SUPPORT WITH EDGE SEAL

Номер: US20190326152A1
Принадлежит:

Methods and apparatus for supporting a substrate are provided herein. In some embodiments, a substrate support to support a substrate having a given diameter includes: a base ring having an inner diameter less than the given diameter, the base ring having a support surface configured to contact a first surface of the substrate and to form a seal between the support surface and the first surface of the substrate, when disposed atop the base ring; and a clamp ring having an inner diameter less than the given diameter, wherein the clamp ring includes a contact surface proximate the inner diameter configured to contact an upper surface of the substrate, when present, and wherein the clamp ring and the base ring are further configured to provide a bias force toward each other to clamp the substrate in the substrate support.

Подробнее
27-09-2001 дата публикации

Semiconductor substrate processing apparatus and method

Номер: US2001024691A1
Автор:
Принадлежит:

This invention relates to a semiconductor substrate processing apparatus and method for forming interconnects by filling a circuit pattern groove and/or a hole formed in a semiconductor substrate with a plated metal film, and removing the plated metal film while leaving the metal film at the filled portion. The apparatus comprises a carry-in and carry-out section for carrying in and carrying out a semiconductor substrate, which has a circuit formed on a surface thereof, in a dry state; a plated metal film forming unit for forming a plated metal film on the semiconductor substrate which has been carried in; a bevel etching unit for etching a peripheral edge portion of the semiconductor substrate; a polishing unit for polishing at least part of the plated metal film on the semiconductor substrate; and a transport mechanism for transporting the semiconductor substrate between the above units.

Подробнее
22-01-2019 дата публикации

Method for manufacturing ferroelectric thin film device

Номер: US0010186655B2

There is provided a method for manufacturing a ferroelectric thin film device including: a lower electrode film formation step of forming a lower electrode film on a substrate; a ferroelectric thin film formation step of forming a ferroelectric thin film made of a potassium sodium niobate on the lower electrode film; a ferroelectric thin film etching step of shaping the ferroelectric thin film into a desired micro-pattern by etching; and a thin film laminated substrate cleaning step of cleaning the substrate provided the ferroelectric thin film having a desired micro-pattern as a whole with a predetermined cleaning solution after the ferroelectric thin film etching step. The predetermined cleaning solution is a solution mixture containing hydrofluoric acid and ammonium fluoride, the hydrofluoric acid in the solution mixture having a molarity of 0.5 M or more and less than 5 M.

Подробнее
10-11-2015 дата публикации

Wafer backside particle mitigation

Номер: US0009184042B1

A method of particle mitigation which includes obtaining a semiconductor wafer having a nonfunctional backside and a functional frontside on which semiconductor devices are formed by one or more lithography processes; coating the backside with a mitigating layer comprising silicon or amorphous carbon; patterning the mitigating layer to form indentations in the mitigating layer; placing the semiconductor wafer onto a wafer chuck such that the wafer chuck makes direct contact with the coated and patterned backside mitigating layer; and while maintaining the coated and patterned backside mitigating layer in direct contact with the wafer chuck, performing a first lithographic process on the frontside.

Подробнее
09-02-2017 дата публикации

Removal of Particles on Back Side of Wafer

Номер: US20170040155A1

The present disclosure provides a method of cleaning a semiconductor wafer during a process of fabricating a semiconductor device. The method includes loading a semiconductor wafer into a wafer handling system. The method includes removing contaminant particles from an edge region of the wafer from the back side, wherein alignment marks are located in the edge region. The method includes collecting the removed contaminant particles and discarding the collected contaminant particles out of the wafer handling system. The disclosure also provides an apparatus for fabricating a semiconductor device. The apparatus includes a wafer cleaning device that is operable to clean a predetermined region of the wafer on the back surface thereof. The predetermined region of the wafer at least partially overlaps with one or more alignment marks.

Подробнее
02-06-2015 дата публикации

Method and equipment for removing photoresist residue after dry etch

Номер: US0009048268B2

A method for removing photoresist residue includes etching a photoresist layer disposed over a front side of a semiconductor substrate during fabrication of a semiconductor device, and exposing at least one of the front side and the back side of the semiconductor substrate to an atmosphere comprising active oxygen. The method further includes cleaning at least one of the front side and the back side of the semiconductor substrate with a cleaning fluid.

Подробнее
13-08-2008 дата публикации

Removal of process residues on the backside of a substrate

Номер: EP0001956638A2
Принадлежит:

A substrate is processed in a process chamber comprising a substrate support having a receiving surface for receiving a substrate so that a front surface of the substrate is exposed within the chamber. An energized process gas is used to process the front surface of the substrate. A peripheral edge of the backside surface of the substrate is cleaned by raising the substrate above the receiving surface of the substrate support to a raised position, and exposing the backside surface of the substrate to an energized cleaning gas.

Подробнее
26-07-2006 дата публикации

Номер: JP0003802507B2
Автор:
Принадлежит:

Подробнее
03-10-2012 дата публикации

Methods and apparatus for wafer edge processing

Номер: CN101584031B
Принадлежит:

Подробнее
04-03-2016 дата публикации

산화 탄탈막의 제거 방법 및 제거 장치

Номер: KR1020160024377A
Принадлежит:

... 산화 탄탈막(10)이 존재하는 실리콘 기판(W)을 스핀 척(3)에 보지시키고, 스핀 척(3)과 함께 실리콘 기판(W)을 회전시키면서, 실리콘 기판(W)에 불화수소산과 유기산을 포함한 혼합 수용액을 공급한다. 이것에 의해 산화 탄탈막(10)에 불화수소산과 유기산을 포함한 혼합 수용액이 접촉해서 이러한 화학 반응에 의해 산화 탄탈막(10)이 제거된다.

Подробнее
16-06-2010 дата публикации

Cleaning method of semiconductor wafer

Номер: TW0201023254A
Принадлежит:

To provide a removal method of wax capable of uniformly removing the wax adhering to a wafer surface and reducing the problems of re-adhesion of particles and filter clogging of a cleaning bath during cleaning. A cleaning method of a semiconductor wafer of removing wax, which is adhering to a wafer surface, by using cleaning liquid, the cleaning method is characterized in that the cleaning liquid contains microbubbles.

Подробнее
01-11-2005 дата публикации

Substrate washing device and substrate washing method

Номер: TW0200535985A
Принадлежит:

To provide a substrate washing device with which foreign matters stuck to the rear face of a substrate can sufficiently be removed without damaging the substrate. SOLUTION: A plasma processor 1 as the washing device is provided with a chamber 10, a susceptor 11 which is arranged in the chamber 10 and on which the wafer W is placed, an electrode plate 20 which is installed in the susceptor 11 and to which high voltage is applied, a rough reduction line which exhausts inside of the chamber 10, a pusher pin 30 causing a space S between the susceptor 11 and the wafer W, a heat transfer gas supply hole 27 supplying N2gas to the space S, and a shower head 33 introducing raw gas and the like into the chamber 10. When the space S is caused, high voltages with different polarities are alternately applied to the electrode plate 20. N2gas is jetted to the space S toward the rear face of the wafer W. When inside of the chamber 10 is exhausted and inside of the chamber is decompressed ...

Подробнее
06-12-2007 дата публикации

REVERSE SIDE CLEANING DEVICE OF AN OBJECT

Номер: WO2007139239A1
Принадлежит:

The present invention relates to a reverse side cleaning device for an object, in that a cleaning work of a reverse side of an object can be performed by spraying a liquid through a plurality of injection nozzles simultaneously with a process of the object. The reverse side cleaning device for an object according to the present invention includes a spindle motor for rotating a drive shaft, the drive shaft rotated by the spindle motor, a waterway pipe penetrated through the drive shaft and the center of the spindle motor and forming a waterway, a plurality of injection nozzles mounted at an end portion of the waterway pipe, an object loading portion for placing the abject thereon and rotated according to the rotation of the drive shaft, a guide for fixing a girth of the object, and a drive shaft connection portion for connecting the object loading portion and the guide to the drive shaft. The reverse side of the object can be cleaned by spraying the liquid through the plurality of injection ...

Подробнее
24-03-2005 дата публикации

Substrate processing method

Номер: US2005064703A1
Автор:
Принадлежит:

A substrate processing method comprising steps for forming a copper film on a surface of a substrate. These steps includes the step of filling a first metal in the trenches so as to form a plated film of the first metal on an entire surface of the substrate by electroplating, wherein the electromagnetic field is adjusted by the virtual anode so that differences of thickness of the plated film between the central portion and the peripheral portion of the substrate being minimized, and polishing and removing the plated film by pressing the substrate to the polishing surface, wherein the pressures pressing the substrate to the polishing surface at a central portion and a peripheral portion are adjusted.

Подробнее
19-06-2008 дата публикации

SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM

Номер: US2008141509A1
Автор: NISHIMURA EIICHI
Принадлежит:

A substrate processing system that can reliably prevent a rear surface of a substrate from getting scratched without bringing about a decrease in the throughput. A printing module connected to a loader module prints a protective film on the rear surface of the substrate before the substrate is subjected to plasma etching processing. A cleaning module connected to the loader module removes the protective film from the rear surface of the substrate after the substrate has been subjected to the plasma etching processing.

Подробнее
11-08-2009 дата публикации

Blanket resist to protect active side of semiconductor

Номер: US0007572735B2

Yield loss in semiconductor processing is mitigated by forming a resist over an active side of a semiconductor workpiece or wafer, as well as around the edge of the wafer. The resist mitigates the creation of contaminants, such as nitride flakes, for example, that can develop when an oxide, nitride, oxide (ONO) layer is removed from the back or in-active side of the wafer. In the absence of the resist, such flakes may migrate to the front or active side of the wafer and cause defects to form therein, which can result in yield loss.

Подробнее
25-10-2011 дата публикации

Semiconductor wet etchant and method of forming interconnection structure using the same

Номер: US0008043974B2

A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH4+) and a chlorine ion (Cl).

Подробнее
18-03-2004 дата публикации

Etching and cleaning methods and etching and cleaning apparatuses used therefor

Номер: US20040053508A1
Принадлежит: NEC CORPORATION

An etching/cleaning apparatus is provided, which makes it possible to effectively remove an unnecessary material or materials existing on a semiconductor wafer without damaging the device area with good controllability. The apparatus comprises (a) a rotating means for holding a semiconductor wafer and for rotating the wafer in a horizontal plane; the wafer having a device area and a surface peripheral area on its surface; the surface peripheral area being located outside the device area; and (b) an edge nozzle for emitting an etching/cleaning liquid toward a surface peripheral area of the wafer. The etching/cleaning liquid emitted from the edge nozzle selectively removes an unnecessary material existing in the surface peripheral area. The etching/cleaning liquid emitted from the edge nozzle preferably has an emission direction oriented along a rotation direction of the wafer or outward with respect to a tangent of the wafer formed near a contact point of the liquid with the surface peripheral ...

Подробнее
15-08-2000 дата публикации

Method and apparatus for selective removal of material from wafer alignment marks

Номер: US0006103636A1
Принадлежит: Micron Technology, Inc.

A process and apparatus for locally removing any material, such as a refractory metal, in particular tungsten, from any desired area of a wafer, such as an alignment mark area of a silicon wafer in process during the formation of integrated circuits thereon. The process comprising the steps of aligning said area of said wafer, such as an alignment mark on the wafer, to an etchant dispensing apparatus, placing the surface of the wafer adjacent at least a portion of an annular portion of the etchant dispensing apparatus, dispensing at least one etchant onto said area of said wafer, such as an alignment mark, and removing the at least one etching from the wafer. The apparatus for the cleaning of an area of a semiconductor wafer using a material comprising a tube having a bore therethrough and exterior wall, the tube supplying material to said area of the wafer and an annular member having an interior wall surrounding the tube, the annular member having a thin edge thereon for positioning adjacent ...

Подробнее
22-01-2015 дата публикации

Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning

Номер: US20150020848A1
Принадлежит: LAM RESEARCH CORPORATION

A lower electrode plate receives radiofrequency power. A first upper plate is positioned parallel to and spaced apart from the lower electrode plate. A grounded second upper plate is positioned next to the first upper plate. A dielectric support provides support of a workpiece within a region between the lower electrode plate and the first upper plate. A purge gas is supplied at a central location of the first upper plate. A process gas is supplied to a periphery of the first upper plate. The dielectric support positions the workpiece proximate and parallel to the first upper plate, such that the purge gas flows over a top surface of the workpiece so as to prevent the process gas from flowing over the top surface of the workpiece, and so as to cause the process gas to flow around a peripheral edge of the workpiece and below the workpiece. 1. A semiconductor processing system , comprising:a lower electrode plate;a radiofrequency power supply connected to supply radiofrequency power to the lower electrode plate;a dielectric upper plate positioned parallel to and spaced apart from the lower electrode plate;an upper electrode plate positioned next to the dielectric upper plate such that the dielectric upper plate is located between the lower electrode plate and the upper electrode plate, the upper electrode plate electrically connected to a reference ground potential;a dielectric support defined to support a workpiece in an electrically isolated manner within a region between the lower electrode plate and the dielectric upper plate;a purge gas supply channel formed to supply a purge gas to the region between the lower electrode plate and the dielectric upper plate at a central location of the dielectric upper plate; anda process gas supply channel formed to supply a process gas to the region between the lower electrode plate and the dielectric upper plate at a periphery of the dielectric upper plate,wherein the dielectric support is defined to position the workpiece at ...

Подробнее
20-04-2021 дата публикации

Substrate cleaning device, substrate processing apparatus, substrate cleaning method and substrate processing method

Номер: US0010985008B2
Автор: Koji Nishiyama
Принадлежит: SCREEN Holdings Co., Ltd.

An outer peripheral end of a substrate is held with a plurality of chuck pins provided at a spin plate abutting against a plurality of portions of the outer peripheral end of the substrate, and the spin plate is rotated about a rotation axis. A cleaning head is moved by a head moving mechanism while being pressed against a back surface of the substrate held by the plurality of chuck pins by the head moving mechanism, and foreign matter on the back surface of the substrate is removed by polishing with the cleaning head. A reaction force against a load applied to the back surface of the substrate by the cleaning head is generated in the substrate by auxiliary pins. Alternatively, the back surface of the substrate, which has been cleaned or is being cleaned by the cleaning head, is further cleaned by a cleaning brush.

Подробнее
23-04-2015 дата публикации

MECHANISMS FOR FORMING PROTECTION LAYER ON BACK SIDE OF WAFER

Номер: US20150108633A1

Embodiments of mechanisms of forming a semiconductor device structure are provided. The semiconductor device structure is provided. The semiconductor device structure includes a substrate having a front side and a back side. The semiconductor device structure also includes devices formed on the front side of the substrate and interconnect structures formed on the devices. The semiconductor device structure further includes a protection layer formed on the back side of the substrate, and the protection layer has a thickness over about 10 A. 1. A semiconductor device structure , comprising:a substrate having a front side and a back side, wherein the substrate is a wafer;devices formed on the front side of the substrate;interconnect structures formed on the devices; anda protection layer formed on the back side of the substrate, wherein the protection layer has a thickness over about 10 A, the protection layer is made of oxide, nitride or oxynitride, and the protection layer is formed on the backside of the wafer.2. (canceled)3. The semiconductor device structure as claimed in claim 1 , wherein the protection layer has no chuck marks.4. The semiconductor device structure as claimed in claim 1 , wherein a top surface of the protection layer is substantially planar.5. The semiconductor device structure as claimed in claim 1 , wherein the protection layer comprises a single layer or multiple layers.6. The semiconductor device structure as claimed in claim 1 , wherein the interconnect structures comprise metal lines formed in a dielectric layer.7. The semiconductor device structure as claimed in claim 1 , wherein the back side of the substrate has no chuck marks.8. The semiconductor device structure as claimed in claim 1 , wherein the substrate has a diameter of about 300 mm.920-. (canceled)21. The semiconductor device structure as claimed in claim 1 , wherein the protection layer is made of silicon oxide.22. The semiconductor device structure as claimed in claim 1 , ...

Подробнее
14-08-2008 дата публикации

REMOVAL OF PROCESS RESIDUES ON THE BACKSIDE OF A SUBSTRATE

Номер: US2008194111A1
Принадлежит:

A substrate is processed in a process chamber comprising a substrate support having a receiving surface for receiving a substrate so that a front surface of the substrate is exposed within the chamber. An energized process gas is used to process the front surface of the substrate. A peripheral edge of the backside surface of the substrate is cleaned by raising the substrate above the receiving surface of the substrate support to a raised position, and exposing the backside surface of the substrate to an energized cleaning gas.

Подробнее
31-07-2008 дата публикации

PROCESS FOR WAFER BACKSIDE POLYMER REMOVAL WITH WAFER FRONT SIDE GAS PURGE

Номер: US2008179287A1
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. Gas flow is confined at the edge of the workpiece within a gap at the edge of the workpiece, the gap configured to be on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. The process further includes evacuating the lower process zone, generating a plasma in an external chamber from a polymer etch precursor gas, and introducing a by-product from the plasma into the lower process zone. The process further includes pumping a purge gas into the upper process zone to remove polymer etch species from the upper process zone.

Подробнее
03-10-2002 дата публикации

Ruthenium silicide processing methods

Номер: AU2002248614A1
Принадлежит:

Подробнее
29-01-2018 дата публикации

반도체 장치의 제조 방법

Номер: KR0101823273B1
Принадлежит: 도요타지도샤가부시키가이샤

... 반도체 장치의 제조 방법은 반도체 영역 형성 공정, 세정 공정, 표면 조도 균일화 공정, 전극 형성 공정을 포함한다. 상기 반도체 영역 형성 공정으로서, 이온 주입량이 상이한 복수의 반도체 영역을 반도체 기판의 한쪽의 주면에 노출되도록 반도체 영역을 형성한다. 상기 세정 공정으로서, 상기 반도체 영역 형성 공정 후에, 상기 반도체 기판의 상기 한쪽의 주면을 불산을 사용하여 세정한다. 상기 표면 조도 균일화 공정으로서, 상기 HF 세정 공정 후에, 상기 반도체 기판의 상기 한쪽의 주면의 표면 조도를 균일화한다. 상기 전극 형성 공정으로서, 상기 표면 조도 균일화 공정 후에, 상기 반도체 기판의 상기 한쪽의 주면에 전극을 형성한다.

Подробнее
01-07-2016 дата публикации

Substrate processing method, substrate processing system, and computer-readable storage medium storing a substrate processing program

Номер: TW0201624595A
Принадлежит:

To effectively remove unwanted matter from the rear surface of a substrate, thereby suppressing the adverse effects residual unwanted matter can have on the processing of the top surface of the substrate. In the present invention, a substrate processing method (substrate processing system 1) which removes unwanted matter from the rear surface of a substrate (5) comprises: a rear surface processing step (rear surface processing device 10) for supporting the outer peripheral edge of the substrate (5) using a substrate support (34), and removing unwanted matter from the substrate (5) within a predetermined processing range (50) from the inner periphery of the rear surface of the substrate to the vicinity of the substrate support (34), and a rear surface peripheral edge processing step (rear surface peripheral edge processing device 11) for removing unwanted matter from the substrate (5) within a predetermined processing range (71) from the outer peripheral edge of the rear surface of the substrate ...

Подробнее
12-01-2012 дата публикации

Semiconductor wet etchant and method of forming interconnection structure using the same

Номер: US20120009792A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH 4 + ) and a chlorine ion (Cl − ).

Подробнее
01-03-2012 дата публикации

Method and System for Extracting Samples After Patterning of Microstructure Devices

Номер: US20120052601A1
Принадлежит: Globalfoundries Inc

Analysis of chemical and physical characteristics of polymer species and etch residues caused in critical plasma-assisted etch processes for patterning material layers in semiconductor devices may be accomplished by removing at least a portion of these species on the basis of a probing material layer, which may be lifted-off from the patterned surface. The probing material layer may substantially suppress a chemical modification of the species of interest and may thus allow the examination of the initial status of these species.

Подробнее
22-03-2012 дата публикации

Liquid Processing Method, Recording Medium Having Recorded Program for Executing Liquid Processing Method Therein and Liquid Processing Apparatus

Номер: US20120067846A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a method for processing a substrate including a first process and a second process. The first process comprises supporting the substrate formed with a titanium-containing film on its front surface and rear surface by a support unit which is rotatably installed; rotating the substrate along with the support unit; and supplying a first processing liquid containing hydrofluoric acid to the rear surface of the substrate thereby processing the rear surface of the substrate with the first processing liquid. The second process comprises supplying a second processing liquid containing ammonia hydrogen peroxide mixture to the rear surface of the substrate after the first process is completed, thereby processing the rear surface of the substrate with the second processing liquid.

Подробнее
04-10-2012 дата публикации

System and Method for Sub-micron Level Cleaning of Surfaces

Номер: US20120247504A1
Автор: Khaled Nasr, Waleed Nasr
Принадлежит: Individual

An apparatus is used for removing contaminants from a surface and includes a chamber filled with a clean process gas, a surface positioning device, a carbon dioxide snow spray nozzle, a laser beam generator and focusing device and a process gas nozzle. The nozzles and a focal point of the laser beam are linearly aligned. The surface is held at a desired position and bombarded with carbon dioxide snow and with a high pressure wave to release the contaminants from the surface whereupon the released materials are swept to one side of the surface by a jet of the process gas. The process may proceed with point to point contamination removal based on prior surface examination and discovery of contamination sites, or may be scanned with essentially continuous contamination removal.

Подробнее
03-10-2013 дата публикации

CONICAL SPONGE BRUSH FOR CLEANING SEMICONDUCTOR WAFERS

Номер: US20130255720A1
Принадлежит: ILLINOIS TOOL WORKS INC.

A cleaning device for cleaning a substrate is provided. In one aspect, the cleaning device includes a brush including a first end, a second end opposed to the first end, an outer surface, and a hollow bore defined in the brush about a central axis of the brush. The brush defines a first cross-sectional area near the first end and a second cross-sectional area near the second end. Both the first and second cross-sectional areas are generally perpendicular to the central axis and the second cross-sectional area is greater than the first cross-sectional area. 1. A cleaning device for cleaning a substrate , the cleaning device comprising:a brush including a first end, a second end opposed to the first end, an outer surface, and a hollow bore defined in the brush about a central axis of the brush, wherein the brush defines a first cross-sectional area near the first end and a second cross-sectional area near the second end, wherein both the first and second cross-sectional areas are generally perpendicular to the central axis and the second cross-sectional area is greater than the first cross-sectional area.2. The cleaning device of claim 1 , wherein the first cross-sectional area has a generally-circular perimeter having a first diameter and the second cross-sectional area has a generally-circular perimeter having a second diameter greater than the first diameter.3. The cleaning device of claim 1 , wherein the brush is generally conically-shaped.4. The cleaning device of claim 1 , wherein the brush is generally frusto-conically-shaped.5. The cleaning device of claim 1 , wherein the brush includes a plurality of nodules formed on the outer surface of the brush.6. The cleaning device of claim 1 , further comprising a brush core at least partially positioned within the hollow bore of the brush and positioned about the central axis.7. The cleaning device of claim 6 , wherein the brush core is generally conically-shaped.8. A method for cleaning a substrate claim 6 , the ...

Подробнее
03-10-2013 дата публикации

CONCAVE NODULE SPONGE BRUSH

Номер: US20130255721A1
Принадлежит: ILLINOIS TOOL WORKS INC.

Cleaning devices and methods for cleaning substrates are provided. In one aspect, a cleaning device for cleaning a substrate includes a brush including an outer surface and defines a hollow bore positioned around a central axis of the brush, and nodules formed on the outer surface of the brush and each nodule includes a concave surface. Each concave surface defines an outer edge surrounding a central concavity point. In another aspect, a method for cleaning a substrate includes engaging a substrate with a cleaning device. The cleaning device includes a brush including an outer surface and defines a hollow bore positioned around a first axis of the brush, and nodules formed on the outer surface and each nodule includes a concave surface. Each concave surface defines an outer edge surrounding a central concavity point. The method also includes rotating the brush about the first axis in a first rotational direction. 1. A cleaning device for cleaning a substrate , the cleaning device comprising:a brush including an outer surface and defining a hollow bore therein positioned around a central axis of the brush; anda plurality of nodules formed on the outer surface of the brush and each nodule includes a concave surface, and wherein each concave surface defines an outer edge surrounding a central concavity point.2. The cleaning device of claim 1 , wherein the outer edges of the nodules are formed flush with and do not project outwardly from the outer surface of the brush.3. The cleaning device of claim 1 , wherein the nodules project a distance outwardly from the outer surface.4. The cleaning device of claim 1 , wherein the central concavity point is one of at or near a center of the concave surface claim 1 , wherein a first brush radius from the central axis to the outer edge of the concave surface has a first length claim 1 , wherein a second brush radius from the central axis to the central concavity point has a second length claim 1 , wherein a third brush radius ...

Подробнее
20-02-2014 дата публикации

Substrate processing device and substrate processing method for carrying out chemical treatment for substrate

Номер: US20140051258A1
Принадлежит: Dainippon Screen Manufacturing Co Ltd

It is an object to carry out a chemical treatment for a peripheral edge part of a substrate while suppressing an amount of consumption of a processing liquid and a time required for processing. In order to achieve the object, a substrate processing device injects heating steam to a peripheral edge part of a substrate to heat the peripheral edge part when carrying out a chemical treatment for the peripheral edge part of the substrate while rotating the substrate in a substantially horizontal posture. Moreover, the substrate processing device injects a gas from above the substrate toward a predetermined injection target region defined within a range surrounded by a rotating track of the peripheral edge part of the substrate in an upper surface of the substrate, thereby generating, on the substrate, a gas flow which flows from the injection target region toward the peripheral edge part of the substrate.

Подробнее
06-03-2014 дата публикации

Apparatus for Cleaning a Semiconductor Substrate

Номер: US20140059789A1
Принадлежит: LAM RESEARCH CORPORATION

An apparatus for processing a substrate is provided. The apparatus includes a solid material having a support side and a contact side. The contact side has an outer surface, and the outer surface is configured to become softer relative to a remainder of the solid material when exposed to an activation solution. The apparatus includes a support structure configured to support the solid material from the support side of the solid material, such that the contact side of the solid material is oriented to face a surface of the substrate, when the substrate is present. Also provided is a gimbaled structure connected to the support structure. The gimbaled structure enabling the outer surface of the contact side to substantially align in a coplanar arrangement with the surface of the substrate, when the substrate is present. A force application structure is coupled to the gimbaled structure. The force application structure is configured for moving the solid material toward and away from the surface of the substrate, when the substrate is present, and further configured for applying a force that presses the outer surface of the solid material against the surface of the substrate, when the substrate is present. 1. An apparatus for processing a substrate , comprising:a solid material having a support side and a contact side, the contact side having an outer surface, the outer surface configured to become softer relative to a remainder of the solid material when exposed to an activation solution;a support structure configured to support the solid material from the support side of the solid material, such that the contact side of the solid material is oriented to face a surface of the substrate, when the substrate is present;a gimbaled structure connected to the support structure, the gimbaled structure enabling the outer surface of the contact side to substantially align in a coplanar arrangement with the surface of the substrate, when the substrate is present; anda force ...

Подробнее
07-01-2021 дата публикации

METHODS OF USING LASER ENERGY TO REMOVE PARTICLES FROM A SURFACE

Номер: US20210001429A1
Автор: SCOGGINS Troy
Принадлежит:

Described are methods of using laser energy to remove particles from a surface, such as a porous surface, optionally without causing ablation to the surface. 1. A method of removing particles from a surface , the method comprising:applying laser energy to the surface at locations that include particles, so that an amount of applied laser energy is sufficient to cause the particles to separate from the surface, wherein the surface is porous and is either carbonaceous or ceramic.2. The method of wherein the particles are separated from the surface without causing substantial ablation of the surface.3. The method of claim 1 , wherein the laser energy applied is effective to reduce a measured value indicating an amount of particles at the surface claim 1 , by at least 50 percent claim 1 , as measured by a tape test method claim 1 , compared to the surface before applying the laser energy.4. The method of the applied laser energy is below a level that would remove 10 microns of material from the surface as measured using a digital optical microscope.5. The method of claim 1 , wherein the surface comprises a solid matrix that defines pores and the particles are derived from material of the solid matrix.6. The method of claim 1 , wherein the surface comprises porous graphite.7. The method of wherein the surface comprises porous alumina.8. The method of wherein the laser energy has a wavelength below 1200 nanometers.9. The method of wherein the surface is a surface of a component of an interior of a vacuum chamber.10. The method of comprising claim 1 , after applying the laser energy claim 1 , cleaning the surface using with ultrasonic cleaning.11. The method of comprising forming the surface by a machining process claim 1 , the particles are generated by the machining process.12. The method of claim 1 , wherein the particles comprise a carbonaceous material or ceramic.13. The method of claim 1 , wherein the particles comprise graphite.14. A surface prepared by a method ...

Подробнее
01-01-2015 дата публикации

METHOD FOR TREATING WAFER

Номер: US20150004792A1
Автор: Chen Chih-Cheng
Принадлежит:

A method for treating a wafer is provided. The method includes at least the following steps. A plasma process is performed on a front surface of the wafer, and the wafer is cleaned. The wafer is cleaned by applying deionized water with dissolved COto the front surface of the wafer and applying a chemical solution to a back surface, opposite to the front surface, of the wafer. 1. A method for treating a wafer , comprising:performing a plasma process on a front surface of the wafer; and [{'sub': '2', 'applying deionized water with dissolved COto the front surface of the wafer; and'}, 'applying a chemical solution to a back surface, opposite to the front surface, of the wafer., 'cleaning the wafer, comprising2. The method for treating the wafer according to claim 1 , wherein the chemical solution comprises deionized water and HF.3. The method for treating the wafer according to claim 1 , wherein the chemical solution comprises deionized water claim 1 , HSO claim 1 , and HO.4. The method for treating the wafer according to claim 1 , wherein the step of cleaning the wafer further comprises:applying deionized water to the back surface of the wafer prior to the step of applying the chemical solution to the back surface of the wafer.5. The method for treating the wafer according to claim 1 , wherein the step of cleaning the wafer further comprises:applying isopropyl alcohol to the front surface and the back surface of the wafer after the step of applying the chemical solution to the back surface of the wafer.6. The method for treating the wafer according to claim 1 , wherein the step of cleaning the wafer further comprises:applying deionized water to the back surface of the wafer after the step of applying the chemical solution to the back surface of the wafer.7. The method for treating the wafer according to claim 1 , wherein the back surface of the wafer is applied with the chemical solution for about 5 minutes.8. The method for treating the wafer according to claim 1 , ...

Подробнее
01-01-2015 дата публикации

METHOD OF STRIPPING ORGANIC MASK WITH REDUCED DAMAGE TO LOW-K FILM

Номер: US20150004797A1
Принадлежит:

A method for stripping an organic mask above a porous low-k dielectric film is provided. A steady state flow of a stripping gas, comprising COand CHis provided. The stripping gas is formed into a plasma, wherein the plasma strips at least half the organic mask and protects the porous low-k dielectric film, for a duration of providing the steady state flow of the stripping gas. 1. A method for stripping an amorphous carbon mask above a porous low-k dielectric film , comprising:{'sub': 2', '4, 'providing a steady state flow of a stripping gas, comprising COand CH; and'}forming the stripping gas into a plasma, wherein the plasma strips at least half the amorphous carbon mask and protects the porous low-k dielectric film, for a duration of providing the steady state flow of the stripping gas.2. The method claim 1 , as recited in claim 1 , wherein the stripping gas is fluorine free.3. A method for processing an amorphous carbon mask above a hardmask layer above a porous low-k dielectric film claim 1 , comprising:etching features into the hardmask layer through the amorphous carbon mask; providing a steady state flow of a stripping gas, comprising a stripping component and a hydrocarbon or fluorocarbon; and', 'forming the stripping gas into a plasma, wherein the plasma strips the amorphous carbon mask and protects the low-k dielectric film;, 'stripping the amorphous carbon mask after etching features into the hardmask layer, comprisingetching features into the porous low-k dielectric film through the hardmask layer after stripping the amorphous carbon mask.4. The method claim 3 , as recited in claim 3 , wherein more than half of the amorphous carbon mask is stripped during a duration of the steady state flow of the stripping gas.5. The method claim 4 , as recited in claim 4 , wherein the stripping component comprises an oxidizing component or reducing component.6. The method claim 5 , as recited in claim 5 , wherein the hydrocarbon or fluorocarbon is a hydrocarbon claim 5 ...

Подробнее
07-01-2021 дата публикации

SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM

Номер: US20210005471A1
Автор: MIYAHARA Osamu
Принадлежит:

Disclosed is a substrate processing apparatus including: a substrate holding member that holds a peripheral portion of a substrate; a rotating member that includes a plate provided with the substrate holding member and rotates the substrate by rotating the plate; a fluid supply unit that is disposed at a center of the rotating member and supplies a processing liquid and an inert gas to a lower surface of the substrate held by the substrate holding member; and a controller that controls to perform a liquid processing by supplying the processing liquid to the lower surface of the substrate while rotating the substrate, and, after the liquid processing, to perform a drying processing of the substrate while supplying the inert gas to the lower surface of the substrate. 1. A substrate processing apparatus comprising:a substrate holder that holds a peripheral portion of a substrate;a rotator that includes a plate provided with the substrate holder and rotates the substrate by rotating the plate;a fluid supply that is disposed at a center of the rotator and supplies a processing liquid and an inert gas to a lower surface of the substrate held by the substrate holder; anda controller that performs an overall control of the substrate processing apparatus, the controller configured to:first supply the processing liquid to the lower surface of the substrate while rotating the substrate thereby performing a liquid processing on the lower surface of the substrate,second supply the inert gas at a first supply flow rate in a state where a liquid film covers the lower surface of the substrate including a central portion of the lower surface of the substrate, andafter the second supply, third supply the inert gas at a second supply flow rate lower than the first supply flow rate,wherein the inert gas is supplied at the second supply flow rate in the third supply when a dry core, surrounded by the liquid film covering the lower surface of the substrate, is formed at the central ...

Подробнее
14-01-2021 дата публикации

WAFER TREATMENT APPARATUS AND METHOD FOR TREATING WAFER

Номер: US20210013031A1
Принадлежит: SHIN-ETSU HANDOTAI CO., LTD.

A wafer treatment apparatus with a rotatable table inside a chamber and a plurality of holding pins arranged on the table, where a periphery of a wafer is held by the plurality of holding pins and the wafer is subjected to a cleaning and/or drying treatment while being rotated, where one or more of the plurality of holding pins are drivable, and press and hold the wafer so that a resultant force working on the held wafer works in a direction that bends the wafer upwards in a convex shape. A wafer treatment apparatus and a method for treating a wafer that can prevent particles from aggregating in a wafer rotation center and prevent dry marks, etc. from occurring. 111-. (canceled)12. A wafer treatment apparatus provided with a rotatable table inside a chamber and a plurality of holding pins arranged on the table , where a periphery of a wafer is held by the plurality of holding pins and the wafer is subjected to a cleaning and/or drying treatment while being rotated , whereinone or more of the plurality of holding pins are drivable, and press and hold the wafer so that a resultant force working on the held wafer works in a direction that bends the wafer upwards in a convex shape.13. The wafer treatment apparatus according to claim 12 , wherein a space between an upper surface of the rotatable table and a lower surface of the held wafer is 20 mm or more in a stationary state.14. The wafer treatment apparatus according to claim 12 , wherein the one or more drivable holding pins are drivable further inwards than a radius of the wafer.15. The wafer treatment apparatus according to claim 13 , wherein the one or more drivable holding pins are drivable further inwards than a radius of the wafer.16. The wafer treatment apparatus according to claim 12 , wherein the one or more drivable holding pins are driven in a rotational movement mode or a horizontal movement mode.17. The wafer treatment apparatus according to claim 13 , wherein the one or more drivable holding pins are ...

Подробнее
09-01-2020 дата публикации

SUBSTRATE CLEANING DEVICE AND SUBSTRATE CLEANING METHOD

Номер: US20200013640A1
Принадлежит:

A substrate cleaning device includes: a pressing member that cleans a substrate by contacting the substrate; a load measurement unit that measures a pressing load of the cleaning member; and a control unit that repeats an operation of comparing the measurement value of the load measurement unit with the setting load, changing the pressing amount of the cleaning member by a first movement amount so that a difference value decreases, when the difference value is larger than a first threshold value and equal to or smaller than a second threshold value, and changing the pressing amount of the cleaning member by a second movement amount larger than the first movement amount so that the difference value decreases, when the difference value is larger than the second threshold value, until the difference value becomes equal to or smaller than the first threshold value. 1. A substrate cleaning device comprising:a cleaning member configured to clean a substrate by contacting the substrate;a member rotation unit configured to rotate the cleaning member;a member drive unit configured to press the cleaning member against the substrate;a load measurement unit configured to measure a pressing load of the cleaning member; anda control unit configured to control a pressing amount of the cleaning member by the member drive unit, on the basis of a measurement value of the load measurement unit, so that the pressing load of the cleaning member becomes a setting load, whereinthe control unit repeats an operation of comparing the measurement value of the load measurement unit with the setting load, changing the pressing amount of the cleaning member by a first movement amount so that a difference value decreases, when the difference value is larger than a first threshold value and equal to or smaller than a second threshold value, and changing the pressing amount of the cleaning member by a second movement amount larger than the first movement amount so that the difference value ...

Подробнее
17-04-2014 дата публикации

Substrate cleaning apparatus, substrate cleaning method, and computer-readable storage medium

Номер: US20140102474A1
Принадлежит: Tokyo Electron Ltd

A substrate cleaning apparatus for cleaning a substrate back surface includes a first substrate supporting portion supporting the substrate at a first area of the substrate back surface, the back surface facing down; a second substrate supporting portion supporting the substrate at a second area of the substrate back surface, the second area being separated from the first area; a cleaning liquid supplying portion supplying cleaning liquid to the substrate back surface; a drying portion drying the second area of the substrate back surface; and a cleaning portion cleaning a third area of the substrate back surface when the substrate is supported by the first substrate supporting portion, the third area including the second area, and cleaning a fourth area of the substrate back surface when the substrate is supported by the second substrate supporting portion, the fourth area excluding the second area.

Подробнее
19-01-2017 дата публикации

NODULE RATIOS FOR TARGETED ENHANCED CLEANING PERFORMANCE

Номер: US20170018422A1
Автор: PATEL Chintan
Принадлежит:

A foam brush that has nodules on an outer diameter surface of the brush that have a pitch to diameter ratio (P/D) of between 1.2 and 1.5 and a nodule height to nodule diameter ratio of 0.2 to 0.5 can be used to achieve improved small particle and organic residue removal from substrates following CMP processing. CMP cleaning brushes of the disclosure may also be prepared with foams that are relatively soft and have a compression strength of less than 90 grams/cm. CMP cleaning brushes with such P/D and H/D ratios, and optionally a compression strength of less than 90 grams/cmcan be used in a variety of CMP cleaning processes including post copper CMP processes. 1. A brush for cleaning wafers after a CMP process , the brush formed from a foam material and comprising a cylindrical base with an axis and a plurality of uniformly shaped and spaced nodules extending outwardly from the base , each nodule having a center , a nodule height , and a nodule diameter , the brush nodules having a nodule pitch to nodule diameter ratio (P/D) of between 1.2 and 1.5 and a nodule height to nodule diameter ratio (H/D) of 0.2 to 0.5.2. The brush as in where the compression strength of the brush foam is less than 90 g/cm.3. The brush of claim 1 , where the compression strength of the brush foam is between 60 grams/centimeter squared and 90 g/cm.4. The brush of claim 1 , wherein the foam material is comprised of one of polyvinyl alcohol claim 1 , polyvinyl acetal claim 1 , and polyurethane.5. The brush of wherein each of the plurality of uniformly shaped and space nodules is substantially cylindrically shaped.6. The brush of claim 1 , wherein the brush has two ends and at at least one of the two ends there is a circumferential row of elongate nodules.7. The brush of wherein a plane perpendicular to the rotational axis of the brush divides the brush into a first side and a second side claim 1 , and wherein the nodule configuration on the first side of the brush is different from the nodule ...

Подробнее
19-01-2017 дата публикации

Methods and apparatus for substrate edge cleaning

Номер: US20170018441A1
Принадлежит: Applied Materials Inc

A substrate cleaning apparatus may include a substrate support having a support surface to support a substrate to be cleaned, wherein the substrate support is rotatable about a central axis normal to the support surface; a first nozzle to provide a first cleaning gas to a region of the inner volume corresponding to the position of an edge of the substrate when the substrate is supported by the support surface of the substrate support; a first annular body disposed opposite and spaced apart from the support surface of the substrate support by a gap, the first annular body having a central opening defined by an inner wall shaped to provide a reducing size of the gap between the first annular body and the support surface in a radially outward direction; and a first gas inlet to provide a first gas to the central opening of the first annular body.

Подробнее
03-02-2022 дата публикации

SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT APPARATUS

Номер: US20220037149A1
Автор: NAGASHIMA Yuji
Принадлежит:

According to one embodiment, a substrate treatment method of removing an upper end of a protrusion on a substrate is disclosed. An unevenness is formed on a surface of the substrate. The method can supply a first liquid on the surface of the substrate. The unevenness is formed on the surface. The method can form a protective layer. The protective layer covers the surface of the substrate from the first liquid supplied to the surface of the substrate. The method can supply a second liquid onto the protective layer. In addition the method can physically remove the protective layer which is on the upper end of the protrusion, and can bring the second liquid into contact with the upper end of the protrusion. The protective layer is removed from the upper end of the protrusion. 1. A substrate treatment method of removing an upper end of a protrusion on a substrate , an unevenness being formed on a surface of the substrate , the method comprising:supplying a first liquid on the surface of the substrate, the unevenness being formed on the surface;forming a protective layer, the protective layer covering the surface of the substrate from the first liquid supplied to the surface of the substrate;supplying a second liquid onto the protective layer; andphysically removing the protective layer, the protective layer being on the upper end of the protrusion, and bringing the second liquid into contact with the upper end of the protrusion, the protective layer being removed from the upper end of the protrusion.2. The method according to claim 1 , whereinthe upper end of the protrusion is a layer including at least any one of a metal or a metal oxide, andthe metal oxide is a oxide of the metal.3. The method according to claim 1 , whereinthe first liquid includes a vaporizable rust inhibitor.4. The method according to claim 3 , whereinthe vaporizable rust inhibitor is at least one selected from the group consisting of Benzo triazole, Tolyl triazole (Methyl benzotriazole), ...

Подробнее
18-01-2018 дата публикации

DRYING HIGH ASPECT RATIO FEATURES

Номер: US20180019119A1
Принадлежит: Applied Materials Inc,

Methods of drying a semiconductor substrate may include applying a drying agent to a semiconductor substrate, where the drying agent wets the semiconductor substrate. The methods may include heating a chamber housing the semiconductor substrate to a temperature above an atmospheric pressure boiling point of the drying agent until a vapor-liquid equilibrium of the drying agent within the chamber has been reached. The methods may further include venting the chamber, where the venting vaporizes the liquid phase of the drying agent from the semiconductor substrate. 1. A method of drying a semiconductor substrate , the method comprising:applying a drying agent to a semiconductor substrate, wherein the drying agent wets the semiconductor substrate;heating a chamber housing the semiconductor substrate to a temperature above an atmospheric pressure boiling point of the drying agent until vapor-liquid equilibrium of the drying agent is reached within the chamber; andventing the chamber, wherein the venting vaporizes the drying agent liquid phase from the semiconductor substrate.2. The method of drying a semiconductor substrate of claim 1 , further comprising:pressure-sealing the semiconductor substrate within the chamber;heating the chamber housing the semiconductor substrate, the heating causing vapor and liquid phases of the drying agent to reach equilibrium of vapor partial pressure and liquid saturation pressure; andcontinuing to heat the chamber housing the semiconductor substrate to a temperature of at least about 100° C.3. The method of drying a semiconductor substrate of claim 1 , wherein the drying agent is miscible with water.4. The method of drying a semiconductor substrate of claim 3 , wherein the drying agent comprises isopropyl alcohol.5. The method of drying a semiconductor substrate of claim 1 , wherein the semiconductor substrate comprises patterned features having an aspect ratio greater than 5 claim 1 , and wherein the drying agent wets the patterned ...

Подробнее
26-01-2017 дата публикации

Wiping device and stack manufacturing apparatus

Номер: US20170021394A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

An object is to eliminate a harmful effect when a film is bonded by wiping an adhering sealant ( 30 a ). Characterized is a wiping device ( 200 ) including a stage ( 230 ) that supports a sheet-like member ( 220 ), a wiping means ( 210 ) that wipes an adhering object ( 30 a ) adhering on a peripheral portion of the sheet-like member ( 220 ), a wiping cloth ( 241 ) that is attachably and detachably provided for the wiping means ( 210 ), and a solvent ( 261 ) that adheres to the wiping cloth ( 241 ), in which the wiping means ( 210 ) is provided with the wiping cloth ( 241 ), makes the solvent ( 261 ) adhere to the wiping cloth ( 241 ), and wipes the adhering object ( 30 a ), or a stack manufacturing apparatus ( 1000 ) including such a wiping device ( 200 ).

Подробнее
21-01-2021 дата публикации

DEVICE AND METHOD FOR CLEANING A PRINTING DEVICE

Номер: US20210020804A1
Автор: COLLA Davide
Принадлежит:

An apparatus for producing semiconductor cells, the apparatus comprises a printing device for printing on a semiconductor cell, a monitoring device configured to monitor characteristics of the printed semiconductor cell, and a cleaning device configured for cleaning at least one part of the printing device based on the monitored characteristics of the semiconductor cells. 1. An apparatus for producing semiconductor cells , the apparatus comprising:A printing device for printing on a semiconductor cell;a monitoring device configured to monitor characteristics of the printed semiconductor cell; anda cleaning device configured for cleaning at least one part of the printing device based on the monitored characteristics of the semiconductor cells.2. The apparatus according to claim 1 , wherein a transport configuration for supplying the printing device with semiconductor cells is provided claim 1 , the transport configuration forming a transporting path for semiconductor cells between the printing device and the monitoring device.3. The apparatus according to claim 1 , wherein the transport configuration comprises a rotary table.4. The apparatus according to claim 2 , whereina semiconductor cell support is arranged on the transport configuration, wherein the cleaning device is arrangeable on the semiconductor cell support.5. The apparatus according to claim 1 , wherein the monitoring device is configured to divide the semiconductor cells in sections and configured to monitor the characteristics of at least one section of the semiconductor cells claim 1 , wherein the at least one part of the printing device corresponds to at least one section of the semiconductor cell.6. The apparatus according to claim 1 , wherein the characteristics of the semiconductor cells include finger interruptions.7. The apparatus according to claim 1 , wherein the cleaning device includes a rub-unit.8. A cleaning device for cleaning a printing device claim 1 , wherein the cleaning device is ...

Подробнее
28-01-2021 дата публикации

Substrate cleaning apparatus and substrate cleaning method

Номер: US20210023592A1
Автор: Hisajiro NAKANO
Принадлежит: Ebara Corp

A substrate cleaning apparatus includes a substrate holding mechanism holding the substrate, a rotation mechanism rotating the substrate held by the substrate holding mechanism, and a cleaning mechanism cleaning the substrate. The cleaning mechanism includes a support column, an arm extending from the support column and having a fixed height position, a cleaning tool supported by the arm and cleaning a surface of the substrate by contacting the surface, a lift mechanism moving the cleaning tool vertically with respect to the arm between an raised position separated from the substrate and a lowered position in contact with the substrate, and a controller controlling at least a speed at which the cleaning tool descends.

Подробнее
23-01-2020 дата публикации

BRUSH CLEANING APPARATUS, CHEMICAL-MECHANICAL POLISHING (CMP) SYSTEM AND WAFER PROCESSING METHOD

Номер: US20200027760A1
Принадлежит:

The present disclosure, in some embodiments, relates to a brush cleaning apparatus. The brush cleaning apparatus includes a wafer support configured to support a wafer. The brush cleaning apparatus also includes a cleaning brush including a porous material coupled to a core material. An uppermost surface of the porous material defines a planar cleaning surface. A first nozzle is configured to apply a first cleaning liquid directly between the wafer and the planar cleaning surface of the cleaning brush. 1. A brush cleaning apparatus , comprising:a wafer support configured to support a wafer;a cleaning brush comprising a porous material coupled to a core material, wherein an uppermost surface of the porous material defines a planar cleaning surface; anda first nozzle configured to apply a first cleaning liquid directly between the wafer and the planar cleaning surface of the cleaning brush.2. The brush cleaning apparatus of claim 1 , wherein the core material has interior sidewalls defining a plurality of holes extending completely through the core material.3. The brush cleaning apparatus of claim 2 , further comprising:a second nozzle configured to apply a second cleaning liquid directly onto an outer surface of the core material facing away from porous material.4. The brush cleaning apparatus of claim 2 , wherein the plurality of holes are arranged within a plurality of circular patterns surrounding a center of the core material claim 2 , the plurality of holes are separated by smaller distances within respective ones of the plurality of circular patterns than between adjacent ones of the plurality of circular patterns.5. The brush cleaning apparatus of claim 2 , wherein the plurality of holes are arranged along a plurality of lines claim 2 , the plurality of holes are separated by smaller distances within the plurality of lines than between adjacent ones of the plurality of lines.6. The brush cleaning apparatus of claim 5 , wherein the plurality of lines are ...

Подробнее
09-02-2017 дата публикации

De-bonding and Cleaning Process and System

Номер: US20170036433A1
Принадлежит:

Methods and tools for de-bonding and cleaning substrates are disclosed. A method includes de-bonding a surface of a first substrate from a second substrate, and after de-bonding, cleaning the surface of the first substrate. The cleaning comprises physically contacting a cleaning mechanism to the surface of the first substrate. A tool includes a de-bonding module and a cleaning module. The de-bonding module comprises a first chuck, a radiation source configured to emit radiation toward the first chuck, and a first robot arm having a vacuum system. The vacuum system is configured to secure and remove a substrate from the first chuck. The cleaning module comprises a second chuck, a spray nozzle configured to spray a fluid toward the second chuck, and a second robot arm having a cleaning device configured to physically contact the cleaning device to a substrate on the second chuck. 1. A method comprising:de-bonding a surface of a first substrate from a second substrate, the first substrate being attached to a tape, the tape being attached to a frame, the frame being on a same side of the tape as the first substrate;after de-bonding the first substrate, placing a cover ring over a portion of the tape and over an upper surface of the frame, the cover ring comprising one or more sections arranged around a perimeter of the first substrate; andafter de-bonding, cleaning the surface of the first substrate, wherein during the cleaning the surface, the cover ring covers the tape.2. The method of claim 1 , wherein the cover ring encircles the first substrate.3. The method of claim 1 , wherein cleaning comprises physically contacting a cleaning mechanism to the surface of the first substrate.4. The method of claim 3 , wherein the cleaning mechanism comprises a sponge.5. The method of claim 3 , wherein the cleaning mechanism comprises a brush.6. The method of claim 3 , wherein the cleaning the surface of the first substrate comprises applying a fluid to the surface of the first ...

Подробнее
08-02-2018 дата публикации

Metal-compound-removing solvent and method in lithography

Номер: US20180039182A1

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9.

Подробнее
06-02-2020 дата публикации

SUBSTRATE PROCESSING APPARATUSES AND SUBSTRATE PROCESSING METHODS

Номер: US20200043719A1
Автор: Mori Yukihiro
Принадлежит: ASM IP HOLDING B.V.

Examples of a substrate processing apparatus include a stage, a driving unit for rotating the stage, an electrode facing only a part of an outer edge of the stage, a high-frequency power supply unit for supplying high-frequency power to the electrode, and a gas supply device for supplying gas to a gap between the electrode and the stage. 1. A substrate processing apparatus comprising:a stage;a driving unit for rotating the stage;an electrode facing only a part of an outer edge of the stage;a high-frequency power supply unit for supplying high-frequency power to the electrode;a gas supply device for supplying gas from above or below the stage without penetrating the electrode to a gap between the electrode and the stage; andan impedance adjusting electrode that faces the electrode and is grounded via a variable capacitor.2. The substrate processing apparatus according to claim 1 , further comprising:a mask block provided above the stage so as to be apart from the stage; anda purge gas supply device for supplying purge gas to a space between the stage and the mask block to generate a gas flow traveling radially relative to the stage.3. The substrate processing apparatus according to claim 1 , wherein the shape of the electrode is an arc-shape.4. (canceled)5. The substrate processing apparatus according to claim 1 , wherein the impedance adjusting electrode is provided above the stage.6. The substrate processing apparatus according to claim 1 , further comprising a measuring device provided above the stage.7. The substrate processing apparatus according to claim 6 , wherein the measuring device is a camera claim 6 , a thermometer or a film thickness measuring device.8. A substrate processing method comprising:placing, on a stage, a substrate having a larger diameter than the stage;rotating the stage while plasma is generated in a gap between an electrode facing only a part of an outer edge of the stage and the stage by supplying high-frequency power to the electrode ...

Подробнее
25-02-2016 дата публикации

CLEANING MEMBER, CLEANING APPARATUS, AND CLEANING METHOD

Номер: US20160056060A1
Автор: DOI Shunsuke
Принадлежит: KABUSHIKI KAISHA TOSHIBA

A cleaning member configured to clean a semiconductor substrate by relatively sliding over a surface of the semiconductor substrate is disclosed. The cleaning member includes a holding portion; and a brush portion supported by the holding portion and including an ion exchange resin. 1. A cleaning member configured to clean a semiconductor substrate by relatively sliding over a surface of the semiconductor substrate , the cleaning member comprising:a holding portion; anda brush portion supported by the holding portion and including an ion exchange resin.2. The cleaning member according to claim 1 , wherein the ion exchange resin comprises a strongly basic anion exchange resin or a strongly acidic cation exchange resin.3. The cleaning member according to claim 1 , wherein the ion exchange resin comprises a weakly basic anion exchange resin or a weakly acidic cation exchange resin.4. The cleaning member according to claim 1 , wherein the ion exchange resin comprises a matrix including a copolymer of styrene and divinylbenzene or a copolymer of acrylic acid/methacrylic acid and divinylbenzene.5. The cleaning member according to claim 1 , wherein the brush portion comprises a porous material.6. The cleaning member according to comprising a roll brush claim 1 , wherein the brush portion is shaped like a circular cylinder claim 1 , the brush portion being provided along an outer periphery of the holding portion.7. The cleaning member according to claim 6 , wherein the brush portion is provided with protrusions on an outer peripheral portion thereof.8. The cleaning member according to claim 7 , wherein the protrusions include a tip portion claim 7 , and wherein at least the tip portion comprises a polyvinyl alcohol resin.9. The cleaning member according to comprising a pencil brush claim 1 , wherein the brush portion is shaped like a circular disc.10. The cleaning member according to claim 9 , wherein the brush portion includes an upper layer and a lower layer claim 9 , the ...

Подробнее
03-03-2016 дата публикации

Substrate processing apparatus

Номер: US20160059380A1
Принадлежит: Ebara Corp

An embodiment of the present invention provides a buff process module. The buff process module includes: a buff table on which a processing target object is mounted; a buff head that holds a buff pad for applying a predetermined process to the processing target object; a buff arm that supports and swings the buff head; a dresser for dressing the buff pad; and a cleaning mechanism that is disposed between the buff table and the dresser and is for cleaning the buff pad.

Подробнее
04-03-2021 дата публикации

Treatment Liquid for Semiconductor Wafers, Which Contains Hypochlorite Ions

Номер: US20210062115A1
Принадлежит: Tokuyama Corp

A treatment liquid for cleaning a semiconductor wafer is a treatment liquid contains (A) a hypochlorite ion and (C) a solvent, in which pH at 25° C. is more than 7 and less than 12.0. A method for removing ruthenium and tungsten from a semiconductor wafer and cleaning the semiconductor wafer includes bringing the treatment liquid into contact with the semiconductor wafer containing ruthenium or tungsten is provided.

Подробнее
20-02-2020 дата публикации

METHOD OF PRODUCING A COMPONENT OF A DEVICE, AND THE RESULTING COMPONENTS AND DEVICES

Номер: US20200058493A1
Принадлежит:

The present method comprises providing a flexible web substrate (e.g., polymeric flexible web substrates) that forms at least part of a component of a device, coating so as to wet-out on and cover all or a substantial portion of a major surface on one side or both sides of the flexible web substrate with flowable polymeric material, while the flexible web substrate is moving in a down-web direction, and solidifying the polymeric material so as to form one cleaning layer on the major surface of one side or both sides of the flexible web substrate. The present invention can be utilized in a continuous in-line manufacturing process. In applications of the present invention where the flexible web substrate will not form a component of a device, the present invention broadly provides a method for cleaning particles from a flexible web of indefinite length. Each cleaning layer forms a substantially adhesive bond to the major surface that is readily removable without damaging or leaving a substantial residue of cleaning layer material on the major surface. A substantial number of the particles that were on this major surface are captured by and removable with the cleaning layer. 1. A method of cleaning particles from a major surface of a flexible web substrate of indefinite length , said method comprising:providing a flexible web substrate having opposite sides, a major surface on each side and an indefinite length;coating so as to wet-out on and cover a major surface on one side or both sides of the flexible web substrate with polymeric material, while the flexible web substrate is moving in a down-web direction; andsolidifying the polymeric material so as to form one cleaning layer on the major surface of one side or both sides of the flexible web substrate,wherein each cleaning layer forms a substantially adhesive bond to, is readily removable from without damaging or leaving a substantial residue of cleaning layer material on, and captures a substantial number of ...

Подробнее
20-02-2020 дата публикации

WAFER CLEANING WITH DYNAMIC CONTACTS

Номер: US20200058521A1
Принадлежит:

In an embodiment, a system includes: a pedestal configured to secure a wafer; a nozzle configured to deposit a cleaning solution on the wafer disposed on the pedestal during a cleaning session; and a plurality of contacts configured to secure the wafer to the pedestal while the cleaning solution is deposited on the wafer, wherein a first subset of the plurality of contacts is configured to contact the wafer at a first time interval and a second subset of the plurality of contacts is configured to contact the wafer at a second time interval. 1. A system , comprising:a pedestal configured to secure a wafer;a nozzle configured to deposit a cleaning solution on the wafer disposed on the pedestal during a cleaning session; anda plurality of contacts configured to secure the wafer to the pedestal while the cleaning solution is deposited on the wafer, wherein a first subset of the plurality of contacts is configured to contact the wafer at a first time interval and a second subset of the plurality of contacts is configured to contact the wafer at a second time interval.2. The system of claim 1 , wherein the first time interval and the second time interval are continuous.3. The system of claim 1 , wherein the plurality of contacts are all configured to contact the wafer at a third time interval between the first time interval and the second time interval.4. The system of claim 1 , wherein the first subset of the plurality of contacts comprise different contacts than the second subset of the plurality of contacts.5. The system of claim 1 , wherein the pedestal is configured to be rotated.6. The system of claim 1 , wherein the plurality of contacts are each cylindrical in shape.7. The system of claim 1 , wherein each of the plurality of contacts are configured to contact the wafer at a circumference of the wafer.8. The system of claim 1 , wherein the first subset of the plurality of contacts comprise contacts that are also part of the second subset of the plurality of ...

Подробнее
19-03-2015 дата публикации

Method for manufacturing semiconductor substrate

Номер: US20150079739A1
Автор: CHEN CHEN
Принадлежит: United Microelectronics Corp

A method for manufacturing a semiconductor substrate includes following steps. A wafer having a front side and a back side is provided. A plurality of gate structures at least a first insulating layer covering the gate structures are formed on the front side of the wafer. At least a polysilicon layer and a second insulating layer are formed on the back side of the wafer. Subsequently, at least a source/drain is formed in the front side of the wafer. Next, the second insulating layer is removed from the back side of the wafer. After removing the second insulating layer, the polysilicon layer is removed from the back side of the wafer.

Подробнее
24-03-2022 дата публикации

SYSTEM AND METHOD FOR CLEANING CONTACT ELEMENTS AND SUPPORT HARDWARE USING FUNCTIONALIZED SURFACE MICROFEATURES

Номер: US20220093394A1
Принадлежит: INTERNATIONAL TEST SOLUTIONS, LLC

A cleaning material, device, and method for predictably cleaning the contact elements and support hardware of a tester interface, such as a probe card and a test socket, in which the cleaning pad has a predetermined configuration appropriate for the particular pin contact elements and a substrate having a defined functionalized surface topology and geometry which can be introduced into the testing apparatus during the normal testing operations. The cleaning material has a predetermined topography with a plurality of functional 3-dimensional (3D) microstructures that provide performance characteristics which are not possible with a non-functionalized and flat surface. 1. A method for cleaning contact elements and support structures of a testing interface used for wafer level or package level IC semiconductor device testing , the method comprising:performing a testing operation of the wafer level or package level IC semiconductor devices; andperforming a cleaning operation using a cleaning device that has a cleaning media having a plurality of functionalized microfeatures that each have a body with a width a height, a spacing between each body and dimensional properties that optimize the cleaning media so that the contact area and surrounding support hardware are cleaned without modification or damage, each microfeature extending away from a top surface of the cleaning media and the cleaning media having a plurality of abrasive particles having a Mohs Hardness of 7 or greater uniformly distributed within the body of each microfeature, a cleaning layer applied across the top surface of the cleaning media having predetermined characteristics that clean contaminants from the pin contact elements and support hardware and one or more intermediate rigid or compliant underlayers underneath the cleaning media, wherein each underlayer has a modulus of elasticity range between more than 40-MPa to 600-MPa, each layer has a thickness between 25-um and 300-um and each layer has a ...

Подробнее
05-03-2020 дата публикации

Perc solar cell capable of improving photoelectric conversion efficiency and preparation method thereof

Номер: US20200075782A1

A PERC solar cell capable of improving photoelectric conversion efficiency and a preparation method thereof are provided. The solar cell consecutively includes, from the bottom up, a rear silver electrode ( 1 ), a rear aluminum field ( 2 ), a rear silicon nitride film ( 3 ), a rear aluminum oxide film ( 4 ), P-type silicon ( 5 ), N-type silicon ( 6 ), a front silicon nitride film ( 7 ), and a front silver electrode ( 8 ). The rear aluminum field ( 2 ) is connected to the P-type silicon ( 5 ) via a rear aluminum strip ( 10 ). The P-type silicon ( 5 ) is a silicon wafer of the cell. The N-type silicon ( 6 ) is an N-type emitter formed by diffusion via the front surface of the silicon wafer. The front silicon nitride film ( 7 ) is deposited on the front surface of the silicon wafer. The rear aluminum oxide film ( 4 ) is deposited on the rear surface of the silicon wafer. The rear aluminum oxide film ( 3 ) is deposited after the front silicon nitride film ( 7 ) is deposited on the silicon wafer, and the rear surface of the silicon wafer is washed before depositing the rear aluminum oxide film ( 3 ). The cell can significantly improves passivation effect of the rear aluminum oxide film and improve the open-circuit voltage and short-circuit current of the cell, thereby increasing photoelectric conversion efficiency of the cell.

Подробнее
26-03-2015 дата публикации

Wafer processing

Номер: US20150087133A1
Автор: Liang Li, Wei Lu
Принадлежит: GLOBALFOUNDRIES SINGAPORE PTE LTD

Methods for forming a device are presented. A substrate having top and bottom pad stacks is provided. Each pad stack includes at least first and second pad layers. The second pad layers of the top and bottom pad stacks include an initial thickness T T1 and T B1 respectively. Trench isolation regions are formed in the substrate. The second pad layer of the top and bottom pad stacks are removed after forming the trench isolation regions by a batch wet etch process.

Подробнее
22-03-2018 дата публикации

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер: US20180082891A1
Принадлежит: RENESAS ELECTRONICS CORPORATION

As a barrier metal film, a titanium film is formed by a sputtering process, and a titanium nitride film is formed to cover the titanium film by a CVD process. Next, the back surface of a semiconductor substrate is cleaned by spraying a cleaning chemical liquid toward the back surface thereof, and a portion of the barrier metal film located in the outer peripheral portion is removed by causing the cleaning chemical liquid to wrap around toward the surface side of the outer peripheral portion from the back surface side. Next, a tungsten film is formed to cover the barrier metal film by a CVD process. 1. A manufacturing method of a semiconductor device , comprising the steps of:forming an insulating film so as to cover a surface of a semiconductor substrate;forming an opening in the insulating film;forming a barrier metal film including a titanium film so as to cover the insulating film including the inside of the opening;removing, of the barrier metal film, a portion of the titanium film located in an outer peripheral portion of the semiconductor substrate;forming a tungsten film so as to cover the barrier metal film; andremoving a portion of the barrier metal film and a portion of the tungsten film located over an upper surface of the insulating film, with a portion of the barrier metal film and a portion of the tungsten film located in the opening left.2. The manufacturing method of a semiconductor device according to claim 1 ,wherein the step of removing a portion of the titanium film located in the outer peripheral portion of the semiconductor substrate is performed simultaneously with the step of cleaning a back surface of the semiconductor substrate by a first cleaning chemical liquid.3. The manufacturing method of a semiconductor device according to claim 2 ,wherein in the step of removing a portion of the titanium film located in the outer peripheral portion of the semiconductor substrate, the number of revolutions of the semiconductor substrate is controlled. ...

Подробнее
31-03-2022 дата публикации

TREATING A SILICON ON INSULATOR WAFER IN PREPARATION FOR MANUFACTURING AN ATOMISTIC ELECTRONIC DEVICE INTERFACED WITH A CMOS ELECTRONIC DEVICE

Номер: US20220102197A1
Принадлежит:

A method for treating a wafer is provided with a portion of a semiconductor layer is selectively removed from the wafer so as to create an inactive region of the wafer surrounding a first active region of the wafer. The inactive region of the wafer has an exposed portion of an insulator layer, but none of the semiconductor layer. The first active region of the wafer includes a first portion of the semiconductor layer and a first portion of the insulator layer. At least one conductor is formed in contact with the first portion of the semiconductor layer, such that the conductor and the first portion of the semiconductor layer form a portion of an electrical circuit. The first active region of the wafer is selectively treated to remove a native oxide layer from the first portion of the semiconductor layer. A resulting wafer is also disclosed. 1. A method for treating a wafer comprising a semiconductor layer overlying an insulator layer: (i) the inactive region of the wafer comprises an exposed portion of the insulator layer, but none of the semiconductor layer; and', '(ii) the first active region of the wafer comprises a first portion of the semiconductor layer and a first portion of the insulator layer;, '(a) selectively removing a portion of the semiconductor layer from the wafer so as to create an inactive region of the wafer surrounding a first active region of the wafer, wherein(b) forming at least one conductor in contact with the first portion of the semiconductor layer, such that the conductor and the first portion of the semiconductor layer form a portion of an electrical circuit; and(c) selectively treating the first active region of the wafer to remove a native oxide layer from the first portion of the semiconductor layer, wherein the treating comprises energizing the electrical circuit with an external power source to induce resistive heating of the first portion of the semiconductor layer.2. The method of claim 1 , wherein step (c) comprises energizing ...

Подробнее
12-03-2020 дата публикации

DRY CLEANING APPARATUS AND DRY CLEANING METHOD

Номер: US20200083063A1
Принадлежит:

A dry cleaning apparatus includes a chamber, a substrate support supporting a substrate within the chamber, a shower head arranged in an upper portion of the chamber to supply a dry cleaning gas toward the substrate, the shower head including an optical window transmitting a laser light therethrough toward the substrate support, a plasma generator generating plasma from the dry cleaning gas, and a laser irradiator irradiating the laser light on the substrate through the optical window and the plasma to heat the substrate. 1. A dry cleaning apparatus , comprising:a chamber;a substrate support configured to support a substrate within the chamber;a shower head arranged in an upper portion of the chamber to supply a dry cleaning gas toward the substrate, the shower head including an optical window transmitting a laser light therethrough toward the substrate support;a plasma generator configured to generate plasma from the dry cleaning gas; anda laser irradiator configured to irradiate the laser light on the substrate through the optical window, thereby heating the substrate.2. The dry cleaning apparatus of claim 1 ,wherein the optical window is installed within an opening which penetrates through a middle region of the shower head.3. The dry cleaning apparatus of claim 2 ,wherein the shower head comprises a shower head plate having an annular shape.4. The dry cleaning apparatus of claim 3 ,wherein the opening penetrates through a middle region of the shower head plate.5. The dry cleaning apparatus of claim 3 ,wherein a lower surface of the shower head is inclined at a predetermined angle with respect to a surface of the substrate.6. The dry cleaning apparatus of claim 3 ,wherein a lower surface of the shower head is parallel to a surface of the substrate, and spray holes of the shower head are inclined at a predetermined angle with respect to the surface of the substrate.7. The dry cleaning apparatus of claim 1 ,wherein the plasma generator comprises an upper electrode ...

Подробнее
29-03-2018 дата публикации

SUBSTRATE CLEANING APPARATUS AND SUBSTRATE PROCESSING APPARATUS X

Номер: US20180090347A1
Принадлежит:

A substrate cleaning apparatus for bringing an elongated roll cleaning member into sliding contact with a flat plate type substrate to perform cleaning processing on the substrate includes a roll holder for supporting the roil cleaning member so that the roll cleaning member is rotatable, an elevating mechanism that has a linking member for supporting the roll holder, and moves the roll holder up and down so that the roll cleaning member applies a predetermined roll load to the substrate, a sensor member that is provided to the linking member and measures frictional force between the roll cleaning member and the substrate, and a controller for performing feedback control on the frictional force between the roll cleaning member and the substrate based on a measured value of the sensor member. 1. A substrate cleaning apparatus for bringing an elongated roll cleaning member into sliding contact with a flat plate type substrate to perform cleaning processing on the substrate , comprising:a roll holder for supporting the roll cleaning member so that the roll cleaning member is rotatable;an elevating mechanism that has a linking member for supporting the roll holder, and moves the roll holder up and down so that the roll cleaning member applies a predetermined roll load to the substrate;a sensor member that is provided to the linking member and measures frictional force between the roll cleaning member and the substrate; anda controller for performing feedback control on the frictional force between the roil cleaning member and the substrate based on a measured value of the sensor member.2. The substrate cleaning apparatus according to claim 1 , wherein the sensor member is disposed inside the linking member.3. The substrate cleaning apparatus according to claim 1 , wherein the sensor member measures a roll load onto the substrate by the roll cleaning member claim 1 , and the controller controls up-and-down movement of the roll holder based on the measured value of the ...

Подробнее
05-05-2022 дата публикации

Substrate processing system and substrate processing method

Номер: US20220139699A1
Принадлежит: Screen Holdings Co Ltd

A substrate processing system includes a protective film forming liquid supplying unit which supplies a protective film forming liquid to one surface of a substrate, a protective film forming unit which solidifies or hardens the protective film forming liquid and forms a protective film on the one surface of the substrate, a suction unit which suctions the one surface of the substrate, a processing unit which executes predetermined processing with respect to the other surface of the substrate in a state that the one surface of the substrate is suctioned by the suction unit, and a removing liquid supplying unit which has a removing liquid discharge port that discharges a removing liquid being capable of removing the protective film and supplies the removing liquid toward the one surface of the substrate from the removing liquid discharge port.

Подробнее
28-03-2019 дата публикации

HYBRID MATERIAL POST-CMP BRUSHES AND METHODS FOR FORMING THE SAME

Номер: US20190090624A1
Принадлежит:

Provided is disclosure for hybrid material post-CMP brushes and methods for forming the same. Embodiments of a hybrid material post-CMP brush may comprise at least two layers, where the hybrid brush is used to clean various surfaces, such as surfaces of semiconductor substrates. An example hybrid brush for cleaning a surface includes a mandrel, a molded first layer formed about the mandrel, where the molded first layer comprises a first material, and a molded second layer surrounding the molded first layer, where the molded second layer comprises a second material. 1. A hybrid brush for cleaning a surface , comprising:a mandrel;a molded first layer formed about the mandrel, wherein the molded first layer comprises a first material; anda second layer molded about the molded first layer, wherein the molded second layer comprises a second material.2. The hybrid brush of claim 1 , wherein the molded first layer compresses under less force than the molded second layer.3. The hybrid brush of claim 1 , wherein the molded first layer does not comprise a starch templating agent and the molded second layer comprises a starch templating agent.4. The hybrid brush of claim 1 , wherein a respective outer surface of one or both of the molded first layer and the molded second layer is substantially free of protrusions.5. The hybrid brush of claim 1 , wherein the first material comprises a first polyvinyl acetate formulation and the second material comprises a second polyvinyl acetate formulation.6. The hybrid brush of claim 1 , wherein a force to compress the molded first layer is substantially the same when the molded first layer is dry as when it is wet with a cleaning fluid used for cleaning the surface.7. The hybrid brush of claim 1 , wherein a first pore distribution for the molded first layer is different than a second pore distribution for the molded second layer.8. The hybrid brush of claim 1 , wherein a thickness of the molded first layer is independent of the thickness of ...

Подробнее
05-04-2018 дата публикации

SEMICONDUCTOR DEVICE FABRICATION METHOD AND SEMICONDUCTOR DEVICE

Номер: US20180097083A1
Автор: YOSHINARI MASATAKA
Принадлежит:

A method of fabricating a semiconductor device includes forming a first semiconductor region at a front surface of a substrate, the first semiconductor region including an active element that regulates current flowing in a thickness direction of the substrate; grinding a rear surface of the substrate; after the grinding, performing a first etching that etches the rear surface of the substrate with a chemical solution including phosphorus; after the first etching, performing a second etching that etches the rear surface with an etching method with a lower etching rate than the first etching; and after the second etching, forming a second semiconductor region through which the current is to flow, by implanting impurities from the rear surface of the substrate. 1. A semiconductor device comprising:a substrate having a front surface and a rear surface;a buffer layer that has a first conductivity-type and is formed in a side of the rear surface of the substrate;a collector layer that has a second conductivity-type, which is opposite to the first conductivity-type, and that is formed between the buffer layer and the rear surface of the substrate; anda drift layer that has the first conductivity-type and formed between the buffer layer and the front surface of the substrate,wherein a density per unit volume of impurities of the first conductivity-type is at most ⅕ of a density per unit volume of impurities of the second conductivity-type.2. The semiconductor device according to claim 1 , wherein the rear surface of the substrate is mirror finished.3. The semiconductor device according to claim 2 , wherein the rear surface of the substrate is mirror finished by anisotropical wet etching.4. The semiconductor device according to claim 1 , wherein the density per unit volume of impurities of the first conductivity-type near the rear surface of the substrate is at most ⅕ of the density per unit volume of impurities of the second conductivity-type.5. The semiconductor device ...

Подробнее
28-03-2019 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20190096688A1
Принадлежит: Screen Holdings Co Ltd

A substrate processing apparatus includes: a substrate holder to hold a substrate in a horizontal posture while rotating the substrate about a vertical rotary axis passing through the center of a plane of the substrate; a guard member having a shape extending along at least part of a surface peripheral area of the substrate, the guard member being placed in a position close to the surface peripheral area of the substrate held by the substrate holder in a noncontact manner; a cup being a tubular member with an open top end, the cup being provided so as to surround the substrate held by the substrate holder and the guard member together; and a nozzle from which a processing liquid is discharged to the surface peripheral area of the substrate held by the substrate holder. The nozzle is placed on a side opposite the cup with respect to at least part of the guard member.

Подробнее
08-04-2021 дата публикации

Metal-Compound-Removing Solvent and Method in Lithography

Номер: US20210103220A1
Принадлежит:

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9. 1. A method comprising:providing a substrate having a photosensitive material thereon, the photosensitive material including a metal-containing material;cleaning the substrate with a cleaning fluid to remove the metal-containing material from the photosensitive material;during the cleaning of the substrate with the cleaning fluid, applying a purging gas to the substrate, wherein the cleaning fluid and the purging gas are different from each other; andafter the cleaning of the wafer, performing an exposure process to the photosensitive material of the cleaned substrate.2. The method of claim 1 , wherein the metal-containing material is selected from the group consisting of Caesium (Cs) claim 1 , Barium (Ba) claim 1 , Lanthanum (La) claim 1 , Indium (In) claim 1 , Cerium (Ce) claim 1 , Silver (Ag) and Tin (Sn).3. The method of claim 1 , wherein the purging gas is a gas selected from the group consisting of nitrogen and air.4. The method of claim 1 , further comprising:applying a high-contact-angle material to the substrate, wherein the applying of the high-contact-angle material makes a hydrophobic surface on the substrate; andforming the photosensitive material on the hydrophobic surface of the substrate.5. The method of claim 1 , wherein the cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between ...

Подробнее
27-04-2017 дата публикации

SYSTEM AND METHOD FOR REMOVING DIELECTRIC MATERIAL

Номер: US20170117139A1
Автор: Anderson Gregory
Принадлежит:

A system is described for removing a dielectric gel, which has been layered atop the electrical components of a failed electrical system, without further damaging the electrical components of the failed electrical system. The system includes a raster component configured to project a laser for vaporizing a dielectric layer of an electric component into a plasma plume located above the dielectric layer. The system further includes a first vacuum nozzle positioned on a first side of the raster component and configured to extract a first portion of the plasma plume while the plasma plume is located above the dielectric layer, and a second vacuum nozzle positioned on a second side of the raster component and configured to extract a second portion of the plasma plume while the plasma plume is located above the dielectric layer. 1. A system comprising:a raster component configured to project a laser for vaporizing a dielectric layer of an electric component into a plasma plume located above the dielectric layer;a first vacuum nozzle positioned on a first side of the raster component and configured to extract a first portion of the plasma plume while the plasma plume is located above the dielectric layer; anda second vacuum nozzle positioned on a second side of the raster component and configured to extract a second portion of the plasma plume while the plasma plume is located above the dielectric layer.2. The system of claim 1 , wherein the raster component is further configured to follow a fill pattern mapped atop the dielectric layer of the electric component while projecting the laser for vaporizing the dielectric layer claim 1 , the fill pattern being either a cross grid claim 1 , a vertical grid claim 1 , or a horizontal grid.3. The system of claim 2 , wherein the fill pattern comprises a fill spacing that is less than a diameter of the plasma plume.4. The system of claim 2 , wherein the first side of the raster component and the second side of the raster component ...

Подробнее
25-04-2019 дата публикации

POLISHING APPARATUS

Номер: US20190118335A1
Принадлежит:

A polishing apparatus which can efficiently polish an entirety of a back surface of a substrate, with the back surface facing downward, is disclosed. The polishing apparatus includes: a substrate holder configured to rotate the substrate; a polishing head configured to polish the back surface of the substrate; a tape advancing device; and a translational rotating mechanism configured to cause the polishing head to make a translational rotating motion. The substrate holder includes a plurality of rollers which are rotatable about their own axes. The plurality of rollers have substrate-holding surfaces capable of contacting a periphery of the substrate. The polishing head is disposed below the substrate-holding surfaces. The polishing head includes a polishing blade configured to press the polishing tape against the back surface of the substrate, and a pressing mechanism configured to push the polishing blade upward. 1. A polishing apparatus comprising:a substrate holder configured to hold a substrate and rotate the substrate, the substrate holder including a plurality of rollers which are rotatable about their own axes, the plurality of rollers having substrate-holding surfaces capable of contacting a periphery of the substrate;a polishing head configured to bring a polishing tape, having abrasive particles on one surface, into contact with a back surface of the substrate to polish the back surface, the polishing head being disposed below the substrate-holding surfaces, the polishing head including a polishing blade configured to press the polishing tape against the back surface of the substrate, and a pressing mechanism configured to push the polishing blade upward;a tape advancing device configured to advance the polishing tape in its longitudinal direction; anda translational rotating mechanism configured to cause the polishing head to make a translational rotating motion.2. The polishing apparatus according to claim 1 , wherein the polishing blade extends ...

Подробнее
04-05-2017 дата публикации

WAFER BACK-SIDE POLISHING SYSTEM AND METHOD FOR INTEGRATED CIRCUIT DEVICE MANUFACTURING PROCESSES

Номер: US20170125237A1
Принадлежит:

Some embodiments are directed to a wafer polishing tool. The wafer polishing tool includes a first polisher, a second polisher downstream of the first polisher, a third polisher downstream of the second polisher, and a fourth polisher downstream of the third polisher. The first polisher receives a wafer having a front side and a back side with integrated circuit component devices disposed on the front side of the wafer, and polishes a center region on the back side of the wafer. The second polisher receives the wafer via transporting equipment and buffs the center region of the back side of the wafer. The third polisher receives the wafer via the transporting equipment and polishes a back side edge region of the wafer. The fourth polisher receives the wafer via the transporting equipment and buffs the back side edge region of the wafer. 1. A wafer polishing tool , comprising:a first polisher configured to receive a wafer having a front side and a back side with integrated circuit component devices disposed on the front side of the wafer, the first polisher configured to polish a center region on the back side of the wafer;a second polisher, downstream of the first polisher, configured to receive the wafer via transporting equipment and buff the center region of the back side of the wafer;a third polisher, downstream of the second polisher, configured to receive the wafer via the transporting equipment and polish a back side edge region of the wafer; anda fourth polisher, downstream of the third polisher, configured to receive the wafer via the transporting equipment and buff the back side edge region of the wafer.2. The wafer polishing tool of claim 1 ,wherein the first polisher comprises a first polishing pad having a first grit, and the second polisher comprises a first buffing pad having a second grit, the second grit being less coarse than the first grit.3. The wafer polishing tool of claim 2 , wherein the first grit is 10k or coarser.4. The wafer polishing tool ...

Подробнее
27-05-2021 дата публикации

TREATING A SILICON ON INSULATOR WAFER IN PREPARATION FOR MANUFACTURING AN ATOMISTIC ELECTRONIC DEVICE INTERFACED WITH A CMOS ELECTRONIC DEVICE

Номер: US20210159116A1
Принадлежит:

A method for treating a wafer is provided with a portion of a semiconductor layer is selectively removed from the wafer so as to create an inactive region of the wafer surrounding a first active region of the wafer. The inactive region of the wafer has an exposed portion of an insulator layer, but none of the semiconductor layer. The first active region of the wafer includes a first portion of the semiconductor layer and a first portion of the insulator layer. At least one conductor is formed in contact with the first portion of the semiconductor layer, such that the conductor and the first portion of the semiconductor layer form a portion of an electrical circuit. The first active region of the wafer is selectively treated to remove a native oxide layer from the first portion of the semiconductor layer. A resulting wafer is also disclosed. 1. A method for treating a wafer comprising a semiconductor layer overlying an insulator layer: (i) the inactive region of the wafer comprises an exposed portion of the insulator layer, but none of the semiconductor layer; and', '(ii) the first active region of the wafer comprises a first portion of the semiconductor layer and a first portion of the insulator layer;, '(a) selectively removing a portion of the semiconductor layer from the wafer so as to create an inactive region of the wafer surrounding a first active region of the wafer, wherein(b) forming at least one conductor in contact with the first portion of the semiconductor layer, such that the conductor and the first portion of the semiconductor layer form a portion of an electrical circuit; and(c) selectively treating the first active region of the wafer to remove a native oxide layer from the first portion of the semiconductor layer, wherein the treating comprises energizing the electrical circuit with an external power source to induce resistive heating of the first portion of the semiconductor layer.2. The method of claim 1 , wherein step (c) comprises energizing ...

Подробнее
23-04-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD

Номер: US20200126822A1
Принадлежит:

A substrate processing apparatus processes a substrate having an upper side and a rear side. The substrate processing apparatus includes a substrate holder, a filler feeder, and a first cleaning liquid feeder. The substrate holder rotates the substrate while holding a central portion of the rear side of the substrate. The filler feeder feeds filler to the upper side of the substrate held by the substrate holder. The first cleaning liquid feeder feeds a cleaning liquid to the rear side of the substrate held by the substrate holder. The first cleaning liquid feeder feeds the cleaning liquid to an area, held by the substrate holder, of the rear side of the substrate. 1. A substrate processing apparatus , processing a substrate having an upper side and a rear side and comprisinga substrate holder configured to rotate the substrate while holding a central portion of the rear side of the substrate,a filler feeder configured to feed filler to the upper side of the substrate held by the substrate holder, anda first cleaning liquid feeder configured to feed a cleaning liquid to the rear side of the substrate held by the substrate holder, whereinthe first cleaning liquid feeder feeds the cleaning liquid toward an area, held by the substrate holder, of the rear side of the substrate.2. The substrate processing apparatus according to claim 1 , whereinthe substrate holder allows the substrate holder to stick to the central portion of the rear side of the substrate.3. The substrate processing apparatus according to claim 1 , whereinwhen feeding the cleaning liquid toward the area, held by the substrate holder, of the rear side of the substrate, the first cleaning liquid feeder feeds the cleaning liquid such that the cleaning liquid is spread on the rear side of the substrate and approaches the area, held by the substrate holder, of the rear side of the substrate without coming into contact with the area.4. The substrate processing apparatus according to claim 1 , whereinthe first ...

Подробнее
17-05-2018 дата публикации

Backside Polisher with Dry Frontside Design and Method Using The Same

Номер: US20180138052A1
Принадлежит:

A wafer polishing apparatus is described herein. The wafer polishing apparatus includes a polish module configured to apply air pressure to a first surface of a wafer while performing a polishing process on a second surface of the wafer. In some implementations, the polish module is further configured to perform a cleaning process and/or a drying process on the second surface of the wafer, such that the same wafer polishing apparatus is configured to perform the polishing process, the cleaning process, and/or the drying process. In some implementations, the polishing module is further configured to air seal edges of the wafer during the polishing process, the cleaning process, and/or the drying process. 1. A wafer polishing apparatus , comprising:a polish module configured to apply air pressure to a first surface of a wafer while performing a polishing process on a second surface of the wafer.2. The wafer polishing apparatus of claim 1 , wherein the polishing module includes a bearing surface configured to deliver gas to a gap between the bearing surface and the first surface of the wafer.3. The wafer polishing apparatus of claim 2 , wherein the gas includes nitrogen.4. The wafer polishing apparatus of claim 2 , wherein the bearing surface includes a plurality of micro-nozzles configured to uniformly deliver the gas to the gap.5. The wafer polishing apparatus of claim 2 , wherein the bearing surface includes a porous membrane configured to uniformly deliver the gas to the gap.6. The wafer polishing apparatus of claim 2 , wherein the polishing module is further configured to self-adjust the air pressure during the polishing process.7. The wafer polishing apparatus of claim 1 , wherein the polishing module includes an air bearing module integrated with a polishing head claim 1 , such that the air bearing module moves with the polishing head during the polishing process claim 1 , wherein the air bearing module is configured to apply the air pressure to the first ...

Подробнее
08-09-2022 дата публикации

COMPOSITION AND METHOD FOR TREATING SUBSTRATE

Номер: US20220282156A1
Принадлежит: FUJIFILM Corporation

A composition contains a periodic acid compound selected from the group consisting of a periodic acid and a salt thereof, an amine compound that is a specific compound represented by Formula (1) or a salt thereof, and water. 1. A composition comprising:a periodic acid compound selected from the group consisting of a periodic acid and a salt thereof;an amine compound which is a compound represented by the following Formula (1) or a salt thereof; and {'br': None, 'sub': '2', 'R—NH. . . \u2003\u2003Formula (1)'}, 'water,'}{'sub': 1', '1, 'in Formula (1), R represents an aliphatic hydrocarbon group which may have a substituent, the aliphatic hydrocarbon group may have at least one linking group selected from the group consisting of —O—, —S— and —NR— in a carbon chain, Rrepresents a hydrogen atom or an aliphatic hydrocarbon group which may have a substituent, and the substituent does not include a hydroxy group.'}2. The composition according to claim 1 ,wherein the composition is a composition for treating a substrate having a substance selected from the group consisting of a ruthenium-containing substance and a ruthenium oxide-containing substance.3. The composition according to claim 1 ,wherein the composition is a composition for treating a substrate having a ruthenium-containing substance and a ruthenium oxide-containing substance.4. The composition according to claim 1 ,wherein the amine compound has 1 to 8 carbon atoms.5. The composition according to claim 1 ,wherein the aliphatic hydrocarbon group represented by R has a substituent and further has a functional group selected from the group consisting of a carboxy group, an amino group, an oxo group, a phosphonic acid group, and a sulfo group.6. The composition according to claim 1 ,wherein the amine compound is at least one compound selected from the group consisting of β-alanine, 4-aminobutyric acid, 5-aminovaleric acid, 1,3-propanediamine, 1,4-butanediamine, 1,6-hexanediamine, succinic acid amide, malonamide, ...

Подробнее
18-05-2017 дата публикации

MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20170140917A1
Автор: YOSHIDA Taichi
Принадлежит: TOYOTA JIDOSHA KABUSHIKI KAISHA

An adhesion liquid is supplied onto a front side of a wafer having a disk-shape while a rinse liquid is discharged onto a backside of the wafer that is rotating. The rinse liquid is discharged to a position close to a rotational center of the wafer and a position close to an outer peripheral edge of the wafer. The rinse liquid reaches an outer peripheral end surface of the wafer, and thus adhesion of the adhesion liquid to the outer peripheral end surface is reduced. 1. A manufacturing apparatus for a semiconductor device , the manufacturing apparatus comprising:a rotary holding table configured to rotate a wafer having a disk-shape while holding a central portion of at least one of a front side and a backside of the wafer;a supply nozzle configured to supply an adhesion liquid onto the front side of the wafer while the wafer is rotating, the adhesion liquid being a liquid to be caused to adhere to the front side of the wafer; andat least one discharge nozzle configured to discharge a rinse liquid toward a first position and a second position on the backside of the wafer while the wafer is rotating, the second position being closer to an outer peripheral edge of the wafer than the first position is in a direction from the outer peripheral edge of the wafer toward a rotational center of the wafer.2. The manufacturing apparatus according to claim 1 , wherein the at least one discharge nozzle includes a first discharge nozzle configured to discharge the rinse liquid toward the first position and a second discharge nozzle configured to discharge the rinse liquid toward the second position.3. The manufacturing apparatus according to claim 1 , wherein the at least one discharge nozzle is a movable discharge nozzle configured to be movable between the first position and the second position claim 1 , the movable discharge nozzle configured to discharge the rinse liquid toward the first position and the second position.4. The manufacturing apparatus according to claim 1 , ...

Подробнее
21-08-2014 дата публикации

METHOD OF PRODUCING A COMPONENT OF A DEVICE, AND THE RESULTING COMPONENTS AND DEVICES

Номер: US20140230846A1
Принадлежит: 3M INNOVATIVE PROPERTIES COMPANY

The present method comprises providing a flexible web substrate (e.g., polymeric flexible web substrates) that forms at least part of a component of a device, coating so as to wet-out on and cover all or a substantial portion of a major surface on one side or both sides of the flexible web substrate with flowable polymeric material, while the flexible web substrate is moving in a down-web direction, and solidifying the polymeric material so as to form one cleaning layer on the major surface of one side or both sides of the flexible web substrate. The present invention can be utilized in a continuous in-line manufacturing process. In applications of the present invention where the flexible web substrate will not form a component of a device, the present invention broadly provides a method for cleaning particles from a flexible web of indefinite length. Each cleaning layer forms a substantially adhesive bond to the major surface that is readily removable without damaging or leaving a substantial residue of cleaning layer material on the major surface. A substantial number of the particles that were on this major surface are captured by and removable with the cleaning layer. 2. A flexible web comprising:a flexible web substrate having opposite sides, a first major surface on one side and a second major surface on another side and an indefinite length; anda first cleaning layer of solidified polymeric material coated onto, and in direct contact with, said first major surface,wherein said first cleaning layer forms a substantially adhesive bond to said first major surface, is readily removable from said first major surface without damaging or leaving a substantial residue of cleaning layer material on said first major surface, and captures a substantial number of particles that were disposed on said first major surface before said first cleaning layer was coated thereon, and upon the removal of said first cleaning layer, said first major surface becomes a first clean ...

Подробнее
16-05-2019 дата публикации

APPARATUS AND METHOD FOR PROCESSING A SURFACE OF A SUBSTRATE

Номер: US20190148125A1
Автор: ISHII Yu, UCHIYAMA Keisuke
Принадлежит:

An apparatus and a method which can perform different processes, such as polishing and cleaning, on a surface of a substrate, such as a wafer, with a single processing head, and can process the surface of the substrate efficiently are disclosed. The apparatus includes: a substrate holder configured to hold a substrate and rotate the substrate; and a processing head configured to bring scrubbing tapes into contact with a first surface of the substrate to process the first surface. The processing head includes: pressing members arranged to press the scrubbing tapes against the first surface of the substrate; position switching devices configured to be able to switch positions of the pressing members between processing positions and retreat positions; tape feeding reels configured to feed the scrubbing tapes, respectively; and tape take-up reels configured to take up the scrubbing tapes, respectively. 1. A substrate processing apparatus comprising:a substrate holder configured to hold a substrate and rotate the substrate; anda processing head configured to bring scrubbing tapes into contact with a first surface of the substrate to process the first surface, pressing members arranged to press the scrubbing tapes against the first surface of the substrate;', 'position switching devices configured to be able to switch positions of the pressing members between processing positions and retreat positions;', 'tape feeding reels configured to feed the scrubbing tapes, respectively; and', 'tape take-up reels configured to take up the scrubbing tapes, respectively., 'wherein the processing head includes2. The substrate processing apparatus according to claim 1 , wherein each of the scrubbing tapes comprises one of a rough polishing tape having abrasive grains on a surface thereof claim 1 , a finish polishing tape having abrasive grains on a surface thereof claim 1 , and a cleaning tape having no abrasive grains.3. The substrate processing apparatus according to claim 1 , wherein ...

Подробнее
09-06-2016 дата публикации

Tantalum oxide film removal method and apparatus

Номер: US20160163533A1
Принадлежит: Tokyo Electron Ltd

In a tantalum oxide film removal method and apparatus, a silicon substrate having a tantalum oxide film is supported on a spin chuck. A mixed aqueous solution including hydrofluoric acid and organic acid is supplied to the silicon substrate while rotating the silicon substrate together with the spin chuck. The mixed aqueous solution comes into contact with the tantalum oxide film existing on the silicon substrate to remove the tantalum oxide film by the chemical reaction therebetween.

Подробнее
18-06-2015 дата публикации

BRUSH CLEANING APPARATUS, CHEMICAL-MECHANICAL POLISHING (CMP) SYSTEM AND WAFER PROCESSING METHOD

Номер: US20150170940A1

A brush cleaning apparatus includes a wafer support configured to support a wafer, and at least one cleaning brush moveable relative to the wafer support. The at least one cleaning brush has opposite first and second sides, and, on the first side, a planar cleaning surface configured to come into contact with the wafer supported by the wafer support to remove contaminants from the wafer. 1. A brush cleaning apparatus , comprising:a wafer support configured to support a wafer; and opposite first and second sides, and', 'on the first side, a planar cleaning surface configured to come into contact with the wafer supported by the wafer support to remove contaminants from the wafer., 'at least one cleaning brush moveable relative to the wafer support, the at least one cleaning brush having'}2. The brush cleaning apparatus of claim 1 , wherein the cleaning brush comprises a layer of a porous material claim 1 , the porous material defining the planar cleaning surface.3. The brush cleaning apparatus of claim 2 , wherein the porous material comprises at least one selected from the group consisting of polyvinyl alcohol (PVA) claim 2 , polyamide (PA) claim 2 , polyurethane (PU) claim 2 , polybutylene terephthalate (PBT) claim 2 , and polyethylene terephthalate (PET).4. The brush cleaning apparatus of claim 2 , wherein the porous material has a porosity in a range from 5% to 80%.5. The brush cleaning apparatus of claim 2 , wherein the porous material has a pore size in a range from 5 μm to 200 μm.6. The brush cleaning apparatus of claim 2 , wherein the cleaning brush further comprises claim 2 , on the second side claim 2 , a layer of a core material attached to the layer of the porous material.7. The brush cleaning apparatus of claim 6 , wherein the core material comprises at least one selected from the group consisting of polypropene (PP) claim 6 , polythene (PE) claim 6 , and polyvinyl chloride (PVC).8. The brush cleaning apparatus of claim 6 , wherein the layer of the core ...

Подробнее
16-06-2016 дата публикации

Etching processing method and bevel etching apparatus

Номер: US20160172257A1
Автор: Masaki Kondo
Принадлежит: Tokyo Electron Ltd

Disclosed is an etching processing method using a bevel etching apparatus for etching a substrate by irradiating a laser beam, the bevel etching apparatus including a laser generator and an image capturing unit. The method includes a process, by the image capturing unit, of capturing an image of an inner part of a processing container by illuminating the inner part of the processing container by scattered light of the laser beam emitted from the laser generator; a process of calculating brightness of an image of a predetermined area out of the captured image of the inner part of the processing container; and a process of monitoring, based on data indicating a correlation between an output value of the laser beam output from the laser generator and the brightness, the output value of the laser beam with respect to the calculated brightness.

Подробнее
11-09-2014 дата публикации

Methods and apparatus for cleaning a substrate

Номер: US20140251374A1
Принадлежит: Applied Materials Inc

A substrate cleaning apparatus may include a substrate support member to support a substrate having a first side and a contaminated second side; a liquid carbon dioxide source; a gaseous carbon dioxide source; and one or more nozzles coupled to the liquid carbon dioxide source and to the gaseous carbon dioxide source, wherein the one or more nozzles are configured to receive liquid carbon dioxide and to discharge a first mixture of solid and gaseous carbon dioxide from the liquid carbon dioxide source to the second side of the substrate and to receive gaseous carbon dioxide and to discharge a second mixture of solid and gaseous carbon dioxide from the gaseous carbon dioxide source to the second side of the substrate. Methods of cleaning a substrate may be performed in the substrate cleaning apparatus.

Подробнее
11-09-2014 дата публикации

Method and equipment for removing photoresist residue after dry etch

Номер: US20140256138A1

A method for removing photoresist residue includes etching a photoresist layer disposed over a front side of a semiconductor substrate during fabrication of a semiconductor device, and exposing at least one of the front side and the back side of the semiconductor substrate to an atmosphere comprising active oxygen. The method further includes cleaning at least one of the front side and the back side of the semiconductor substrate with a cleaning fluid.

Подробнее
15-06-2017 дата публикации

Sacrificial layer for post-laser debris removal systems and methods

Номер: US20170170003A1
Автор: Habib Hichri

A method of removing post-laser debris from a wafer includes, for an embodiment, forming a sacrificial layer over a layer to be patterned, patterning the sacrificial layer and the layer to be patterned using laser ablation, and removing the sacrificial layer and debris deposited on the sacrificial layer with water. The sacrificial layer includes a water soluble binder and a water soluble ultraviolet (UV) absorbent. Systems for removing the post-laser debris are also described.

Подробнее
21-06-2018 дата публикации

Manufacturing method of gallium nitride substrate

Номер: US20180174823A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of manufacturing a gallium nitride substrate, the method including forming a first buffer layer on a silicon substrate such that the first buffer layer has one or more holes therein; forming a second buffer layer on the first buffer layer such that the second buffer layer has one or more holes therein; and forming a GaN layer on the second buffer layer, wherein the one or more holes of the first buffer layer are filled by the second buffer layer.

Подробнее
06-06-2019 дата публикации

Semiconductor device fabrication method and semiconductor device

Номер: US20190172925A1
Автор: Masataka Yoshinari
Принадлежит: Lapis Semiconductor Co Ltd

A method of fabricating a semiconductor device includes forming a first semiconductor region at a front surface of a substrate, the first semiconductor region including an active element that regulates current flowing in a thickness direction of the substrate; grinding a rear surface of the substrate; after the grinding, performing a first etching that etches the rear surface of the substrate with a chemical solution including phosphorus; after the first etching, performing a second etching that etches the rear surface with an etching method with a lower etching rate than the first etching; and after the second etching, forming a second semiconductor region through which the current is to flow, by implanting impurities from the rear surface of the substrate.

Подробнее
08-07-2021 дата публикации

SUBSTRATE CLEANING DEVICE, SUBSTRATE PROCESSING APPARATUS, SUBSTRATE CLEANING METHOD AND SUBSTRATE PROCESSING METHOD

Номер: US20210210338A1
Автор: NISHIYAMA Koji
Принадлежит:

An outer peripheral end of a substrate is held with a plurality of chuck pins provided at a spin plate abutting against a plurality of portions of the outer peripheral end of the substrate, and the spin plate is rotated about a rotation axis. A cleaning head is moved by a head moving mechanism while being pressed against a back surface of the substrate held by the plurality of chuck pins by the head moving mechanism, and foreign matter on the back surface of the substrate is removed by polishing with the cleaning head. A reaction force against a load applied to the back surface of the substrate by the cleaning head is generated in the substrate by auxiliary pins. Alternatively, the back surface of the substrate, which has been cleaned or is being cleaned by the cleaning head, is further cleaned by a cleaning brush. 1. A substrate cleaning device that cleans a back surface of a substrate , comprising:a rotation holder that holds and rotates the substrate; anda cleaner that cleans the back surface of the substrate held by the rotation holder, wherein a rotator provided to be rotatable about a rotation axis,', 'a holder provided at the rotator to be capable of holding the substrate, and, 'the rotation holder includes a cleaning tool provided to be capable of removing foreign matter on the back surface of the substrate by polishing,', 'a mover that moves the cleaning tool while pressing the cleaning tool against the back surface of the substrate held by the holder, and', 'a cleaning brush that further cleans the back surface of the substrate, which has been cleaned or is being cleaned by the cleaning tool., 'the cleaner includes2. The substrate cleaning device according to claim 1 , wherein the holder abuts against an outer peripheral end of the substrate and holds the substrate.3. The substrate cleaning device according to claim 1 , wherein the cleaner further includes a cleaning liquid supplier that supplies a cleaning liquid to the back surface of the substrate claim ...

Подробнее
30-06-2016 дата публикации

WAFER BACK-SIDE POLISHING SYSTEM AND METHOD FOR INTEGRATED CIRCUIT DEVICE MANUFACTURING PROCESSES

Номер: US20160190023A1
Принадлежит:

A wafer polishing process includes polishing a central area on the back side of a wafer, polishing a peripheral area on the back side of the wafer, buffing the central area, and buffing the peripheral area. The process can significantly reduce scratch-related wafer breakage, can correct focus spots on wafers, and can replace cleaning processes that use chemical etchants. Polishing and buffing can include polishing and buffing the bevel region. Further improvements include polishing with abrasive pads having a soft backing, polishing or buffing with pads having relatively soft abrasive particles, polishing or buffing with abrasive pads made from abrasive particles that have been sorted and selected for regularity of shape, irrigating the surface being polished or buffed with an aqueous solution that includes a friction-reducing agent, and buffing with abrasive pads having 20k or finer grit or non-abrasive pads. 1. A wafer polishing process , comprising:forming integrated circuit component devices on a front side of a wafer; andafter the integrated circuit component devices have been formed on the front side of the wafer, polishing a first portion of a back side of the wafer by using a first polishing pad having a first grit and buffing a second portion of the back side of the wafer using a second buffing pad having a second grit, the second grit being less than the first grit.2. The wafer polishing process of claim 1 , wherein the first portion corresponds to a central area of the back side of the wafer and the second portion corresponds to a peripheral area of the back side of the wafer claim 1 , the first and second portions being non-overlapping with one another.3. The wafer polishing process of claim 2 , wherein the peripheral area comprises a bevel region of the wafer.4. The wafer polishing process of claim 3 , further comprising polishing a portion of the bevel region claim 3 , wherein the portion of the bevel region is at least half a total surface area of the ...

Подробнее
28-06-2018 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20180182645A1
Автор: NAKANO Akiyoshi
Принадлежит:

A substrate processing apparatus has a base part facing a surface of a rotation table, and the base part is equipped with a plate member which is rotated by a rotation drive unit together with the rotation table while the base member is being disposed between the rotation table and a substrate W held by a plurality of substrate holding members. The substrate processing apparatus is further equipped with: a heating fluid supply unit and a cooling fluid supply unit each of which is provided between the rotation table and the plate member and supplies a temperature regulating fluid for temperature regulation of the plate member; and a controller which controls supply of the temperature regulating fluids from the heating fluid supply unit and the cooling fluid supply unit. 1. A substrate processing apparatus for processing a substrate comprising:a rotation table which rotates around a predetermined rotation axial line;a rotation drive unit which rotates said rotation table around said rotation axial line;a substrate holding member which is provided on a surface on one side of said rotation table and holds said substrate, away from said rotation table, at a position on said one side;a plate member which has a base part opposed to said surface on said one side of said rotation table and is rotated, by said rotation drive unit, together with said rotation table while said base part is being disposed between said rotation table and said substrate held by said substrate holding member; anda temperature regulating fluid supply unit which supplies, between said rotation table and said plate member, a temperature regulating fluid for temperature regulation of said plate member.2. The substrate processing apparatus according to claim 1 , further comprisinga plate member moving mechanism which moves said plate member between (i) a first position which is on said one side with respect to said rotation table and (ii) a second position which is closer to a back surface of said ...

Подробнее
13-06-2019 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20190181022A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus includes a substrate holder, a first cleaning body, a first moving mechanism, a second cleaning body, a second moving mechanism, and a controller. The first cleaning body cleans one of the upper surface and the lower surface of the substrate held by the substrate holder by ejecting fluid thereto or by coming into contact therewith. The second cleaning body cleans the other one of the upper surface and the lower surface of the substrate held by the substrate holder by coming into contact therewith. The controller controls the first moving mechanism and the second moving mechanism to perform a both-surface cleaning processing in which the first cleaning body which ejects the fluid to one surface or is in contact with the upper surface and the second cleaning body which is in contact with the lower surface are horizontally moved in synchronization with each other.

Подробнее
30-06-2016 дата публикации

Germanium oxide pre-clean module and process

Номер: US20160192502A1
Принадлежит: ASM IP Holding BV

In some embodiments, a method for integrated circuit fabrication includes removing oxide material from a surface of a substrate, where the surface includes silicon and germanium. Removing the oxide material includes depositing a halogen-containing pre-clean material on a silicon oxide-containing surface and sublimating a portion of the halogen-containing pre-clean material to expose the silicon on the surface. A passivation film is deposited on the exposed silicon. The passivation film may include chlorine. The passivation film may prevent contamination of the silicon surface by chemical species from the later sublimation, which may be at a higher temperature than the earlier sublimation. Subsequently, a remaining portion of the halogen-containing pre-clean material and the passivation film are sublimated. A target material, such as a conductive material, may subsequently be deposited on the substrate surface.

Подробнее
07-07-2016 дата публикации

CLEANING SPONGE AND CLEANING METHOD

Номер: US20160193631A1
Принадлежит:

A sponge roller is made of a polyvinyl acetal porous resin material having elasticity in a wet state, includes a roller in an approximate cylinder form and a plurality of protrusions formed integrally on an outer peripheral surface of the roller, and rotates the multiple protrusions to make contact with a surface to be cleaned so as to clean the surface. The polyvinyl acetal porous resin material constituting the sponge roller contains a cationic functional group. 1. A cleaning sponge , which is made of a polyvinyl acetal porous resin material having elasticity in a wet state and is used to rub against a surface to be cleaned so as to clean the surface to be cleaned , whereinthe polyvinyl acetal porous resin material comprises a cationic functional group.2. The cleaning sponge according to claim 1 , wherein the cationic functional group includes at least one of an amino group claim 1 , an imino group claim 1 , and a biguanide group.3. The cleaning sponge according to either claim 1 , wherein the polyvinyl acetal porous resin material has a positive zeta potential under a condition of pH 8 or less.4. The cleaning sponge according to claim 1 , wherein the cleaning sponge has a roller form comprising an approximately cylindrical roller and multiple protrusions formed integrally on the outer surface of the roller claim 1 , and the protrusions rotate and touch a surface to be cleaned so as to clean the surface.5. A cleaning method of scrub-cleaning using the cleaning sponge according to claim 1 , wherein electrical and chemical adsorption or repulsion is utilized in the scrub cleaning.6. A cleaning method of scrub cleaning in an environment of pH 8 or less (under conditions on the mildly alkaline to acidic side) using the cleaning sponge according to . This application is a divisional application of, and claims priority to U.S. Non-Provisional application Ser. No. 13/389,845, filed Feb. 10, 2012, which itself is a National Stage application of PCT Application No. PCT/ ...

Подробнее
07-07-2016 дата публикации

SINGLE-WAFER-TYPE CLEANING APPARATUS

Номер: US20160197000A1
Принадлежит:

A single-wafer-type cleaning apparatus is provided. The single-wafer-type cleaning apparatus is configured to be capable of controlling electrostatic charges generated s due to rotating a wafer during a semiconductor cleaning process and a defect caused by the electrostatic charges. The cleaning process uses an ionizer mounted on a chuck. 1. A single-wafer-type cleaning apparatus comprising:a chamber;a chuck disposed in the chamber;an ionizer mounted on the chuck and configured to generate ions;at least one cleaning chemical dispensing nozzle disposed in the chamber; anda wafer fixing part disposed on the chuck.2. The single-wafer-type cleaning apparatus of claim 1 , wherein the ions generated from the ionizer are emitted to a back surface of a wafer disposed on the wafer fixing part to neutralize charged particles accumulated in the wafer.3. The single-wafer-type cleaning apparatus of claim 2 , wherein the ionizer generates the ions using a corona method claim 2 , a piezo high frequency alternating current (HF-AC) method claim 2 , or an X-ray method.4. The single-wafer-type cleaning apparatus of claim 3 , wherein a voltage using a HF-AC or pulsed AC method is applied to the ionizer.5. The single-wafer-type cleaning apparatus of claim 1 , wherein the ionizer has at least one of a bar type claim 1 , a ring type claim 1 , a plurality of ring types claim 1 , and a radial type.6. The single-wafer-type cleaning apparatus of claim 1 , wherein the chuck includes a non-rotating body disposed at a center of the chuck and a rotating body configured to surround the non-rotating body.7. The single-wafer-type cleaning apparatus of claim 6 , wherein the ionizer is mounted on the non-rotating body.8. The single-wafer-type cleaning apparatus of claim 1 , wherein the cleaning chemical dispensing nozzle includes a first cleaning chemical dispensing nozzle configured to spray a cleaning chemical onto a front surface of a wafer disposed on the wafer fixing part.9. The single-wafer-type ...

Подробнее
06-07-2017 дата публикации

REMOVING PARTICULATE CONTAMINANTS FROM THE BACKSIDE OF A WAFER OR RETICLE

Номер: US20170194134A1

The invention is directed to a method for removing particulate contaminants from the backside of a wafer or reticle, and to a cleaning substrate for use in such method. In the method of the invention particulate contaminants are removed from the backside of a wafer or reticle with a cleaning substrate. The cleaning substrate comprises protrusions and a tacky layer between the protrusions. The method comprises contacting the backside of the wafer or reticle with the protrusions of the cleaning substrate while maintaining a distance between the wafer or reticle and the tacky layer, the distance being in the range of 1-10 μm. 1. Method for removing particulate contaminants from the backside of a wafer or reticle with a cleaning substrate , said cleaning substrate comprising protrusions and a tacky layer between said protrusions , and wherein said method comprises contacting the backside of said wafer or reticle with the protrusions of said cleaning substrate while maintaining a distance between the wafer or reticle and said tacky layer , the distance being in the range of 1-10 μm.2. Method according to claim 1 , wherein said tacky layer comprises one or more materials selected from acrylic or methacrylic adhesive claim 1 , and polyurethane.3. Method according to claim 1 , wherein the tacky layer has a thickness of 5-25 μm.4. Method according to claim 3 , wherein the tacky layer has a thickness of 10-20 μm.5. Method according to claim 1 , wherein said protrusions protrude 5-35 μm from the cleaning substrate base surface.6. Method according to claim 5 , wherein said protrusions protrude 10-30 μm from the cleaning substrate base surface.7. Method according to claim 1 , wherein said contacting comprises holding the wafer or reticle to the cleaning substrate by one or more forces selected from the group consisting of electrostatic claim 1 , vacuum claim 1 , overpressure claim 1 , and capillary force.8. Method according to claim 1 , wherein said wafer is a silicon wafer.9. ...

Подробнее
19-07-2018 дата публикации

Substrate processing apparatus, substrate processing method and recording medium

Номер: US20180200764A1
Принадлежит: Tokyo Electron Ltd

Contamination of a bottom surface of a substrate caused by a processing liquid used for cleaning a top surface of the substrate can be suppressed. After performing a liquid processing on the top surface of the substrate and a liquid processing on the bottom surface of the substrate in parallel while rotating the substrate by a substrate holding/rotating unit, when stopping the liquid processing on the top surface of the substrate and the liquid processing on the bottom surface of the substrate, a control unit 18 stops a supply of the processing liquid onto the top surface of the substrate by a processing liquid supply device 73 , and then, stops a supply of the processing liquid onto the bottom surface of the substrate by a processing liquid supply device 71.

Подробнее
30-07-2015 дата публикации

WAFER CLEANING SYSTEM AND METHOD

Номер: US20150214027A1

Embodiments of a wafer cleaning system and method are provided. A brush element is configured to clean a backside of the wafer. The backside has a clear area and an unclear area, and some contaminants are located in the unclear area. A control device performs a first cleaning process to the brush element when the brush element is located at the clear area, and the control device performs a second cleaning process when the brush element is located at the unclear area. The contaminants are cleaned by an enhanced cleaning process. Since the contaminants are cleaned, the backside of the wafer is flatter, and quality of the exposed photoresist on the wafer is improved. 1. A wafer cleaning system for cleaning contaminants on a wafer , comprising:a spin device configured to rotate the wafer;a brush element configured to clean a backside of the wafer; anda control device configured to control the brush element;wherein the backside has a clear area and an unclear area, and the contaminants are located in the unclear area,wherein the control device controls the brush element to clean the backside of the wafer according to a first cleaning process when the brush element is located at the clear area, and the control device controls the brush element to clean the backside of the wafer according to a second cleaning process when the brush element is located at the unclear area.2. The wafer cleaning system as claimed in claim 1 , wherein a movement speed of the brush element at the unclear area is slower than a movement speed of the brush element at the clear area.3. The wafer cleaning system as claimed in claim 1 , wherein the brush element stays at the unclear area during a pause period.4. The wafer cleaning system as claimed in claim 1 , wherein the bush element comprises a brush holder disposed on the control device and a brush head configured to rotate on the brush holder claim 1 , wherein a rotational speed of the brush head at the unclear area is greater than a rotational ...

Подробнее
18-06-2020 дата публикации

Substrate processing method

Номер: US20200194257A1
Принадлежит: Tokyo Electron Ltd

A substrate processing method includes: providing a substrate having a pattern formed on a surface layer thereof; setting a temperature of the substrate such that a change in the pattern becomes a predetermined change amount; forming a reaction layer having a thickness corresponding to the temperature set in the setting on the surface layer of the substrate; and applying energy to the substrate formed with the reaction layer thereby removing the reaction layer from the surface layer of the substrate.

Подробнее
25-06-2020 дата публикации

BACKSIDE BRUSH FOR CLEANING WAFER AND CLEANING APPARATUS HAVING THE SAME

Номер: US20200203192A1
Автор: RO YONG-SEOK
Принадлежит:

The present disclosure provides a backside brush for cleaning a backside of a wafer. The backside of the wafer has a central region and a periphery region surrounding the central region. The backside brush includes a backside brush core and a backside brush pad covering an outer surface of the backside brush core. The backside brush pad includes a soft pad and an abrasive pad. The soft pad of the backside brush pad covers a portion of the outer surface the backside brush core and is configured to brush the central region of the backside of the wafer. The abrasive pad of the backside brush pad covers another portion of the outer surface of the backside brush core and is configured to brush the periphery region of the backside of the wafer. 1. A backside brush for cleaning a backside of a wafer , wherein the backside of the wafer has a central region and a periphery region surrounding the central region , the backside brush comprising:a backside brush core; and a soft pad covering a portion of the outer surface the backside brush core and configured to brush the central region of the backside of the wafer; and', 'an abrasive pad covering another portion of the outer surface of the backside brush core and configured to brush the periphery region of the backside of the wafer., 'a backside brush pad covering an outer surface of the backside brush core for brushing the backside of the wafer, the backside brush pad comprising2. The backside brush of claim 1 , wherein the soft pad of the backside brush pad is made of polyvinyl alcohol (PVA).3. The backside brush of claim 1 , wherein the abrasive pad of the backside brush pad includes at least one of sand papers claim 1 , polyurethane claim 1 , non-woven fabrics claim 1 , and asbestos.4. The backside brush of claim 1 , wherein a hardness of the soft pad of the backside brush pad is lower than a hardness of the abrasive pad of the backside brush pad.5. The backside brush of claim 1 , wherein the soft pad of the backside brush ...

Подробнее
25-06-2020 дата публикации

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер: US20200203491A1
Принадлежит:

A characteristic of a semiconductor device having a back electrode including an Au—Sb alloy is improved. The semiconductor device has a semiconductor substrate and the back electrode including the Au—Sb alloy layer. The back electrode is formed on the semiconductor substrate. The Sb concentration in the Au—Sb alloy layer is equal to or greater than 15 wt %, and equal to or less than 37 wt %. The thickness of the Au—Sb alloy layer is equal to or larger than 20 nm, and equal to or less than 45 nm. 1. A semiconductor device comprising:a semiconductor substrate; andan electrode formed on the semiconductor substrate, and including an Au—Sb alloy layer,wherein a concentration of Sb in the Au—Sb alloy layer is equal to or greater than 15 wt %, and equal to or less than 37 wt %, andwherein a thickness of the Au—Sb alloy layer is equal to or larger than 20 nm, and equal to or less than 45 nm.2. The semiconductor device according to claim 1 , wherein the thickness of the Au—Sb alloy layer is equal to or larger than 25 nm claim 1 , and equal to or less than 35 nm.3. The semiconductor device according to claim 1 , wherein the electrode further includes a Ti layer between the semiconductor substrate and the Au—Sb alloy layer.4. The semiconductor device according to claim 3 , wherein a thickness of the Ti layer is equal to or larger than 15 nm claim 3 , and equal to or less than 30 nm.5. The semiconductor device according to claim 1 ,wherein the semiconductor substrate is made of silicon, andwherein the electrode is a back surface electrode contacted with a back surface of the semiconductor substrate.6. The semiconductor device according to claim 1 ,wherein a region of the semiconductor substrate, on the electrode side, contains n-type impurity.7. The semiconductor device according to claim 6 , wherein the n-type impurity is one of antimony atom claim 6 , phosphorus atom and arsenic atom.8. A method of manufacturing a semiconductor device claim 6 , comprising the steps of: ...

Подробнее
25-06-2020 дата публикации

SELF-ALIGNED AND ROBUST IGBT DEVICES

Номер: US20200203514A1
Автор: Yilmaz Hamza
Принадлежит: IPOWER SEMICONDUCTOR

A vertical IGBT device is disclosed. The vertical IGBT structure includes an active MOSFET cell array formed in an active region at a front side of a semiconductor substrate of a first conductivity type. One or more column structures of a second conductivity type concentrically surround the active MOSFET cell array. Each column structure includes a column trench and a deep column region. The deep column region is formed by implanting implants of the second conductivity type into the semiconductor substrate through the floor of the column trench. Dielectric side wall spacers are formed on the trench side walls except a bottom wall of the trench and the column trench is filled with poly silicon of the second conductivity type. One or more column structures are substantially deeper than the active MOSFET cell array. 1. A method of forming a vertical insulated gate bipolar transistor (IGBT) device , comprising:providing a semiconductor substrate of a first conductivity type having a front side and a backside; forming a column trench in the front side of the semiconductor substrate, the trench including trench side walls and a trench floor,', 'forming dielectric spacers on the trench side walls,', 'forming a column deep region extending downwardly from the trench floor by applying dopants of the second conductivity type into the semiconductor substrate through the trench floor,', 'filling the trench with poly silicon of a second conductivity which is in contact with the column deep region; and, 'forming a column structure of a second conductivity type concentrically surrounding a region of the front side of the semiconductor substrate, forming of the column structure includingdepositing an oxide layer including silicon oxide onto the front side of the semiconductor substrate including the column trenches filled with the poly silicon;planarizing the oxide layer;depositing an etch stop layer including silicon nitride on the oxide layer;applying a photomask on the etch stop ...

Подробнее
04-07-2019 дата публикации

METHOD AND DEVICE FOR REDUCING CONTAMINATION FOR RELIABLE BOND PADS

Номер: US20190206676A1
Принадлежит:

The present disclosure generally relates to methods for cleaning the backside of a wafer. A wet cleaning method may be used by stripping off the uppermost spacer layers on the backside of the wafer using a cleaning solution. In one embodiment, hydrogen fluoride (HF) solution may be employed to remove the nitride/oxide spacer layer. In another embodiment, a dry cleaning method may be employed to etch the wafer at the bevel region. Residues are completely removed from the wafer backside. This method improves the yield and storage life of the semiconductor wafers. 1. A method for processing a semiconductor wafer comprising:providing the semiconductor wafer that includes an active surface on a frontside of the semiconductor wafer and an inactive surface on a backside of the semiconductor wafer, wherein the active and inactive surfaces are opposite surfaces of the semiconductor wafer, and the active surface is a surface on which transistors are formed;forming front-end-of-line layers on the active surface and the inactive surface of the semiconductor wafer, wherein the front-end-of-line layers include one or more gate layers disposed on the active surface and the inactive surface of the semiconductor wafer, and front-end-of-line layers further include one or more front-end-of-line dielectric layers disposed on the one or more gate layers on the active surface and the inactive surface;patterning the one or more gate layers on the active surface of the semiconductor wafer to form gates of the transistors;after patterning the one or more gate layers on the active surface of the semiconductor wafer to form gates of the transistors, forming a back-end-of-line dielectric layer over the transistors, wherein the back-end-of-line dielectric layer includes a bond pad;after forming the back-end-of-line dielectric layer, forming a deep trench penetrating into the back-end-of-line dielectric layer with a deep trench etching process that deposits a polymer residue on the one or more ...

Подробнее
04-08-2016 дата публикации

Semiconductor device fabrication method and semiconductor device

Номер: US20160225874A1
Автор: Masataka Yoshinari
Принадлежит: Lapis Semiconductor Co Ltd

There is provided a method of fabricating a semiconductor device, the method including: forming a first semiconductor region at a front surface of a substrate, the first semiconductor region including an active element that regulates current flowing in a thickness direction of the substrate; grinding a rear surface of the substrate; after the grinding, performing a first etching that etches the rear surface of the substrate with a chemical solution including phosphorus; after the first etching, performing a second etching that etches the rear surface with an etching method with a lower etching rate than the first etching; and after the second etching, forming a second semiconductor region through which the current is to flow, by implanting impurities from the rear surface of the substrate.

Подробнее
03-08-2017 дата публикации

SUBSTRATE CLEANING DEVICE, SUBSTRATE PROCESSING APPARATUS, SUBSTRATE CLEANING METHOD AND SUBSTRATE PROCESSING METHOD

Номер: US20170221696A1
Автор: NISHIYAMA Koji
Принадлежит:

An outer peripheral end of the substrate is held with a plurality of chuck pins provided at a spin plate abutting against a plurality of portions of the outer peripheral end of the substrate, and the spin plate is rotated about a rotation axis. A cleaning head is moved by a head moving mechanism while being pressed against a back surface of the substrate held by the plurality of chuck pins by the head moving mechanism, and foreign matter on the back surface of the substrate is removed by polishing with the cleaning head. A reaction force against a load applied to the back surface of the substrate by the cleaning head is generated in the substrate by auxiliary pins. Alternatively, the back surface of the substrate, which has been cleaned or is being cleaned by the cleaning head, is further cleaned by a cleaning brush. 1. A substrate cleaning device that cleans a back surface of a substrate , comprising:a rotation holder that holds and rotates the substrate; anda cleaner that cleans the back surface of the substrate held by the rotation holder, whereinthe rotation holder includesa rotator provided to be rotatable about a rotation axis,a plurality of holders provided at the rotator to be capable of abutting against a plurality of portions of an outer peripheral end of the substrate and holding the substrate, andthe cleaner includesa cleaning tool provided to be capable of removing foreign matter on the back surface of the substrate by polishing,a mover that moves the cleaning tool while pressing the cleaning tool against the back surface of the substrate held by the plurality of holders, anda reaction force generator that generates a reaction force in the substrate against a load applied to the back surface of the substrate by the cleaning tool.2. The substrate cleaning device according to claim 1 , whereinthe reaction force generator includes a plurality of abutments provided at the rotator to abut against a plurality of portions of the outer peripheral end of the ...

Подробнее
03-08-2017 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATES

Номер: US20170221720A1
Автор: CHAE Hee Sun, CHO Jeonghee
Принадлежит: PSK INC.

Provided are an apparatus and a method for treating substrates. The apparatus includes a process chamber, a support plate to support a substrate inside the process chamber, a gas supply unit to supply a gas into the process chamber, a first plasma generation unit provided to generate plasma inside the process chamber, and a second plasma generation unit provided to generate plasma outside the process chamber. An etching process, an ashing process, an edge cleaning process, and a back-surface cleaning process are sequentially performed on the substrate inside the process chamber. 1. A substrate treating method comprising:sequentially performing at least two of an etching process, an ashing process, and a cleaning process while a substrate is provided inside the same process chamber,wherein the etching process is performed inside the process chamber by generating plasma from an etching processing gas using a first plasma generation unit,wherein the ashing process is performed outside the process chamber by generating plasma from an ashing processing gas using a second plasma generating unit and supplying the plasma into the process chamber, andwherein the cleaning process is performed inside the process chamber by generating plasma from a cleaning processing gas using the first plasma generation unit.2. The substrate treating method of claim 1 , wherein the etching process further comprises primarily generating plasma outside the process chamber using the second plasma generation unit.3. The substrate treating method of claim 1 , wherein the ashing process further comprises secondarily generating plasma inside the process chamber using the first plasma generation unit.4. The substrate treating method of claim 2 , wherein a baffle where an injection hole is vertically formed is provided inside the process chamber claim 2 , the baffle being grounded claim 2 , andwherein the etching processing gas or the ashing processing gas are supplied to the substrate through the ...

Подробнее
11-07-2019 дата публикации

Substrate cleaning device, substrate processing apparatus, substrate cleaning method and substrate processing method

Номер: US20190214245A1
Автор: Koji Nishiyama
Принадлежит: Screen Holdings Co Ltd

An outer peripheral end of a substrate is held with a plurality of chuck pins provided at a spin plate abutting against a plurality of portions of the outer peripheral end of the substrate, and the spin plate is rotated about a rotation axis. A cleaning head is moved by a head moving mechanism while being pressed against a back surface of the substrate held by the plurality of chuck pins by the head moving mechanism, and foreign matter on the back surface of the substrate is removed by polishing with the cleaning head. A reaction force against a load applied to the back surface of the substrate by the cleaning head is generated in the substrate by auxiliary pins. Alternatively, the back surface of the substrate, which has been cleaned or is being cleaned by the cleaning head, is further cleaned by a cleaning brush.

Подробнее
12-08-2021 дата публикации

METHOD FOR CLEANING SEMICONDUCTOR WAFER BACKSIDE SURFACE BY HYBRID BURSH ASSEMBLY

Номер: US20210249253A1

In accordance with some embodiments, a wafer processing method is provided. The wafer processing method includes placing a semiconductor wafer on a wafer stage with a backside surface of the semiconductor wafer facing downwardly. The wafer processing method further includes positioning a first brush assembly below the backside surface of the semiconductor wafer. The wafer processing method also includes moving a first brush assembly toward the backside surface of the semiconductor wafer to a first position. At the first position, an inner brush member and an outer brush member of the first brush assembly, made of different materials, are in contact with the backside surface of the semiconductor wafer. In addition, the wafer processing method includes rotating the first brush assembly relative to the semiconductor wafer while the first brush assembly is in the first position. 1. A wafer processing method , comprising:placing a semiconductor wafer on a wafer stage with a backside surface of the semiconductor wafer facing downwardly;positioning a first brush assembly below the backside surface of the semiconductor wafer;moving a first brush assembly toward the backside surface of the semiconductor wafer to a first position at which an inner brush member and an outer brush member, made of different materials with the inner brush member, of the first brush assembly are in contact with the backside surface of the semiconductor wafer; androtating the first brush assembly relative to the semiconductor wafer while the first brush assembly is in the first position.2. The wafer processing method as claimed in claim 1 , further comprising supplying a processing liquid over the first brush assembly and guiding the processing liquid passing through an outer groove formed on the outer brush member.3. The wafer processing method as claimed in claim 2 , further comprising guiding the processing liquid from an inner groove formed on the inner brush member to the outer groove.4. The ...

Подробнее
02-07-2020 дата публикации

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер: US20200211847A1
Принадлежит:

A method of fabricating a semiconductor device and a semiconductor processing apparatus are provided. The method of fabricating a semiconductor device comprises preparing a semiconductor substrate having a front side and a back side, opposing each other, and forming a material layer on the semiconductor substrate. The material layer is formed on at least a portion of the back side of the semiconductor substrate while being formed on the front side of the semiconductor substrate. The material layer formed on the at least a portion of the back side of the semiconductor substrate is removed, while the material layer formed on the front side of the semiconductor substrate remains. A semiconductor process is performed to fabricate the semiconductor device using the material layer remaining on the front side of the semiconductor substrate. 1. A method of fabricating a semiconductor device , the method comprising:preparing a first semiconductor substrate having a front side and a back side, opposing each other;forming a material layer on the first semiconductor substrate, wherein the material layer is formed on at least a portion of the back side of the first semiconductor substrate while being formed on the front side of the first semiconductor substrate;removing the material layer formed on the at least a portion of the back side of the first semiconductor substrate while maintaining the material layer formed on the front side of the first semiconductor substrate; andperforming a semiconductor process to fabricate the semiconductor device using the material layer remaining on the front side of the first semiconductor substrate.2. The method according to claim 1 , wherein the material layer is formed of an amorphous carbon layer.3. The method according to claim 1 , wherein the forming of the material layer on the first semiconductor substrate comprises:preparing one or more additional second semiconductor substrates each having a front side and a back side, opposing each ...

Подробнее
10-08-2017 дата публикации

POLISHING CLEANING MECHANISM, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD

Номер: US20170225289A1
Принадлежит:

There is disclosed a polishing cleaning mechanism configured to be in contact with a rear surface of a substrate which is held in a substrate holding unit for holding the rear surface of the substrate and perform a polishing process and a cleaning process on the rear surface of the substrate, including a cleaning member configured to clean the rear surface of the substrate, a polishing member configured to polish the rear surface of the substrate, and a support member configured to support the polishing member and the cleaning member to face the rear surface of the substrate held in the substrate holding unit, wherein a surface of the polishing member facing the substrate and a surface of the cleaning member facing the substrate differ in relative height from each other. 119-. (canceled)20. A polishing cleaning mechanism configured to be in contact with a part of a rear surface of a substrate which is held in a substrate holding unit for holding the rear surface of the substrate and perform a polishing process and a cleaning process on the rear surface of the substrate , comprising:an annular polishing member configured to polish the rear surface of the substrate;a cleaning member configured to clean the rear surface of the substrate; anda support member configured to support the polishing member and the cleaning member to face the rear surface of the substrate held in the substrate holding unit,wherein a surface of the polishing member facing the substrate and a surface of the cleaning member facing the substrate have different heights from each other;wherein the cleaning member includes a plurality of fan-shaped members, and the fan-shaped members are disposed inside the polishing member to be concentric with the polishing member; andwherein a surface area of the polishing cleaning mechanism facing the substrate is smaller than a surface area of the substrate.21. The mechanism of claim 20 , wherein the cleaning member is made of a flexible material claim 20 , ...

Подробнее
18-07-2019 дата публикации

SELF-ALIGNED AND ROBUST IGBT DEVICES

Номер: US20190221657A1
Автор: Yilmaz Hamza
Принадлежит: IPOWER SEMICONDUCTOR

A vertical IGBT device is disclosed. The vertical IGBT structure includes an active MOSFET cell array formed in an active region at a front side of a semiconductor substrate of a first conductivity type. One or more column structures of a second conductivity type concentrically surround the active MOSFET cell array. Each column structure includes a column trench and a deep column region. The deep column region is formed by implanting implants of the second conductivity type into the semiconductor substrate through the floor of the column trench. Dielectric side wall spacers are formed on the trench side walls except a bottom wall of the trench and the column trench is filled with poly silicon of the second conductivity type. One or more column structures are substantially deeper than the active MOSFET cell array. 1. A vertical insulated gate bipolar transistor (IGBT) device , comprising:an active metal-oxide-semiconductor field-effect transistor (MOSFET) cell array formed in a front side of a semiconductor substrate of a first conductivity type; andat least one column structure of a second conductivity type formed in the semiconductor substrate, the at least one column structure being concentrically surrounding the active MOSFET cell array, at least one column structure including a trench filled with poly silicon of the second conductivity type, dielectric side wall spacers formed on the trench side walls except a bottom wall of the trench, and a deep region of the second conductivity extending from the bottom wall of the trench,wherein the at least one column structure is substantially deeper than the active MOSFET cell array.2. The vertical IGBT device of claim 1 , wherein the first conductivity type is n-type and the second conductivity type is p-type.3. The vertical IGBT device of claim 2 , wherein poly silicon filling the trench is p doped.4. The vertical IGBT device of claim 2 , wherein the active MOSFET cell array includes trench MOSFET devices.5. The ...

Подробнее
30-10-2014 дата публикации

SEMICONDUCTOR WAFER CHUCK AND METHOD

Номер: US20140322919A1
Принадлежит: JST Manufacturing Inc.

A semiconductor wafer spinning chuck includes a rotatable base, a plurality of arms, upstanding from the base, a selectively releasable clamping mechanism, associated with the arms, and a spray nozzle, extending through the base. The clamping mechanism has a first portion configured to mechanically clamp an edge of a first semiconductor wafer and hold the first wafer in a substantially horizontal orientation upon all of the arms, with a backside of the first wafer facing down. The spray nozzle is oriented to direct a spray of fluid at the backside of the first wafer. 1. A semiconductor wafer spinning chuck , comprising:a rotatable base;a plurality of arms, upstanding from the base;a selectively releasable clamping mechanism, associated with the arms, having a first portion configured to mechanically clamp an edge of a first semiconductor wafer and hold the first wafer in a substantially horizontal orientation upon all of the arms, with a backside of the first wafer facing down; anda spray nozzle, extending through the base, oriented to direct a spray of fluid at the backside of the first wafer.2. A wafer spinning chuck in accordance with claim 1 , wherein the plurality of arms comprise at least three arms.3. A wafer spinning chuck in accordance with claim 1 , wherein chuck is configured to rotate at a speed of from about 400 rpm to about 1000 rpm.4. A wafer spinning chuck in accordance with claim 1 , wherein the selectively releasable clamping mechanism comprises:a first shoulder, disposed at a distal end of each arm, configured to support an edge region of the first wafer; anda moveable clamp rod, associated with each arm, each clamp rod having a slot and being configured to move between a first position in which the slot is drawn toward the first shoulder and capable of pressing the edge region of the first wafer against the first shoulder, and a second position in which the slot is moved away from the first shoulder.5. A wafer spinning chuck in accordance with ...

Подробнее
09-07-2020 дата публикации

SUBNANOMETER-LEVEL LIGHT-BASED SUBSTRATE CLEANING MECHANISM

Номер: US20200219722A1
Принадлежит:

Various embodiments comprise apparatuses and related methods for cleaning a substrate. In one embodiment, an apparatus includes a substrate holder to hold and rotate the substrate at various speeds. An optional inner shield and an optional outer shield, when in a closed position, surround the substrate holder during operation of the apparatus. Each of the inner shield and the outer shield can operate independently in at least one of rotational speed and direction from the other shield. At least one of a front-side laser and a back-side laser are arranged to clean one or both sides of the substrate and edges of the substrate substantially concurrently or independently by impinging a light onto at least one surface of the substrate. A gas flow, combined with a high rotational-speed of the shields and substrate, assists in removing effluents from the substrate. Additional apparatuses and methods of forming the apparatuses are disclosed. 1. A substrate cleaning apparatus comprising:a substrate holder configured to hold and rotate a substrate at various speeds;an inner shield and an outer shield configured to at least partially surround the substrate holder during operation of the apparatus, each of the inner shield and the outer shield being configured to operate independently from each other in at least one of rotational speed and direction from the other shield; anda front-side light source and a back-side light source, each of the front-side light source and the back-side light source being configured to emit and impinge at least one wavelength of light onto at least one face of the substrate to remove particles and organic contaminants the at least one face of the substrate, each of the front-side light source and the back-side light source having an energy level selected for the substrate to remove the particles and the organic contaminants from the substrate and not cause damage to any surface of the substrate.2. The apparatus of claim 1 , further comprising an ...

Подробнее
19-08-2021 дата публикации

SEMICONDUCTOR WAFER AND METHOD OF WAFER THINNING

Номер: US20210257208A1
Автор: Seddon Michael J.

A semiconductor wafer has a base material. The semiconductor wafer may have an edge support ring. A grinding phase of a surface of the semiconductor wafer removes a portion of the base material. The grinder is removed from or lifted off the surface of the semiconductor wafer during a separation phase. The surface of the semiconductor wafer and under the grinder is rinsed during the grinding phase and separation phase to remove particles. A rinsing solution is dispensed from a rinsing solution source to rinse the surface of the semiconductor wafer. The rinsing solution source can move in position while dispensing the rinsing solution to rinse the surface of the semiconductor wafer. The grinding phase and separation phase are repeated during the entire grinding operation, when grinding conductive TSVs, or during the final grinding stages, until the final thickness of the semiconductor wafer is achieved. 1. A method of thinning a semiconductor wafer , the method comprising:performing a grinding phase on a semiconductor wafer using a grinder;separating the grinder from the semiconductor wafer only along a z axis during a separation phase; andrinsing the semiconductor wafer using a rotating rinsing source during the separation phase.2. The method of claim 1 , further comprising repeating the grinding phase.3. The method of claim 1 , further comprising repeating the grinding phase and the separation phase.4. The method of claim 1 , further comprising separating the grinder 3-10 micrometers from the semiconductor wafer.5. The method of claim 1 , further including reversing rotational movement of the grinder during the separation phase.6. The method of claim 1 , wherein the semiconductor wafer is thinned between 10 and 50 micrometers.7. A method of thinning a semiconductor wafer claim 1 , the method comprising:providing a semiconductor wafer including a base material;grinding a surface of the semiconductor wafer during a grinding phase using a grinder to remove a portion of ...

Подробнее
27-08-2015 дата публикации

APPARATUS AND PROCESS FOR WAFER CLEANING

Номер: US20150243495A1
Автор: CHANG Yuan-Chang

A process and apparatus for cleaning a wafer, the wafer having a front side and a back side, are provided. The process begins with placing the wafer on a platform, and a first gas stream delivering in a direction from a center to an edge of the front side of the wafer. The first gas stream prevents liquid drops entering a work piece region on the front side of the wafer and protects the integrity of the integrated circuits. A cleaning brush is rinsed by a first liquid stream and contacting the edge of the wafer for cleaning the wafer. The cleaning brush scrubs unwanted residual materials from the edge of the wafer, and the first liquid stream flushes the cleaning brush to recover the cleaning ability. 1. A process for cleaning a wafer , the wafer having a front side and a back side , the process comprising:placing the wafer on a platform;delivering a first gas stream in a direction from a center to an edge of the front side of the wafer;rinsing a cleaning brush; andcontacting the edge of the wafer with the cleaning brush for cleaning the wafer.2. The process of claim 1 , further comprises delivering a second gas stream on the back side of the wafer.3. The process of claim 2 , wherein the first gas stream and the second gas stream are independently selected from the group consisting of nitrogen claim 2 , helium claim 2 , argon claim 2 , and combinations thereof.4. The process of claim 2 , wherein the first gas stream and the second gas stream are adjustably aligned over having the front side and the back side of the wafer claim 2 , respectively.5. The process of claim 1 , further comprises rinsing an edge of the back side of the wafer.6. The process of claim 5 , wherein rinsing the cleaning brush and rinsing the edge of the back side of the wafer are by liquid streams independently selected from the group consisting of de-ionized water claim 5 , sulfuric acid claim 5 , hydrogen peroxide claim 5 , and combination thereof.7. The process of claim 1 , wherein contacting ...

Подробнее
31-08-2017 дата публикации

APPARATUS AND METHOD FOR CLEANING SEMICONDUCTOR WAFER

Номер: US20170250096A1
Принадлежит: ACM Research (Shanghai) Inc.

An apparatus and method for cleaning semiconductor wafer are provided. The apparatus includes a brush module, a swing arm, a rotating actuator and an elevating actuator. The brush module has a brush head for providing mechanical force on a surface of a wafer. An end of the swing arm mounts the brush module. The rotating actuator is connected with the other end of the swing arm. The rotating actuator drives the swing arm to swing across the whole surface of the wafer, which brings the brush head moving across the whole surface of the wafer. The elevating actuator is connected with the other end of the swing arm. The elevating actuator drives the swing arm to rise or descend, which brings the brush module rising or descending. The apparatus cleans the semi-conductor wafer by means of the brush head, which improves the cleaning effect. 1. An apparatus for cleaning semiconductor wafer comprising:a brush module having a brush head for providing mechanical force on a surface of a wafer;a swing arm of which an end mounts the brush module;a rotating actuator connected with the other end of the swing arm, the rotating actuator driving the swing arm to swing across the whole surface of the wafer, which brings the brush head moving across the whole surface of the wafer; andan elevating actuator connected with the other end of the swing arm, the elevating actuator driving the swing arm to rise or descend, which brings the brush module rising or descending.2. The apparatus as claimed in claim 1 , wherein the swing arm swings claim 1 , rises or descends claim 1 , or swings and simultaneously rises or descends under the drive of the rotating actuator or/and the elevating actuator.3. The apparatus as claimed in claim 1 , wherein the elevating actuator is installed with a hard stopper for restricting the vertical descend distance of the swing arm so as to restrict a press force of the brush head pressing on the wafer surface in an acceptable range.4. The apparatus as claimed in ...

Подробнее
30-07-2020 дата публикации

CLEANING APPARATUS OF CLEANING TOOL, SUBSTRATE PROCESSING APPARATUS, AND CLEANING METHOD OF CLEANING TOOL

Номер: US20200243351A1
Автор: Tanaka Hideaki
Принадлежит:

A cleaning apparatus for cleaning a cleaning tool that scrub-cleans a substrate includes a cleaning body. The cleaning body includes a contact portion configured to come into contact with the cleaning tool, and the contact portion includes a suction area configured to remove foreign matter from the cleaning tool. 1. A cleaning apparatus for cleaning a cleaning tool that scrub-cleans a substrate , the cleaning apparatus comprising:a cleaning body including a contact portion configured to come into contact with the cleaning tool,wherein the contact portion of the cleaning body includes a suction area configured to remove foreign matter from the cleaning tool.2. The cleaning apparatus according to claim 1 , wherein the contact portion is formed by a flat surface.3. The cleaning apparatus according to claim 1 , wherein the cleaning tool is a cleaning roll configured to perform scrub-cleaning the substrate while rotating the substrate.4. The cleaning apparatus according to claim 3 , wherein the suction area includes:a slit groove extending in a rotation axis direction of the cleaning roll; anda plurality of suction holes arranged in a bottom surface of the slit groove at intervals in the rotation axis direction.5. The cleaning apparatus according to claim 4 , wherein the slit groove has a length shorter than a length of the cleaning roll in the rotation axis direction.6. The cleaning apparatus according to claim 4 , wherein the slit groove has a length longer than a length of the substrate in the rotation axis direction.7. The cleaning apparatus according to claim 4 , wherein the cleaning roll includes a plurality of protrusions formed on a peripheral surface thereof claim 4 , andthe slit groove has a width smaller than a width of each of the protrusions in a direction orthogonal to the rotation axis direction.8. The cleaning apparatus according to claim 4 , wherein the slit groove has an opening edge formed in a circular arc shape in which an inclination gradually ...

Подробнее
07-09-2017 дата публикации

Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning

Номер: US20170256393A1
Принадлежит:

A lower electrode plate receives radiofrequency power. A first upper plate is positioned parallel to and spaced apart from the lower electrode plate. A grounded second upper plate is positioned next to the first upper plate. A dielectric support provides support of a workpiece within a region between the lower electrode plate and the first upper plate. A purge gas is supplied at a central location of the first upper plate. A process gas is supplied to a periphery of the first upper plate. The dielectric support positions the workpiece proximate and parallel to the first upper plate, such that the purge gas flows over a top surface of the workpiece so as to prevent the process gas from flowing over the top surface of the workpiece, and so as to cause the process gas to flow around a peripheral edge of the workpiece and below the workpiece. 1. A semiconductor processing system , comprising: a lower electrode plate,', 'an upper plate disposed above and substantially parallel to the lower electrode plate, the upper plate having a gas supply channel formed to extend through a bottom surface of the upper plate, and', 'a dielectric edge ring having an upper surface defined to contact and support a peripheral region of a bottom surface of a substrate, the dielectric edge ring formed to circumscribe the lower electrode plate and extend in a controllable manner above the lower electrode plate into a region between the lower electrode plate and the upper plate, such that a lower processing region is formed inside the dielectric edge ring between a top surface of the lower electrode plate and a plane corresponding to the upper surface of the dielectric edge ring;, 'a processing chamber including—'}a conduit configured to extend into the chamber to the lower processing region; anda remote plasma source configured generate reactive constituents of a plasma external to the chamber and flow the reactive constituents of the plasma through the conduit to the lower processing region.2 ...

Подробнее
06-09-2018 дата публикации

Substrate processing device and substrate processing method for carrying out chemical treatment for substrate

Номер: US20180254190A1
Принадлежит: Screen Holdings Co Ltd

It is an object to carry out a chemical treatment for a peripheral edge part of a substrate while suppressing an amount of consumption of a processing liquid and a time required for processing. In order to achieve the object, a substrate processing device injects heating steam to a peripheral edge part of a substrate to heat the peripheral edge part when carrying out a chemical treatment for the peripheral edge part of the substrate while rotating the substrate in a substantially horizontal posture. Moreover, the substrate processing device injects a gas from above the substrate toward a predetermined injection target region defined within a range surrounded by a rotating track of the peripheral edge part of the substrate in an upper surface of the substrate, thereby generating, on the substrate, a gas flow which flows from the injection target region toward the peripheral edge part of the substrate.

Подробнее
07-10-2021 дата публикации

SUBNANOMETER-LEVEL LIGHT-BASED SUBSTRATE CLEANING MECHANISM

Номер: US20210313173A1
Принадлежит:

Various embodiments comprise apparatuses and related methods for cleaning a substrate. In one embodiment, an apparatus includes a substrate holder to hold and rotate the substrate at various speeds. An optional inner shield and an optional outer shield, when in a closed position, surround the substrate holder during operation of the apparatus. Each of the inner shield and the outer shield can operate independently in at least one of rotational speed and direction from the other shield. At least one of a front-side laser and a back-side laser are arranged to clean one or both sides of the substrate and edges of the substrate substantially concurrently or independently by impinging a light onto at least one surface of the substrate. A gas flow, combined with a high rotational-speed of the shields and substrate, assists in removing effluents from the substrate. Additional apparatuses and methods of forming the apparatuses are disclosed. 1. A substrate cleaning apparatus , the apparatus comprising:a substrate holder configured to hold and rotate a substrate at various speeds;a front-side light source and a back-side light source, each of the front-side light source and the back-side light source being configured to clean respective sides of the substrate substantially concurrently, each of the front-side light source and the back-side light source having an energy level that will clean the substrate and not cause damage to any surface of the substrate; andat least one turbine disk coupled to the substrate holder and configured to remove effluents produced during a cleaning operation, the at least one turbine disk having a plurality of spaced-apart fins, each of the fins being separated from adjacent fins by an opening formed within and near a periphery of the turbine disk, the fins being arranged to evacuate the effluents away from both the substrate and a volume between an inner shield and an outer shield surrounding the substrate.2. The substrate cleaning apparatus of ...

Подробнее