Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 598. Отображено 193.
02-02-2009 дата публикации

PLASMA REACTOR WITH REDUCED ELECTRICAL SKEW USING ELECTRICAL BYPASS ELEMENTS

Номер: KR1020090012169A
Принадлежит:

PURPOSE: A plasma reactor with reduced electrical skew using electrical bypass elements is provided to reduce the tendency of the slit valve producing the azimuth skew. CONSTITUTION: The vacuum chamber(100) has the side wall of the cylinder type, and the ceiling and floor as the plasma reactor. The workpiece supporting pedestal(108) forms the pumping annulus between the pedestal and the side wall. The workpiece supporting pedestal is comprised of the ground plane adjacent to the floor. The process zone is formed between the RF power applying device, the ceiling and the pedestal. The pumping port(162) is operated through the floor. The dielectric element(220) is positioned between the ground plane of the pedestal and the floor. The annular conductivity grill(200) is positioned on the floor. © KIPO 2009 ...

Подробнее
16-02-2019 дата публикации

Applying power to electrodes of plasma reactor

Номер: TW0201907045A
Принадлежит:

A plasma reactor includes a chamber body having an interior space that provides a plasma chamber, a gas distributor to deliver a processing gas to the plasma chamber, a pump coupled to the plasma chamber to evacuate the chamber, a workpiece support to hold a workpiece, and an intra-chamber electrode assembly that includes a plurality of filaments extending laterally through the plasma chamber between a ceiling of the plasma chamber and the workpiece support. Each filament including a conductor surrounded by a cylindrical insulating shell. The plurality of filaments includes a first multiplicity of filaments and a second multiplicity of filaments arranged in an alternating pattern with the first multiplicity of filaments. An RF power source is configured to apply a first RF input signal to the first multiplicity of filaments.

Подробнее
29-09-2020 дата публикации

Methods and apparatus for electron beam etching process

Номер: US0010790153B2

Embodiments described herein relate to apparatus and methods for performing electron beam etching process. In one embodiment, a method of etching a substrate includes delivering a process gas to a process volume of a process chamber, applying a RF power to an electrode formed from a high secondary electron emission coefficient material disposed in the process volume, generating a plasma comprising ions in the process volume, bombarding the electrode with the ions to cause the electrode to emit electrons and form an electron beam, applying a negative DC power to the electrode, accelerating electrons emitted from the bombarded electrode toward a substrate disposed in the process chamber, and etching the substrate with the accelerated ions.

Подробнее
24-10-2017 дата публикации

Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching

Номер: US0009799491B2

The disclosure concerns a method of operating a plasma reactor having an electron beam plasma source for independently adjusting electron beam energy, plasma ion energy and radical population. The disclosure further concerns an electron beam source for a plasma reactor having an RF-driven electrode for producing the electron beam.

Подробнее
21-01-2010 дата публикации

APPARATUS FOR MULTIPLE FREQUENCY POWER APPLICATION

Номер: US2010013572A1
Принадлежит:

Apparatus and methods are provided for a power matching apparatus for use with a processing chamber. In one aspect of the invention, a power matching apparatus is provided including a first RF power input coupled to a first adjustable capacitor, a second RF power input coupled to a second adjustable capacitor, a power junction coupled to the first adjustable capacitor and the second adjustable capacitor, a receiver circuit coupled to the power junction, a high voltage filter coupled to the power junction and the high voltage filter has a high voltage output, a voltage/current detector coupled to the power junction and a RF power output connected to the voltage/current detector.

Подробнее
20-04-2017 дата публикации

SCHICHTWEISES HEIZEN, ZEILENWEISES HEIZEN, PLASMAHEIZEN UND MULTIPLE EINSATZMATERIALIEN BEI ADDITIVER HERSTELLUNG

Номер: DE112015003164T5

Ein System für additive Herstellung umfasst eine Platte, ein Einsatzmaterial-Spendersystem, das eingerichtet ist, um ein Einsatzmaterial an einer Stelle über der Platte, die in einem CAD-Programm spezifiziert ist, auszugeben, und eine Wärmequelle, die eingerichtet ist, um eine Temperatur des Einsatzmaterials gleichzeitig über die gesamte Schicht oder über einen Bereich, der sich über eine Breite der Platte erstreckt, zu erhöhen, und es scannt den Bereich über eine Länge der Platte. Die Wärmequelle kann eine Anordnung von Wärmelampen oder eine Plasmaquelle sein.

Подробнее
31-12-2004 дата публикации

EXTERNALLY EXCITED TORROIDAL PLASMA SOURCE WITH MAGNETIC CONTROL OF ION DISTRIBUTION

Номер: KR20040111725A
Принадлежит:

A plasma reactor is described that includes a vacuum chamber defined by an enclosure including a side wall and a workpiece support pedestal within the chamber defining a processing region overlying said pedestal. The chamber has at least a first pair of ports near opposing sides of said processing region and a first external reentrant tube is connected at respective ends thereof to the pair of ports. The reactor further includes a process gas injection apparatus (such as a gas distribution plate) and an RF power applicator coupled to the reentrant tube for applying plasma source power to process gases within the tube to produce a reentrant torroidal plasma current through the first tube and across said processing region. A magnet controls radial distribution of plasma ion density in the processing region, the magnet having an elongated pole piece defining a pole piece axis intersecting the processing region. © KIPO & WIPO 2007 ...

Подробнее
16-01-2007 дата публикации

Low temperature plasma deposition process for carbon layer deposition

Номер: TW0200702485A
Принадлежит:

A method of depositing a carbon layer on a workpiece includes placing the workpiece in a reactor chamber, introducing a carbon-containing process gas into the chamber, generating a reentrant toroidal RF plasma current in a reentrant path that includes a process zone overlying the workpiece by coupling plasma RF source power to an external portion of the reentrant path, and coupling RF plasma bias power or bias voltage to the workpiece.

Подробнее
01-11-2008 дата публикации

Process for wafer backside polymer removal with wafer front side gas purge

Номер: TW0200842974A
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. Gas flow is confined at the edge of the workpiece within a gap at the edge of the workpiece, the gap configured to be on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. The process further includes evacuating the lower process zone, generating a plasma in an external chamber from a polymer etch precursor gas, and introducing a by-product from the plasma into the loser process zone. The process further includes pumping a purge gas into the upper process zone to remove polymer etch species from the upper process zone.

Подробнее
16-11-2013 дата публикации

Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator (2)

Номер: TW0201346976A
Принадлежит:

An inductively coupled plasma reactor has three concentric coil antennas and a current divider circuit individually controlling currents in each of the three coil antennas by varying two variable impedance elements in the current divider circuit in response to a desired current apportionment among the coil antennas received from a user interface.

Подробнее
31-07-2008 дата публикации

PROCESS FOR WAFER BACKSIDE POLYMER REMOVAL AND WAFER FRONT SIDE SCAVENGER PLASMA

Номер: US2008179288A1
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. The process further includes confining gas flow at the edge of the workpiece within a gap at the edge of the workpiece on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. A first plasma is generated in a lower external chamber from a polymer etch precursor gas, and an etchant by-product is introduced from the first plasma into the lower process zone. A second plasma is generated in an upper external plasma chamber from a precursor gas of a scavenger of the etchant by-product, and scavenger species are introduced from the second plasma into the upper process zone.

Подробнее
31-07-2008 дата публикации

PLASMA PROCESS UNIFORMITY ACROSS A WAFER BY CONTROLLING A VARIABLE FREQUENCY COUPLED TO A HARMONIC RESONATOR

Номер: US2008182418A1
Принадлежит:

A method of processing a workpiece in a plasma reactor chamber includes coupling RF power via an electrode to plasma in the chamber, the RF power being of a variable frequency in a frequency range that includes a fundamental frequency f. The method also includes coupling the electrode to a resonator having a resonant VHF frequency F which is a harmonic of the fundamental frequency f, so as to produce VHF power at the harmonic. The method controls the ratio of power near the fundamental f to power at harmonic F, by controlling the proportion of power from the generator that is up-converted from f to F, so as to control plasma ion density distribution.

Подробнее
03-03-2015 дата публикации

In-situ VHF current sensor for a plasma reactor

Номер: US0008970226B2

An RF current probe is encapsulated in a conductive housing to permit its placement inside a plasma reactor chamber. An RF voltage probe is adapted to have a long coaxial cable to permit a measuring device to be connected remotely from the probe without distorting the voltage measurement.

Подробнее
13-09-2007 дата публикации

Low temperature CVD process with selected stress of the CVD layer on CMOS devices

Номер: US2007212811A1
Принадлежит:

Device-enhancing coatings are deposited on CMOS devices by successively masking with photoresist each one of the sets of N-channel and P-channel devices while unmasking or leaving unmasked the other set, and after each step of successively masking one of the sets of devices, carrying out low temperature CVD steps with a toroidal RF plasma current while applying an RF plasma bias voltage. The temperature of the workpiece is held below a threshold photoresist removal temperature. The RF bias voltage is held at a level at which the coating is deposited with a first stress when the unmasked set consists of the P-channel devices and with a second stress when the unmasked set consists of N-channel devices.

Подробнее
05-01-2011 дата публикации

Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces

Номер: CN0101939821A
Принадлежит:

Plasma immersion ion implantation employing a very high RF bias voltage on an electrostatic chuck to attain a requisite implant depth profile is carried out by first depositing a partially conductive silicon-containing seasoning layer over the interior chamber surfaces prior to wafer introduction.

Подробнее
27-10-2010 дата публикации

Process for wafer backside polymer removal by front side gas purge

Номер: CN0101261929B
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. Gas flow is confined at the edge of the workpiece within a gap at the edge of the workpiece, the gap configured to be on the order of about 1% of the diameter of the chamber,the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. The process further includes evacuating the lower process zone, generating a plasma in an external chamber from a polymer etch precursor gas, and introducing a by-product from the plasma into the lower process zone. The process further includes pumping a purge gas into the upper process zone to remove polymer etch species from the upper process zone.

Подробнее
31-12-2007 дата публикации

ELECTROSTATIC CHUCK WITH SMART LIFT-PIN MECHANISM FOR PLASMA REACTOR

Номер: KR1020070122481A
Принадлежит:

A lift pin assembly for use in a reactor for processing a workpiece includes plural lift pins extending generally parallel with a lift direction, each of the plural lift pins having a top end for supporting a workpiece and a bottom end. A lift table faces the bottom ends of the pins and is translatable in a direction generally parallel with the lift direction. A small forms detector senses a force exerted by the lift pins that is sufficiently large to indicate a chucked wafer and sufficiently small to avoid dechucking a wafer A large force detector senses a force exerted by the lift pins in a range sufficient to de-chuck the wafer. © KIPO & WIPO 2008 ...

Подробнее
10-12-2019 дата публикации

Electrostatic chuck assembly having a dielectric filler

Номер: US0010504765B2

Embodiments include an electrostatic chuck assembly having an electrostatic chuck mounted on an insulator. The electrostatic chuck and insulator may be within a chamber volume of a process chamber. In an embodiment, a ground shield surrounds the electrostatic chuck and the insulator, and a gap between the ground shield and the electrostatic chuck provides an environment at risk for electric field emission. A dielectric filler can be placed within the gap to reduce a likelihood of electric field emission. The dielectric filler can have a flexible outer surface that covers or attaches to the electrostatic chuck, or an interface between the electrostatic chuck and the insulator Other embodiments are also described and claimed.

Подробнее
07-01-2021 дата публикации

PROCESS MONITOR DEVICE HAVING A PLURALITY OF SENSORS ARRANGED IN CONCENTRIC CIRCLES

Номер: US20210005518A1
Принадлежит:

Embodiments include process monitoring devices and methods of using such process monitoring devices. In one embodiment, the process monitoring device includes a substrate. The process monitoring device may also include a plurality of sensors formed on a support surface of the substrate. According to an embodiment, each sensor is capable of producing an output signal that corresponds to a processing condition. Furthermore, embodiments include a process monitoring device that includes a network interface device that is formed on the substrate. According to an embodiment each of the plurality of sensors is communicatively coupled to the network interface device. The network interface device allows for the output signals obtained from the sensors to be wirelessly transmitted to an external computer during processing operations. 1. A process monitoring device , comprising:a substrate;a plurality of sensors on a support surface of the substrate, wherein each sensor is capable of producing an output signal that corresponds to a processing condition;a plurality of patterned features over or into the support surface of the substrate, wherein corresponding ones of the plurality of patterned features are proximate to corresponding ones of the plurality of sensors; anda network interface device on the substrate, wherein each of the plurality of sensors is communicatively coupled to the network interface device.2. The process monitoring device of claim 1 , wherein the network interface device is not on the support surface of the substrate.3. The process monitoring device of claim 2 , wherein the network interface device is in a cavity in the substrate.4. The process monitoring device of claim 3 , wherein each of the sensors are communicatively coupled to the network interface device by a via structure.5. The process monitoring device of claim 1 , wherein the plurality of sensors are distributed across one or more zones on the support surface.6. The process monitoring device of ...

Подробнее
03-12-2020 дата публикации

METHODS AND APPARATUS FOR REDUCING HIGH VOLTAGE ARCING IN SEMICONDUCTOR PROCESS CHAMBERS

Номер: US20200381282A1
Принадлежит:

Methods and apparatus for preventing or reducing arcing of an electrostatic chuck in a process chamber. In some embodiments, a method of preventing or reducing arcing of an electrostatic chuck includes forming a first recess in at least a portion of a sidewall of the electrostatic chuck and filling the first recess with a conformable dielectric material that remains conformable (elastic) over a temperature range of at least approximately zero degrees Celsius to approximately 80 degrees Celsius. In some embodiments, the first recess is filled with the conformable dielectric material such that the conformable dielectric material does not bond to at least one surface of the first recess. The conformable dielectric material may also be used to fill a second recess in a dielectric sleeve adjacent to the electrostatic chuck.

Подробнее
01-05-2007 дата публикации

A process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing

Номер: TW0200717614A
Принадлежит:

A method of processing a workpiece includes introducing an optical absorber material precursor gas into a chamber containing the workpiece, generating an RF oscillating toroidal plasma current in a reentrant path that includes a process zone overlying the workpiece by applying RF source power, so as to deposit a layer of an optical absorber material on the workpiece, and exposing the workpiece to optical radiation that is at least partially absorbed in the optical absorber layer.

Подробнее
01-05-2015 дата публикации

Multizone hollow cathode discharge system with coaxial and azimuthal symmetry and with consistent central trigger

Номер: TW0201517112A
Принадлежит:

A showerhead assembly includes a front plate having a front surface, a back surface and a plurality of first through holes connecting the front surface and the back surface, a back plate having a front surface, a back surface and a plurality of second through holes connecting the front surface and the back surface, and an adhesive layer joining the back surface of the front plate and the front surface of the back plate. The plurality of first through holes are aligned with the plurality of second through holes, and the front plate and the back plate are formed from dissimilar materials.

Подробнее
01-07-2021 дата публикации

A plasma reactor for processing a workpiece with an array of plasma point sources

Номер: TW202125572A
Принадлежит:

A plasma source consisting of an array of plasma point sources that controls generation of charged particles and radicals spatially and temporally over a user defined region.

Подробнее
22-08-2017 дата публикации

Symmetric plasma process chamber

Номер: US0009741546B2

Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems.

Подробнее
31-07-2008 дата публикации

PLASMA REACTOR WITH ION DISTRIBUTION UNIFORMITY CONTROLLER EMPLOYING PLURAL VHF SOURCES

Номер: US2008178803A1
Принадлежит:

A plasma reactor includes a ceiling electrode facing a workpiece support pedestal and a pedestal electrode in the pedestal and first and second VHF power sources of different frequencies coupled to the same or to different ones of the ceiling electrode and the pedestal electrode. The first and second VHF power sources are of sufficiently high and sufficiently low frequencies, respectively, to produce center-high and center-low plasma distribution non-uniformities, respectively, in the chamber. The reactor further includes a controller programmed to change the relative output power levels of the first and second VHF power sources to: (a) increase the relative output power level of the first VHF power source whenever plasma ion distribution has a predominantly edge-high non-uniformity, and (b) increase the relative output power level of the second VHF power source whenever plasma ion distribution has a predominantly center-high non-uniformity.

Подробнее
01-11-2013 дата публикации

Two-phase operation of plasma chamber by phase locked loop

Номер: TW0201345322A
Принадлежит:

Plasma distribution is controlled in a plasma reactor by controlling the phase difference between opposing RF electrodes, in accordance with a desired or user-selected phase difference, by a phase-lock feedback control loop.

Подробнее
01-02-2017 дата публикации

Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion

Номер: TW0201705186A
Принадлежит:

A plasma reactor for processing a workpiece has a microwave source with a digitally synthesized rotation frequency using direct digital up-conversion and a user interface for controlling the rotation frequency.

Подробнее
03-12-2009 дата публикации

METHOD OF PLASMA LOAD IMPEDANCE TUNING FOR ENGINEERED TRANSIENTS BY SYNCHRONIZED MODULATION OF AN UNMATCHED LOW POWER RF GENERATOR

Номер: US2009298287A1
Принадлежит:

A method is provided in plasma processing of a workpiece for stabilizing the plasma against engineered transients in applied RF power, by modulating an unmatched low power RF generator in synchronism with the transient.

Подробнее
21-07-2020 дата публикации

Particle monitoring device

Номер: US0010718719B2

Embodiments include devices and methods for detecting particles in a wafer processing tool. In an embodiment, a particle monitoring device having a wafer form factor includes several micro sensors capable of operating in all pressure regimes, e.g., under vacuum conditions. The particle monitoring device may include a clock to output a time value when a parameter of a micro sensor changes in response to receiving a particle within a chamber of the wafer processing tool. A location of the micro sensor or the time value may be used to determine a source of the particle. Other embodiments are also described and claimed.

Подробнее
29-06-2017 дата публикации

ADDITIVE MANUFACTURING WITH LASER AND GAS FLOW

Номер: US20170182556A1
Принадлежит:

An additive manufacturing system includes a platen, a feed material dispenser apparatus configured to deliver a feed material onto the platen, a laser source configured to produce a laser beam during use of the additive manufacturing system, a controller configured to direct the laser beam to locations on the platen specified by a computer aided design program to cause the feed material to fuse, a gas source configured to supply gas, and a nozzle configured to accelerate and direct the gas to substantially the same location on the platen as the laser beam. 1. An additive manufacturing system comprising:a platen;a feed material dispenser apparatus configured to deliver a layer of feed material over the platen;a laser source configured to produce a laser beam;a controller configured to direct the laser beam to fuse the feed material at locations specified by data stored in a computer readable medium;a gas source configured to supply gas; anda nozzle configured to accelerate and direct the gas to impinge substantially the same location on the layer of feed material on the platen as the laser beam.2. The system of claim 1 , wherein the nozzle is configured to accelerate the gas to supersonic speeds.3. The system of claim 2 , wherein the nozzle comprises a de Laval nozzle.4. The system of claim 3 , wherein the laser beam and the gas emerge along a common axis to strike the feed material on the platen.5. The system of claim 1 , comprising a conduit having a first end closer to the laser and a second send closer to the platen claim 1 , and wherein the laser is directed through the conduit claim 1 , the nozzle is positioned on the second end of the conduit claim 1 , and the gas source is configured to inject the gas into the conduit.6. A method of additive manufacturing claim 1 , comprising:dispensing a layer of feed material over a platen;directing a beam of laser to heat the feed material at locations specified by data stored in a computer readable medium; anddirecting a ...

Подробнее
14-07-2015 дата публикации

Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates

Номер: US0009082590B2

A plasma reactor has an overhead multiple coil inductive plasma source with symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source.

Подробнее
20-02-2018 дата публикации

Inductively coupled plasma source with multiple dielectric windows and window-supporting structure

Номер: US0009896769B2

A plasma reactor enclosure has a metallic portion and a dielectric portion of plural dielectric windows supported on the metallic portion, each of the dielectric windows extending around an axis of symmetry. Plural concentric coil antennas are disposed on an external side of the enclosure, respective ones of the coil antennas facing respective ones of the dielectric windows.

Подробнее
01-02-2021 дата публикации

Methods of optical device fabrication using an electron beam apparatus

Номер: TW202105435A
Принадлежит:

Aspects of the disclosure relate to apparatus for the fabrication of waveguides. In one example, an angled ion source is utilized to project ions toward a substrate to form a waveguide which includes angled gratings. In another example, an angled electron beam source is utilized to project electrons toward a substrate to form a waveguide which includes angled gratings. Further aspects of the disclosure provide for methods of forming angled gratings on waveguides utilizing an angled ion beam source and an angled electron beam source.

Подробнее
27-12-2018 дата публикации

WAFER PROCESSING EQUIPMENT HAVING CAPACITIVE MICRO SENSORS

Номер: US20180374764A1
Принадлежит:

Embodiments include devices and methods for detecting particles, monitoring etch or deposition rates, or controlling an operation of a wafer fabrication process. In an embodiment, a particle monitoring device for particle detection includes several capacitive micro sensors mounted on a wafer substrate to detect particles under all pressure regimes, e.g., under vacuum conditions. In an embodiment, one or more capacitive micro sensors is mounted on a wafer processing tool to measure material deposition and removal rates in real-time during the wafer fabrication process. Other embodiments are also described and claimed.

Подробнее
08-06-2010 дата публикации

Plasma immersed ion implantation process

Номер: US0007732309B2

Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, the method for implanting ions into a substrate by a plasma immersion ion implantation process includes providing a substrate into a processing chamber, supplying a gas mixture including a reacting gas and a reducing gas into the chamber, and implanting ions from the gas mixture into the substrate. In another embodiment, the method includes providing a substrate into a processing chamber, supplying a gas mixture including reacting gas and a hydrogen containing reducing gas into the chamber, and implanting ions from the gas mixture into the substrate.

Подробнее
29-09-2016 дата публикации

PLASMA REACTOR HAVING DIGITAL CONTROL OVER ROTATION FREQUENCY OF A MICROWAVE FIELD WITH DIRECT UP-CONVERSION

Номер: US20160284519A1
Принадлежит:

A plasma reactor for processing a workpiece has a microwave source with a digitally synthesized rotation frequency using direct digital up-conversion and a user interface for controlling the rotation frequency.

Подробнее
11-12-2018 дата публикации

Multiple electrode substrate support assembly and phase control system

Номер: US0010153139B2

Implementations described herein provide a substrate support assembly which enables tuning of a plasma within a plasma chamber. In one embodiment, a method for tuning a plasma in a chamber is provided. The method includes providing a first radio frequency power and a direct current power to a first electrode in a substrate support assembly, providing a second radio frequency power to a second electrode in the substrate support assembly at a different location than the first electrode, monitoring parameters of the first and second radio frequency power, and adjusting one or both of the first and second radio frequency power based on the monitored parameters.

Подробнее
24-02-2015 дата публикации

Synchronized radio frequency pulsing for plasma etching

Номер: US0008962488B2

Methods for processing a substrate are provided herein. In some embodiments, a method of etching a dielectric layer includes generating a plasma by pulsing a first RF source signal having a first duty cycle; applying a second RF bias signal having a second duty cycle to the plasma; applying a third RF bias signal having a third duty cycle to the plasma, wherein the first, second, and third signals are synchronized; adjusting a phase variance between the first RF source signal and at least one of the second or third RF bias signals to control at least one of plasma ion density non-uniformity in the plasma or charge build-up on the dielectric layer; and etching the dielectric layer with the plasma.

Подробнее
31-07-2008 дата публикации

PROCESS FOR WAFER BACKSIDE POLYMER REMOVAL WITH WAFER FRONT SIDE GAS PURGE

Номер: US2008179287A1
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. Gas flow is confined at the edge of the workpiece within a gap at the edge of the workpiece, the gap configured to be on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. The process further includes evacuating the lower process zone, generating a plasma in an external chamber from a polymer etch precursor gas, and introducing a by-product from the plasma into the lower process zone. The process further includes pumping a purge gas into the upper process zone to remove polymer etch species from the upper process zone.

Подробнее
28-01-2009 дата публикации

Plasma reactor with reduced electrical skew using electrical bypass elements

Номер: CN0101355004A
Принадлежит:

The present invention provides a plasma reactor using electric bypass elements to reduce electric deflection. RF ground return current flow is diverted away from asymmetrical features of the reactor chamber by providing bypass current flow paths. One bypass current flow path avoids the pumping port in the chamber floor, and comprises a conductive symmetrical grill extending from the side wall to the grounded pedestal base. Another bypass current flow path avoids the wafer slit valve, and comprises an array of conductive straps bridging the section of the sidewall occupied by the slit valve.

Подробнее
26-08-2005 дата публикации

RESONANT STRUCTURE WITH SPATIALLY DISTINCT PLASMA SECONDARIES USING A SINGLE GENERATOR AND SWITCHING ELEMENTS

Номер: KR1020050084625A
Принадлежит:

A plasma reactor for processing a workpiece, the plasma reactor comprising an enclosure, a workpiece support within the enclosure facing an overlying portion of the enclosure, the workpiece support and the overlying portion of the enclosure defining a process region therebetween extending generally across the diameter of said wafer support, the enclosure having a first and second pairs of openings therethrough, the two openings of each of the first and second pairs being near generally opposite sides of said workpiece support, a first hollow conduit outside of the process region and connected to the first pair of openings, providing a first torroidal path extending through the conduit and across the process region, a second hollow conduit outside of the process region and connected to the second pair of openings, providing a second torroidal path extending through the conduit and across the process region, first and second plasma source power applicators inductively coupled to the interiors ...

Подробнее
16-11-2013 дата публикации

Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator (1)

Номер: TW0201346975A
Принадлежит:

An inductively coupled plasma reactor has three concentric RF coil antennas and a current divider circuit individually controlling currents in each of the three coil antennas by varying only two reactive elements in the current divider circuit.

Подробнее
01-11-2009 дата публикации

Removal of surface dopants from a substrate

Номер: TW0200945425A
Принадлежит:

A method and apparatus for removing excess dopant from a doped substrate is provided. In one embodiment, a substrate is doped by surfaced deposition of dopant followed by formation of a capping layer and thermal diffusion drive-in. A reactive etchant mixture is provided to the process chamber, with optional plasma, to etch away the capping layer and form volatile compounds by reacting with excess dopant. In another embodiment, a substrate is doped by energetic implantation of dopant. A reactive gas mixture is provided to the process chamber, with optional plasma, to remove excess dopant adsorbed on the surface and high-concentration dopant near the surface by reacting with the dopant to form volatile compounds. The reactive gas mixture may be provided during thermal treatment, or it may be provided before or after at temperatures different from the thermal treatment temperature. The volatile compounds are removed. Substrates so treated do not form toxic compounds when stored or transported ...

Подробнее
01-06-2020 дата публикации

Method and systems of additive manufacturing

Номер: TW0202019713A
Принадлежит:

An additive manufacturing system that includes a platen, a feed material delivery system configured to deliver feed material to a location on the platen specified by a computer aided design program and a heat source configured to raise a temperature of the feed material simultaneously across all of the layer or across a region that extends across a width of the platen and scans the region across a length of the platen. The heat source can be an array of heat lamps, or a plasma source.

Подробнее
20-12-2018 дата публикации

IN-SITU SEMICONDUCTOR PROCESSING CHAMBER TEMPERATURE APPARATUS

Номер: US20180366354A1
Принадлежит:

In one implementation, a showerhead assembly is provided. The showerhead assembly comprises a first electrode having a plurality of openings therethrough and a gas distribution faceplate attached to a first lower major surface of the electrode. The gas distribution plate includes a plurality of through-holes for delivering process gases to a processing chamber. The gas distribution plate is divided into a plurality of temperature-control regions. The showerhead assembly further comprises a chill plate positioned above the electrode for providing temperature control and a plurality of heat control devices to manage heat transfer within the showerhead assembly. The heat control device comprises a thermoelectric module and a heat pipe assembly coupled with the thermoelectric module. Each of the plurality of heat control devices is associated with a temperature control region and provides independent temperature control to its associated temperature control region.

Подробнее
11-05-2017 дата публикации

PARTICLE MONITORING DEVICE

Номер: US20170131217A1
Принадлежит:

Embodiments include devices and methods for detecting particles in a wafer processing tool. In an embodiment, a particle monitoring device having a wafer form factor includes several micro sensors capable of operating in all pressure regimes, e.g., under vacuum conditions. The particle monitoring device may include a clock to output a time value when a parameter of a micro sensor changes in response to receiving a particle within a chamber of the wafer processing tool. A location of the micro sensor or the time value may be used to determine a source of the particle. Other embodiments are also described and claimed. 1. A particle monitoring device , comprising:a substrate having a support surface;a micro sensor mounted at a predetermined location on the support surface, wherein the micro sensor has a parameter, and wherein the parameter changes when the micro sensor receives a particle within a chamber of a wafer processing tool;a clock mounted on the substrate, wherein the clock is configured to output a time value; anda processor mounted on the substrate, wherein the processor is operably coupled to the micro sensor and the clock, and wherein the processor is configured to record the predetermined location and the time value when the parameter of the micro sensor changes.2. The particle monitoring device of further comprising a memory mounted on the substrate claim 1 , wherein the processor is operably coupled to the memory to record the predetermined location and the time value in the memory.3. The particle monitoring device of further comprising a power source mounted on the substrate claim 2 , wherein the power source is electrically coupled to one or more of the micro sensor claim 2 , the clock claim 2 , the processor claim 2 , or the memory to power the one or more of the micro sensor claim 2 , the clock claim 2 , the processor claim 2 , or the memory.4. The particle monitoring device of claim 1 , wherein the substrate includes a semiconductor material having ...

Подробнее
02-08-2011 дата публикации

Removal of surface dopants from a substrate

Номер: US0007989329B2

A method and apparatus for removing excess dopant from a doped substrate is provided. In one embodiment, a substrate is doped by surfaced deposition of dopant followed by formation of a capping layer and thermal diffusion drive-in. A reactive etchant mixture is provided to the process chamber, with optional plasma, to etch away the capping layer and form volatile compounds by reacting with excess dopant. In another embodiment, a substrate is doped by energetic implantation of dopant. A reactive gas mixture is provided to the process chamber, with optional plasma, to remove excess dopant adsorbed on the surface and high-concentration dopant near the surface by reacting with the dopant to form volatile compounds. The reactive gas mixture may be provided during thermal treatment, or it may be provided before or after at temperatures different from the thermal treatment temperature. The volatile compounds are removed. Substrates so treated do not form toxic compounds when stored or transported ...

Подробнее
30-04-2014 дата публикации

Electron beam plasma source with segmented beam dump for uniform plasma generation

Номер: CN103766003A
Принадлежит:

A plasma reactor that generates plasma in a workpiece processing chamber by an electron beam, has an electron beam source and segmented beam dump that is profiled to promote uniformity in the electron beam-produced plasma.

Подробнее
01-08-2008 дата публикации

Plasma immersed ion implantation process

Номер: TW0200832523A
Принадлежит:

Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, the method for implanting ions into a substrate by a plasma immersion ion implantation process includes providing a substrate into a processing chamber, supplying a gas mixture including a reacting gas and a reducing gas into the chamber, and implanting ions from the gas mixture into the substrate. In another embodiment, the method includes providing a substrate into a processing chamber, supplying a gas mixture including reacting gas and a hydrogen containing reducing gas into the chamber, and implanting ions from the gas mixture into the substrate.

Подробнее
16-05-2005 дата публикации

Electrostatic chuck having electrode with rounded edge

Номер: TW0200516689A
Принадлежит:

An electrostatic chuck provides reduced electric field effects about its peripheral edge. The chuck comprises a dielectric covering an electrode having a central planar portion with top and bottom surfaces. In one version, the electrode also has a wire loop extending about its perimeter, the wire loop having a radially outwardly facing surface that is substantially rounded. Alternatively, the electrode has a peripheral arcuate portion having a tip with a curvature length of at least about /8 radians between a normal to the top surface of the central planar portion and a electrostatic chuck is used to hold a substrate in a process chamber of a substrate processing apparatus.

Подробнее
16-12-2012 дата публикации

Methods and apparatus for performing multiple photoresist layer development and etching processes

Номер: TW0201250778A
Принадлежит:

The present invention provides methods and an apparatus controlling and minimizing process defects in a development process, and modifying line width roughness (LWR) of a photoresist layer after the development process, and maintaining good profile control during subsequent etching processes. In one embodiment, a method for forming features on a substrate includes developing and removing exposed areas in the photosensitive layer disposed on the substrate in the electron processing chamber by predominantly using electrons, removing contaminants from the substrate by predominantly using electrons, and etching the non-photosensitive polymer layer exposed by the developed photosensitive layer in the electron processing chamber by predominantly using electrons.

Подробнее
22-10-2002 дата публикации

Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate

Номер: US0006468388B1

A plasma chamber defining an evacuated interior environment for processing a substrate includes a substrate support, an apertured gas distribution plate in spaced facing relationship to the substrate support, and adapted to flow process gases into the chamber interior environment adjacent the substrate support, the gas distribution plate and substrate support defining a substrate processing region therebetween. A hollow conduit having respective ends opening into the substrate processing region on opposite sides of the gas distribution plate, with the interior of the conduit sharing the interior environment. The conduit being adapted to accept irradiation by an RF field of processing gases within the conduit to sustain a plasma in a path extending around the conduit interior and across the substrate processing region within the chamber interior environment.

Подробнее
29-04-2014 дата публикации

Methods and apparatus for performing multiple photoresist layer development and etching processes

Номер: US0008709706B2

The present invention provides methods and an apparatus controlling and minimizing process defects in a development process, and modifying line width roughness (LWR) of a photoresist layer after the development process, and maintaining good profile control during subsequent etching processes. In one embodiment, a method for forming features on a substrate includes developing and removing exposed areas in the photosensitive layer disposed on the substrate in the electron processing chamber by predominantly using electrons, removing contaminants from the substrate by predominantly using electrons, and etching the non-photosensitive polymer layer exposed by the developed photosensitive layer in the electron processing chamber by predominantly using electrons.

Подробнее
27-03-2008 дата публикации

DOSIMETRY USING OPTICAL EMISSION SPECTROSCOPY/RESIDUAL GAS ANALYZER IN CONJUNTION WITH ION CURRENT

Номер: US2008075834A1
Принадлежит:

The present invention generally provides methods and apparatus for controlling ion dosage in real time during plasma processes. In one embodiment, ion dosages may be controlled using in-situ measurement of the plasma from a mass distribution sensor combined with in-situ measurement from an RF probe.

Подробнее
03-08-2017 дата публикации

SELF-AWARE PRODUCTION WAFERS

Номер: US20170221783A1
Принадлежит:

Embodiments include a self-aware substrate and methods for utilizing a self-aware substrate. In one embodiment, a method of processing a self-aware substrate may include initiating a processing operation on the self-aware substrate. The processing operation may be any processing operation used in the fabrication of functioning devices on a production substrate. The method may further include receiving output signals from one or more sensors on the self-aware substrate. In some embodiments, the one or more sensors are formed on non-production regions of the substrate. The method may further include comparing the output signals to an endpoint criteria that is associated with one or more processing conditions. For example, the endpoint criteria may be associated with processing conditions such as film thickness. The method may further include ending the processing operation when the endpoint criteria is satisfied.

Подробнее
06-02-2014 дата публикации

METHOD OF DIFFERENTIAL COUNTER ELECTRODE TUNING IN AN RF PLASMA REACTOR

Номер: US20140034612A1
Принадлежит: APPLIED MATERIALS, INC.

A method of controlling distribution of a plasma parameter in a plasma reactor having an RF-driven electrode and two (or more) counter electrodes opposite the RF driven electrode and facing different portions of the process zones. The method includes providing two (or more) variable reactances connected between respective ones of the counter electrodes and ground, and governing the variable reactances to change distribution of a plasma parameter such as plasma ion density or ion energy. 1. In a plasma reactor chamber , a method of controlling distribution of a plasma parameter , comprising:applying RF power to a power applicator electrode adjacent a first side of said plasma reactor chamber;providing inner and outer counter electrodes facing said power applicator electrode near a second side of said plasma reactor chamber opposite said first side, said outer counter electrode having a radial extent exceeding that of said inner counter electrode;providing a first variable reactance connected between said inner counter electrode and ground, and a second variable reactance connected between said outer counter electrode and ground; andcontrolling distribution of a plasma parameter in a processing region of said plasma reactor chamber by separately controlling said first and second variable reactances.2. The method of wherein said plasma parameter comprises one of plasma ion density or plasma ion energy.3. The method of wherein said controlling distribution of a plasma parameter is preceded by setting chamber parameters in said plasma reactor chamber in accordance with a process recipe claim 1 , said chamber parameters comprising at least one of chamber pressure claim 1 , process gas flow rate claim 1 , RF power level claim 1 , ceiling-to-workpiece gap claim 1 , workpiece temperature.4. The method of wherein said controlling distribution of a plasma parameter comprises conforming said distribution to a predetermined distribution without changing said chamber parameters.5 ...

Подробнее
17-09-2009 дата публикации

ELECTRICAL CONTROL OF PLASMA UNIFORMITY USING EXTERNAL CIRCUIT

Номер: US2009230089A1
Принадлежит:

A method and apparatus for controlling plasma uniformity is disclosed. When etching a substrate, a non-uniform plasma may lead to uneven etching of the substrate. Impedance circuits may alleviate the uneven plasma to permit more uniform etching. The impedance circuits may be disposed between the chamber wall and ground, the showerhead and ground, and the cathode can and ground. The impedance circuits may comprise one or more of an inductor and a capacitor. The inductance of the inductor and the capacitance of the capacitor may be predetermined to ensure the plasma is uniform. Additionally, the inductance and capacitance may be adjusted during processing or between processing steps to suit the needs of the particular process.

Подробнее
03-12-2009 дата публикации

METHOD OF PLASMA LOAD IMPEDANCE TUNING BY MODULATION OF AN UNMATCHED LOW POWER RF GENERATOR

Номер: US2009295296A1
Принадлежит:

A workpiece is processed in a plasma reactor chamber using stabilization RF power delivered into the chamber, by determining changes in load impedance from RF parameters sensed at an RF source or bias power generator and resolving the changes in load impedance into first and second components thereof, and changing the power level of the stabilization RF power as a function one of the components of changes in load impedance.

Подробнее
27-10-2016 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: US20160314937A1
Принадлежит:

Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems.

Подробнее
06-11-2007 дата публикации

Electrostatic chuck with smart lift-pin mechanism for a plasma reactor

Номер: US0007292428B2

A lift pin assembly for use in a reactor for processing a workpiece includes plural lift pins extending generally parallel with a lift direction, each of the plural lift pins having a top end for supporting a workpiece and a bottom end. A lift table faces the bottom ends of the pins and is translatable in a direction generally parallel with the lift direction. A small force detector senses a force exerted by the lift pins that is sufficiently large to indicate a chucked wafer and sufficiently small to avoid dechucking a wafer. A large force detector senses a force exerted by the lift pins in a range sufficient to de-chuck the wafer.

Подробнее
31-07-2008 дата публикации

PROCESS FOR WAFER BACKSIDE POLYMER REMOVAL WITH A PLASMA STREAM

Номер: US2008179289A1
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. The process further includes confining gas flow at an edge of the workpiece within a gap at the edge of the workpiece on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. A first plasma is generated in a local plasma chamber from a polymer etch precursor gas. The process includes directing a localized stream of an etchant by-product from the first plasma onto a target portion of the backside of the workpiece, the target portion having a diameter corresponding to a diameter of the stream, while rotating the workpiece.

Подробнее
30-03-2017 дата публикации

Additive Herstellung mittels Laser und Plasma

Номер: DE112015003334T5

Ein additives Herstellungssystem umfasst eine Platte, eine Abgabevorrichtung für Zuführmaterial, die konfiguriert ist, um ein Zuführmaterial über die Platte zu befördern, einen Laser, der konfiguriert ist, um einen Laserstrahl zu erzeugen, eine Steuereinrichtung, die konfiguriert ist, um den Laserstrahl an Stellen zu richten, die durch Daten spezifiziert sind, die in einem computerlesbaren Medium gespeichert sind, um das Zuführmaterial zu verschmelzen, und eine Plasmaquelle, die konfiguriert ist, Ionen zu erzeugen, die im Wesentlichen auf dieselbe Stelle der Platte gerichtet sind wie der Laserstrahl.

Подробнее
15-12-2010 дата публикации

Process for wafer backside polymer removal

Номер: CN0101261930B
Принадлежит:

A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving a peripheral annular portion of the backside exposed. Gas flow is confined at the edge of the workpiece within a gap at the edge of the workpiece, the gap configured to be on the order of about 1% of the diameter of the chamber,the gap defining a boundary between an upper process zone containing the front side and a lower process zone containing the backside. The process further includes evacuating the lower process zone, generating a plasma in an external chamber from a polymer etch precursor gas, and introducing a by-product from the plasma into the lower process zone. The process further includes pumping a purge gas into the upper process zone to remove polymer etch species from the upper process zone.

Подробнее
12-11-2008 дата публикации

PROCESS FOR THE POLYMER REMOVAL OF THE WAFER BACKSIDE AND WAFER FRONT SIDE PHOTORESIST STRIP

Номер: KR1020080099180A
Принадлежит:

PURPOSE: Polymer can be removed on the back side of a workpiece and photoresist can be removed on the front side of the workpiece. CONSTITUTION: In case that polymer(500) of the back side is removed, the wafer is located on the remote plasma source having a side output port(510) passing through the sidewall of the chamber, adjacent to the ceiling. The polymer of back side flows plasma by-products from the side output port to the wafer's backside. It is removed by rotating the workpiece. In case of the front side photoresist strip, the wafer is disposed beneath the side output port of the local plasma source, far from the ceiling. The front side photoresist flows plasma by-products from the side output port to the wafer backside. It is removed by rotating the workpiece. © KIPO 2009 ...

Подробнее
06-08-2019 дата публикации

Distributed electrode array for plasma processing

Номер: US0010373807B2

Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected.

Подробнее
20-10-2005 дата публикации

Plasma immersion ion implantation apparatus

Номер: US2005230047A1
Принадлежит:

A plasma reactor for performing plasma immersion ion implantation, dopant deposition or surface material enhancement, includes a vacuum chamber, a wafer support pedestal or electrostatic chuck having an insulated electrode underlying a wafer support surface within said chamber, a chucking voltage source coupled to the insulated electrode, a thermal sink coupled to the electrostatic chuck, an RF bias power generator coupled to said electrostatic chuck, and a process gas supply and gas inlet ports coupled to the chamber and coupled to the gas supply. The process gas supply contains either (a) a gas containing a dopant species to be ion implanted in a semiconductive material of workpiece, (b) a gas containing a dopant species to be deposited on a surface of a semiconductive material of a workpiece, or (c) a gas containing a material enhancement species to be ion implanted into a workpiece.

Подробнее
12-11-2013 дата публикации

Apparatus for VHF impedance match tuning

Номер: US0008578879B2

Embodiments of impedance matching networks are provided herein. In some embodiments, an impedance matching network may include a coaxial resonator having an inner and an outer conductor. A tuning capacitor may be provided for variably controlling a resonance frequency of the coaxial resonator. The tuning capacitor may be formed by a first tuning electrode and a second tuning electrode and an intervening dielectric, wherein the first tuning electrode is formed by a portion of the inner conductor. A load capacitor may be provided for variably coupling energy from the inner conductor to a load. The load capacitor may be formed by the inner conductor, an adjustable load electrode, and an intervening dielectric.

Подробнее
06-09-2018 дата публикации

METHOD AND APPARATUS FOR ION ENERGY DISTRIBUTION MANIPULATION FOR PLASMA PROCESSING CHAMBERS THAT ALLOWS ION ENERGY BOOSTING THROUGH AMPLITUDE MODULATION

Номер: US20180254171A1
Принадлежит:

Methods and apparatus for boosting ion energies are contemplated herein. In one embodiment, the methods and apparatus comprises a controller, a process chamber with a symmetrical plasma source configured to process a wafer, one or more very high frequency (VHF) sources, coupled to the process chamber, to generate plasma density and two or more frequency generators that generate low frequencies relative to the one or more VHF sources, coupled to a bottom electrode of the process chamber, the two or more low frequency generators configured to dissipate energy in the plasma sheath, wherein the controller controls the one or more VHF sources to generate a VHF signal and the two or more low frequency sources to generate two or more low frequency signals.

Подробнее
03-12-2009 дата публикации

PLASMA REACTOR WITH PLASMA LOAD IMPEDANCE TUNING FOR ENGINEERED TRANSIENTS BY SYNCHRONIZED MODULATION OF AN UNMATCHED LOW POWER RF GENERATOR

Номер: US2009294061A1
Принадлежит:

A plasma reactor for processing a workpiece such as a semiconductor wafer using predetermined transients of plasma bias power or plasma source power has unmatched low power RF generators synchronized to the transients to minimize transient-induced changes in plasma characteristics.

Подробнее
31-12-2009 дата публикации

RF POWER DELIVERY SYSTEM IN A SEMICONDUCTOR APPARATUS

Номер: US2009321019A1
Принадлежит:

Embodiments of the invention provide an apparatus which provide good RF uniformity within a processing chamber. In one embodiment, an apparatus includes a substrate support assembly, a terminal, and a dielectric insulator. The substrate support assembly has a center passage formed along a center axis. An RF transmission line is provided. The RF transmission line has a substantially vertical portion and a substantially horizontal portion, wherein the terminal is coupled to the substantially horizontal portion of the RF transmission line. The dielectric insulator circumscribes the substantially horizontal portion of the RF transmission line. The dielectric insulator has a first opening through which the terminal passes.

Подробнее
20-12-2018 дата публикации

MULTIPLE ELECTRODE SUBSTRATE SUPPORT ASSEMBLY AND PHASE CONTROL SYSTEM

Номер: US20180366306A1
Принадлежит:

Implementations described herein provide a substrate support assembly which enables tuning of a plasma within a plasma chamber. In one embodiment, a method for tuning a plasma in a chamber is provided. The method includes providing a first radio frequency power and a direct current power to a first electrode in a substrate support assembly, providing a second radio frequency power to a second electrode in the substrate support assembly at a different location than the first electrode, monitoring parameters of the first and second radio frequency power, and adjusting one or both of the first and second radio frequency power based on the monitored parameters.

Подробнее
18-11-2009 дата публикации

Plasma immersion chamber

Номер: CN0101583736A
Принадлежит:

Embodiments described herein generally provide a toroidal plasma source, a plasma channeling device, a showerhead, and a substrate support assembly for use in a plasma chamber. The toroidal plasma source, plasma channeling device, showerhead, and substrate support assembly are adapted to improve the usable lifetime of the plasma chamber, as well as reduce assembly cost, increase the plasma chamber reliability, and improve device yield on the processed substrates.

Подробнее
01-02-2014 дата публикации

Symmetrical inductively coupled plasma source with symmetrical flow chamber

Номер: TW0201406215A
Принадлежит:

A plasma reactor has an overhead multiple coil inductive plasma source with symmetric RF feeds and a symmetrical chamber exhaust with plural struts through the exhaust region providing access to a confined workpiece support. A grid may be included for masking spatial effects of the struts from the processing region.

Подробнее
01-07-2021 дата публикации

Real time process characterization

Номер: TWI731915B

Подробнее
20-07-2017 дата публикации

ADDITIVE MANUFACTURING WITH LASER AND PLASMA

Номер: US20170203364A1
Принадлежит:

An additive manufacturing system includes a platen, a feed material dispenser apparatus configured to deliver a feed material over the platen, a laser configured to produce a laser beam, a controller configured to direct the laser beam to locations specified by data stored in a computer-readable medium to cause the feed material to fuse, and a plasma source configured to produce ions that are directed to substantially the same location on the platen as the laser beam. 1. An additive manufacturing system comprising:a platen;a feed material dispenser apparatus configured to deliver a layer of feed material over the platen;a laser configured to produce a laser beam;a controller configured to cause the laser beam to fuse the feed material at locations specified by data stored in a computer-readable medium; anda plasma source configured to produce ions that are directed to impinge substantially the same location on the layer of feed material on the platen as the laser beam.2. The system of claim 1 , wherein the laser source and the plasma source are integrated in a coaxial point laser and plasma source configured such that the laser beam and the ions emerge from the coaxial point laser and plasma source along a common axis.3. The system of claim 2 , wherein the coaxial point laser and plasma source is configured such that the laser beam and the ions emerge in an overlapping region.4. The system of claim 1 , further comprising a drive system configured to raster scan the laser beam across the platen claim 1 , wherein the controller is configured to control a power of the laser beam at a location on the platen to determine if the feed material at the location fuses.5. The system of claim 1 , further comprising a voltage source electrically connected to the platen to maintain the platen at a first electrical potential to accelerate ions into the feed material.6. The system of claim 1 , wherein the plasma source comprises a conduit having a first end closer to the laser ...

Подробнее
10-07-2018 дата публикации

Method and apparatus for controlling plasma near the edge of a substrate

Номер: US0010017857B2

Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber having an internal processing volume disposed beneath a dielectric lid of the process chamber; a substrate support disposed in the process chamber and having a support surface to support a substrate; an inductive coil disposed above the dielectric lid to inductively couple RF energy into the internal processing volume to form a plasma above the substrate support; and a first inductive applicator ring coupled to a lift mechanism to position the first inductive applicator ring within the internal processing volume.

Подробнее
20-01-2009 дата публикации

Gasless high voltage high contact force wafer contact-cooling electrostatic chuck

Номер: US0007479456B2

A method of electrostatically chucking a wafer while removing heat from the wafer in a plasma reactor includes providing a polished generally continuous surface on a puck, placing the wafer on the polished surface of the puck and cooling the puck. A chucking voltage is applied to an electrode within the puck to electrostatically pull the wafer onto the surface of the puck with sufficient force to attain a selected heat transfer coefficient between contacting surfaces of the puck and wafer.

Подробнее
12-04-2012 дата публикации

IN-SITU VHF VOLTAGE/CURRENT SENSORS FOR A PLASMA REACTOR

Номер: US20120086464A1
Принадлежит: Applied Materials, Inc.

An RE voltage probe is adapted to have a long coaxial cable to permit a measuring device to be connected remotely from the probe without distorting the voltage measurement. An RF current probe is encapsulated in a conductive housing to permit its placement inside a plasma reactor chamber.

Подробнее
11-04-2019 дата публикации

PLASMA REACTOR HAVING DIGITAL CONTROL OVER ROTATION FREQUENCY OF A MICROWAVE FIELD WITH DIRECT UP-CONVERSION

Номер: US20190108981A1
Принадлежит:

A plasma reactor for processing a workpiece has a microwave source with a digitally synthesized rotation frequency using direct digital up-conversion and a user interface for controlling the rotation frequency. 1. A plasma reactor comprising:a cylindrical microwave cavity overlying a workpiece processing chamber, and first and second coupling apertures in a sidewall of said cylindrical microwave cavity spaced apart by an angle;a system-controlling clock to generate a system clock signal; and digital circuitry configured to receive the system clock signal and generate therefrom two digital outputs, at least one of the two digital outputs being a combination of a first component having an first frequency that is lower than the microwave frequency and a second component having a second frequency that is lower than the first frequency,', 'a digital-to-analog converter coupled to said two digital outputs to generate two analog outputs corresponding to said two digital outputs, and', 'an up-converter coupled to said two analog outputs to convert the two analog outputs to the microwave frequency to provide said microwave outputs., 'a microwave source having a microwave frequency and comprising a microwave controller having respective microwave outputs coupled to respective ones of said first and second coupling apertures, said microwave controller including'}2. The reactor of claim 1 , wherein the digital circuitry is configured to generate first and second digital modulation signals at the second frequency and a first digital carrier signal at the first frequency.3. The reactor of claim 2 , wherein the digital circuitry includes a first multiplier to multiply the first digital carrier signal by the first digital modulation signal to generate a first of the two digital outputs.4. The reactor of claim 3 , wherein the digital circuitry includes a second multiplier to multiply the second digital carrier signal by the first digital modulation signal to generate a second of the ...

Подробнее
27-04-2004 дата публикации

RF power delivery for plasma processing using modulated power signal

Номер: US0006726804B2

A plasma processing apparatus and a method of operating a plasma processing apparatus are disclosed. In one embodiment, a first RF signal at a carrier frequency and a second RF signal at a second frequency are generated. An amplitude modulated signal is formed by modulating the first RF signal with the second RF signal. A plasma is generated within the plasma processing chamber using the amplitude modulated signal. Generating plasma using a frequency modulated signal is also disclosed.

Подробнее
03-04-2012 дата публикации

Apparatus for characterizing a magnetic field in a magnetically enhanced substrate processing system

Номер: US0008148977B2

Embodiments of sensor devices for characterizing magnetic fields formed in substrate processing systems and methods of use thereof are provided herein. In some embodiments, an apparatus for characterizing a magnetic field in a substrate processing system may include a carrier having a form substantially similar to a substrate to be processed in the substrate processing system. One or more magnetic sensors are disposed on the carrier for measuring a magnitude of a magnetic field formed in the processing system in an x-, y-, and z-direction. A microprocessor is coupled to the one or more magnetic sensors to sample data representative of the magnitude of the magnetic field in the x-, y-, and z-directions proximate a position of each sensor. A memory device is coupled to the microprocessor for storing the sampled data. A power source is provided to supply power to each magnetic sensor and the microprocessor.

Подробнее
11-04-2003 дата публикации

EXTERNALLY EXCITED TORROIDAL PLASMA SOURCE

Номер: KR20030029130A
Принадлежит:

A plasma reactor for processing a workpiece, including an enclosure defining a vacuum chamber, a workpiece support within the enclosure facing an overlying portion of the enclosure, the enclosure having at least first and second openings therethrough near generally opposite sides of the workpiece support. At least one hollow conduit is connected to the first and second openings. A closed torroidal path is provided through the conduit and extending between the first and second openings across the wafer surface. A process gas supply is coupled to the interior of the chamber for supplying process gas to the torroidal path. A coil antenna is coupled to an RF power source and inductively coupled to the interior of the hollow conduit and capable of maintaining a plasma in the torroidal path. © KIPO & WIPO 2007 ...

Подробнее
16-05-2013 дата публикации

E-beam plasma source with profiled e-beam extraction grid for uniform plasma generation

Номер: TW0201320145A
Принадлежит:

A plasma reactor that relies on an electron beam as a plasma source employs a profiled electron beam extraction grid in an electron beam source to improve uniformity.

Подробнее
01-09-2011 дата публикации

Plasma reactor with uniform process rate distribution by improved RF ground return path

Номер: TW0201130397A
Принадлежит:

In a plasma reactor having an RF plasma source power applicator at its ceiling, an integrally formed grid liner includes a radially extending plasma confinement ring and an axially extending side wall liner. The plasma confinement ring extends radially outwardly near the plane of a workpiece support surface from a pedestal side wall, and includes an annular array of radial slots, each of the slots having a narrow width corresponding to an ion collision mean free path length of a plasma in the chamber. The side wall liner covers an interior surface of the chamber side wall and extends axially from a height near a height of said workpiece support surface to the chamber ceiling.

Подробнее
16-11-2008 дата публикации

A method of processing a workpiece in a plasma reactor with variable height ground return path

Номер: TW0200845826A
Принадлежит:

A method for processing a workpiece in a plasma reactor chamber includes coupling RF power at a first VHF frequency f1 to a plasma via one of the electrodes of the chamber, and providing a center ground return path for RF current passing directly between the ceiling electrode and the workpice support electrode for the frequency f1. The method further includes providing a variable height edge ground annular element and providing a ground return path through the edge ground annular element for the frequency f1. The method controls the uniformity of plasma ion density distribution by controlling the distance between the variable height edge ground annular element and one of: (a) height of ceiling electrode or (b) height of workpiece support electrode.

Подробнее
30-10-2018 дата публикации

Method and apparatus for controlling a magnetic field in a plasma chamber

Номер: US0010115566B2

Methods and apparatus for controlling a magnetic field in a plasma chamber are provided herein. In some embodiments, a process chamber liner may include a cylindrical body, an inner electromagnetic cosine-theta (cos θ) coil ring including a first plurality of inner coils embedded in the body and configured to generate a magnetic field in a first direction, and an outer electromagnetic cosine-theta (cos θ) coil ring including a second plurality of outer coils embedded in the body and configured to generate a magnetic field in a second direction orthogonal to the first direction, wherein the outer electromagnetic cos θ coil ring is disposed concentrically about the inner electromagnetic cos θ coil ring.

Подробнее
20-04-2010 дата публикации

Plasma immersion ion implantation process using a plasma source having low dissociation and low minimum plasma voltage

Номер: US0007700465B2

A method for ion implanting a species into a surface layer of a workpiece in a chamber includes placing the workpiece in a processing zone of the chamber bounded by a chamber side wall and a chamber ceiling facing said workpiece and between a pair of ports of the chamber near generally opposite sides to the processing zone and connected together by a conduit external of the chamber. The method further includes introducing into the chamber a process gas comprising the species to be implanted, and further generating from the process gas a plasma current and causing the plasma current to oscillate in a circulatory reentrant path comprising the conduit and the processing zone.

Подробнее
20-06-2019 дата публикации

METHOD AND APPARATUS FOR DE-CHUCKING A WORKPIECE USING A SWING VOLTAGE SEQUENCE

Номер: US20190189481A1
Принадлежит: Applied Materials Inc

A method and apparatus for de-chucking a workpiece is described that uses a swing voltage sequence. One example pertains to a method that includes applying a mechanical force from an electrostatic chuck against the back side of a workpiece that is electrostatically clamped to the chuck, applying a sequence of voltage pulses with a same polarity to the electrodes, each pulse of the sequence having a lower voltage than the preceding pulse, each pulse of the sequence having a lower voltage than the preceding pulse, and determining whether the workpiece is released from the chuck after the sequence of additional voltage pulses and if the workpiece is not released then repeating applying the sequence of voltage pulses.

Подробнее
23-02-2012 дата публикации

SYMMETRIC VHF SOURCE FOR A PLASMA REACTOR

Номер: US20120043023A1
Принадлежит: Applied Materials, Inc.

The disclosure pertains to a capactively coupled plasma source in which VHF power is applied through an impedance-matching coaxial resonator having a folded structure and symmetrical power distribution. 1. A plasma reactor comprising:a vacuum chamber enclosure and a center electrode; (a) a hollow inner conductive cylinder coaxial with said center electrode and having a bottom edge contacting said center electrode;', '(b) a hollow outer conductive cylinder coaxial with and surrounding said inner conductive cylinder and having a bottom edge insulated from said center electrode, said inner and outer conductive cylinders having respective circular top edges;', '(c) an annular conductor extending between and electrically contacting said respective circular top edges of said inner and outer conductive cylinders;', '(d) a hollow center conductive cylinder coaxial with said inner and outer conductive cylinders and located between said inner and outer conductive cylinders, and having a bottom edge contacting said center electrode, said center conductive cylinder having a top edge facing an spaced from said annular conductor by an axial gap length; and, 'a coaxial resonator comprisinga VHF power generator coupled to said center conductor.2. The reactor of further comprising a connection between said outer cylindrical conductor and ground.3. The reactor of wherein a sum of the axial lengths of said inner and outer conductive cylinders and a radial length of said annular conductor is at least approximately equal to an integral fraction of a wavelength of the frequency of said VHF generator.4. The reactor of wherein said integral fraction is one-half.5. The reactor of wherein said axial gap length is selected to optimize resonance of said coaxial resonator at the frequency of said VHF generator.6. The reactor of wherein said center cylindrical conductor has a radius that is a geometric mean of the radii of said inner and outer conductive cylinders.7. The reactor of wherein said ...

Подробнее
01-03-2012 дата публикации

COMPONENT TEMPERATURE CONTROL BY COOLANT FLOW CONTROL AND HEATER DUTY CYCLE CONTROL

Номер: US20120048467A1
Принадлежит: Applied Materials, Inc.

Methods and systems for controlling temperatures in plasma processing chamber for a wide range of setpoint temperatures and reduced energy consumption. Temperature control is coordinated between a coolant liquid loop and a heat source by a control algorithm implemented by the plasma processing module controller. The control algorithm may completely stop the flow of coolant liquid to a temperature-controlled component in response to a feedback signal indicating an actual temperature is below the setpoint temperature. The control algorithm may further be based at least in part on a feedforward control signal derived from a plasma power or change in plasma power input into the processing chamber during process recipe execution. 1. A method for controlling a plasma process chamber component temperature , the method comprising:generating a feedback signal indicating the chamber component temperature is below a setpoint temperature; andreducing a coolant liquid flow between the process chamber and a heat sink external to the process chamber to a flow rate of zero in response to the feedback signal.2. The method of claim 1 , further comprising:determining a plasma power input to the process chamber when the chamber is in an active state executing a plasma process recipe; andcontrolling the coolant liquid flow with a feedforward control signal based on the input plasma power.3. The method of claim 2 , further comprising:controlling a heating power input to the chamber component with a feedforward control signal based on the input plasma power.4. The method of claim 3 , wherein controlling the coolant liquid flow and the heating power input further comprises applying a first group of gain values during a first portion of an executing step in the plasma process recipe claim 3 , the first group of gain values associated with a key value pairing of the plasma input power and the setpoint temperature for the executing recipe step claim 3 , wherein the gain value group includes ...

Подробнее
02-08-2012 дата публикации

Gas distribution plate with discrete protective elements

Номер: US20120193456A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention provide a gas distribution plate assembly having protective elements for plasma processing. The gas distribution plate assembly includes a base plate having a front side and a backside, and a plurality of protective elements in direct contact with the base plate. The protective elements cover the front side of the base plate to protect the base plate from a plasma processing environment during use.

Подробнее
09-08-2012 дата публикации

PLASMA IMMERSION CHAMBER

Номер: US20120199071A1
Принадлежит: Applied Materials, Inc.

Embodiments described herein relate to a plasma chamber and processing system utilizing robust components. In one embodiment, a chamber is provided. The chamber includes a body having an interior volume, a gas distribution assembly disposed in the interior volume opposing a substrate support, the gas distribution assembly having a coolant channel disposed thereon, and a first hollow conduit and a second hollow conduit coupled to the body and in fluid communication with the interior volume. 1. A chamber , comprising:a body having an interior volume;a gas distribution assembly disposed in the interior volume opposing a substrate support, the gas distribution assembly having a coolant channel disposed thereon; anda first hollow conduit and a second hollow conduit coupled to the body and in fluid communication with the interior volume.2. The chamber of claim 1 , wherein the first hollow conduit comprises a U shape and a rectangular cross-section; andthe second hollow conduit comprises an M shape and a rectangular cross-section.3. The chamber of claim 2 , wherein each of the first hollow conduit and the second hollow conduit having an opening disposed at opposing ends thereof.4. The chamber of claim 3 , further comprising:a plasma channeling device comprising a wedge-shaped member coupled to each of the opposing ends of the first hollow conduit and the second hollow conduit.5. The chamber of claim 4 , further comprising:a coating disposed on an interior surface of each of the first and second hollow conduits.6. The chamber of claim 1 , wherein each of the first and second hollow conduits include a slot in a sidewall of the conduit.7. The chamber of claim 1 , wherein the gas distribution assembly further comprises:a circular member having a first side and a second side;a recessed portion formed in a central region of the first side to form an edge along a portion of the first side of the circular member, wherein the recessed portion includes a plurality of orifices that ...

Подробнее
27-09-2012 дата публикации

RF POWER DELIVERY SYSTEM IN A SEMICONDUCTOR APPARATUS

Номер: US20120241091A1
Принадлежит:

Embodiments of the invention provide an apparatus which provide good RF uniformity within a processing chamber. In one embodiment, an apparatus includes a substrate support assembly, a terminal, and a dielectric insulator. The substrate support assembly has a center passage formed along a center axis. An RF transmission line is provided. The RF transmission line has a substantially vertical portion and a substantially horizontal portion, wherein the terminal is coupled to the substantially horizontal portion of the RF transmission line. The dielectric insulator circumscribes the substantially horizontal portion of the RF transmission line. The dielectric insulator has a first opening through which the terminal passes. 1. A substrate support assembly , comprising:a substrate support assembly;an RF transmission line coupled to a bottom of the substrate support assembly at a region offset to a center axis of the substrate support assembly; anda metal plate coupled to the RF transmission line, wherein the metal plate comprises:a plurality of conduits disposed in the metal plate.2. The substrate support assembly of claim 1 , wherein the conduits of the metal plate are disposed within the substrate support assembly.3. The substrate support assembly of claim 1 , wherein the conduits have a top end coupled to an RF electrode of the substrate support assembly.4. The substrate support assembly of claim 3 , wherein the substrate support assembly further comprises:a base plate coupled to an electrostatic chuck.5. The substrate support assembly of claim 3 , wherein the RF electrode is embedded in the electrostatic chuck.6. The substrate support assembly of claim 1 , further comprising:a dielectric insulating ring coupled to a bottom of the substrate support assembly.7. The substrate support assembly of claim 6 , wherein the RF transmission line is circumscribed by the dielectric insulating ring.8. The substrate support assembly of claim 6 , wherein the metal plate is disposed in ...

Подробнее
20-12-2012 дата публикации

METHODS AND APPARATUS FOR PERFORMING MULTIPLE PHOTORESIST LAYER DEVELOPMENT AND ETCHING PROCESSES

Номер: US20120322011A1
Принадлежит: Applied Materials, Inc.

The present invention provides methods and an apparatus controlling and minimizing process defects in a development process, and modifying line width roughness (LWR) of a photoresist layer after the development process, and maintaining good profile control during subsequent etching processes. In one embodiment, a method for forming features on a substrate includes developing and removing exposed areas in the photosensitive layer disposed on the substrate in the electron processing chamber by predominantly using electrons, removing contaminants from the substrate by predominantly using electrons, and etching the non-photosensitive polymer layer exposed by the developed photosensitive layer in the electron processing chamber by predominantly using electrons. 1. A method for forming features on a substrate comprising:transferring a substrate into a processing chamber, wherein the substrate has a photosensitive layer having exposed areas and non-exposed areas;extracting electrons from a plasma formed from a first gas mixture;developing the exposed areas in the photosensitive layer using the electrons extracted from the plasma formed in the first gas mixture;extracting electrons from a plasma formed from a second gas mixture supplied to the processing chamber; andtrimming an edge profile of the developed photosensitive layer disposed on the substrate using electrons extracted from the plasma formed from the second gas mixture.2. The method of claim 1 , further comprising:supplying a third gas mixture into the processing chamber;generating a third plasma from the third gas mixture;directing mild reactive species having ions filtered from the third plasma towards the substrate; andremoving contaminates from the substrate generated from the first and the second plasma using the mild reactive species filtered from the third plasma.3. The method of claim 2 , wherein the substrate further comprises a non-photosensitive polymer layer disposed under the photosensitive layer.4. ...

Подробнее
11-04-2013 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: US20130087286A1
Принадлежит: Applied Materials, Inc.

Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems. 1. A plasma processing apparatus , comprising:a lid assembly and a chamber body enclosing a processing region;a substrate support assembly disposed in the chamber body;an exhaust assembly defining an evacuation region within the chamber body, wherein the chamber body includes a plurality of passages symmetrically disposed about a central axis of the substrate support assembly fluidly connecting the processing region with the evacuation region, wherein the substrate support assembly comprises a lower electrode and a support pedestal disposed in a central region fluidly sealed from the processing and evacuation regions; anda plurality of access tubes positioned through the chamber body to provide access to the central region and arranged symmetrically about the central axis of the substrate support assembly.2. The apparatus of claim 1 , wherein the chamber body has an exhaust port formed therethrough that is symmetric about the central axis of the substrate support pedestal.3. The apparatus of claim 1 , wherein the lid assembly comprises an upper electrode having a central manifold configured to distribute processing gas into the processing region and one or more outer manifolds configured to distribute processing gas into the processing region.4. The apparatus of claim 3 , wherein the lid assembly further comprises a ring manifold coupled to the one or ...

Подробнее
25-04-2013 дата публикации

ELECTRON BEAM PLASMA SOURCE WITH ARRAYED PLASMA SOURCES FOR UNIFORM PLASMA GENERATION

Номер: US20130098551A1
Принадлежит: Applied Materials, Inc.

A plasma reactor that generates plasma in workpiece processing chamber by a electron beam, has an electron beam source chamber and an array of plasma sources facing the electron beam source chamber for affecting plasma electron density in different portions of the processing chamber. In another embodiment, an array of separately controlled electron beam source chambers is provided. 1. A plasma reactor for processing a workpiece , comprising:a workpiece, processing chamber having a processing chamber enclosure comprising a ceiling and a side wall and an electron beam opening in said side wall, a workpiece support pedestal in said processing chamber having a workpiece support surface facing said ceiling and defining a workpiece processing region between said workpiece support surface and said ceiling, said electron beam opening facing said workpiece processing region;an electron beam source chamber comprising an electron beam source chamber enclosure that is open to said electron beam opening of said workpiece processing chamber;an array of plasma sources distributed along a portion of said electron beam source chamber enclosure opposite from said electron beam opening, each of said plasma sources comprising a supply of plasma source power and a plasma source power applicator coupled to the supply of plasma source power; anda controller governing each supply of plasma source power of each of said plasma sources.2. The plasma reactor of wherein said array of plasma sources is distributed along a direction parallel with a plane of said workpiece support surface.3. The plasma reactor of wherein said plasma sources affect plasma electron density in respective portions of said electron beam source chamber claim 2 , said respective portions distributed along a direction parallel with a plane of said workpiece support surface.4. The plasma reactor of wherein said controller governs plasma electron distribution along said direction.5. The plasma reactor of wherein said ...

Подробнее
25-04-2013 дата публикации

E-BEAM PLASMA SOURCE WITH PROFILED E-BEAM EXTRACTION GRID FOR UNIFORM PLASMA GENERATION

Номер: US20130098552A1
Принадлежит: Applied Materials, Inc.

A plasma, reactor that relies on an electron beam as a plasma source employs a profiled electron beam extraction grid in an electron beam source to improve uniformity. 1. A plasma reactor for processing a workpiece , comprising:a workpiece processing chamber having a processing chamber enclosure comprising a ceiling and a side wall and an electron beam opening in said side wall, a workpiece support pedestal in said processing chamber having a workpiece support surface facing said ceiling and defining a workpiece processing region between said workpiece support surface and said ceiling, said electron beam opening facing said workpiece processing region;an electron beam source chamber comprising an electron beam source chamber enclosure and. an emission opening between said electron beam source chamber and said workpiece processing chamber facing said electron beam opening; anda profiled grid in said emission opening and comprising plural grid, openings each extending through said profiled grid, said grid openings having a non-uniform distribution of a number of grid openings per unit length along an axis parallel with a plane of said workpiece support surface.2. The plasma reactor of wherein said non-uniform distribution of said grid openings is a decreasing function of a proximity of said claim 1 , grid openings to an edge of said profiled grid along said axis.3. The plasma reactor of wherein said non-uniform distribution of said claim 1 , grid openings is an increasing function of a proximity of said grid openings to an edge of said profiled grid along said axis.4. The plasma reactor of wherein said grid openings are arranged in regular row and columns claim 1 , said columns being distributed along said axis claim 1 , said rows extending parallel to said axis claim 1 , wherein the number of grid openings in each said column varies with location of each column along said axis.5. The plasma reactor of further comprising a voltage source coupled to said profiled grid ...

Подробнее
25-04-2013 дата публикации

SWITCHED ELECTRON BEAM PLASMA SOURCE ARRAY FOR UNIFORM PLASMA PRODUCTION

Номер: US20130098872A1
Принадлежит: Applied Materials, Inc.

An array of electron beam sources surrounding a processing region of a plasma reactor is periodically switched to change electron beam propagation direction and remove or reduce non-uniformities. 1. A plasma reactor comprising:a processing chamber comprising a side wall, a floor and a ceiling;a workplace support pedestal within said chamber having a workplace support plane and defining a processing region between said workplace support plane and said ceiling;an array of electron beam sources having respective beam emission axes facing said processing region, said array of electron beam sources being outside of said chamber, said side wall comprising respective apertures in registration with respective ones of said beam emission axes;an array of beam dumps aligned with said array of electron beam source and respective servos coupled to respective ones of said beam dumps, each of said beam dumps being separately movable between a beam-blocking position and an unblocking position; anda controller coupled to said respective servos.2. The plasma reactor of further comprising:an array of beam-confining magnetic field sources aligned with respective ones of said beam emission axes;respective current sources coupled to respective ones of said beam-confining magnetic field sources and having reversible current polarities;wherein said controller is further coupled to said respective current sources.3. The plasma reactor of wherein opposing pairs of said electron beam sources share respective ones of said beam emission axes.4. The plasma reactor of wherein said controller is programmed to periodically cause a reversal of electron beam propagation direction along respective ones of said beam emission axes.5. The plasma reactor of wherein said controller is further programmed to enable electron beam propagation along different ones of said beam emission axes at different times.6. A plasma reactor comprising:a processing chamber comprising a side wall, a floor and a ceiling;a ...

Подробнее
25-04-2013 дата публикации

OVERHEAD ELECTRON BEAM SOURCE FOR PLASMA ION GENERATION IN A WORKPIECE PROCESSING REGION

Номер: US20130098873A1
Принадлежит: Applied Materials, Inc.

A plasma reactor has a main chamber for processing a workpiece in a processing region bounded between an overhead ceiling and a workpiece support surface, the reactor having an overhead electron beam source that produces an electron beam flowing into the processing region through the ceiling of the main chamber. 1. A plasma reactor comprising:a main processing chamber comprising: (a) a side wall, (b) a floor and (c) a ceiling electrode insulated from said side wall and comprising plural gas flow passages;a workpiece support pedestal in said chamber having a workpiece support surface facing said ceiling;an electron beam source enclosure overlying said ceiling and comprising a source enclosure wall having a top portion facing said ceiling, and an insulator between said source enclosure wall and said ceiling, said source enclosure wall and said ceiling being conductive;an RF source power generator coupled to said ceiling electrode, a D.C. discharge voltage supply coupled to at least one of said ceiling and said source enclosure wall, an electron beam source gas supply coupled to the interior of said electron beam source enclosure and a workpiece process gas coupled to the interior of said electron beam source enclosure; andsaid top portion of said source enclosure wall being displaced from said ceiling electrode by a gap, said gap having a profile whereby said gap varies as a function of location on said to portion, said profile corresponding to a desired density distribution of electron current flow through said ceiling electrode.2. The plasma reactor of wherein said profile is radially symmetrical.3. The plasma reactor of wherein said top portion has one of a convex shape or a concave shape.4. The plasma reactor of wherein said desired density distribution of electron current flow through said ceiling electrode is complementary to a non-uniformity of plasma ion distribution over said workpiece support surface in absence of an electron current through said ceiling ...

Подробнее
25-04-2013 дата публикации

ELECTRON BEAM PLASMA SOURCE WITH SEGMENTED BEAM DUMP FOR UNIFORM PLASMA GENERATION

Номер: US20130098882A1
Принадлежит: Applied Materials, Inc.

A plasma reactor that generates plasma in a workpiece processing chamber by an electron beam, has an electron beam source and segmented beam dump that is profiled to promote uniformity in the electron beam-produced plasma. 1. A plasma reactor for processing a workplace , comprising:a workpiece processing chamber having a processing chamber enclosure comprising a ceiling and a side wall and an electron beam opening in said side wall, a workpiece support pedestal in said processing chamber having a workpiece support surface facing said ceiling and defining a workpiece processing region between said workpiece support surface and said, ceiling, said electron beam, opening facing said workpiece processing region;an electron beam source chamber comprising an electron beam source chamber enclosure that is open to said electron beam opening of said workpiece processing chamber; anda beam dump in said chamber on a side of said chamber opposite said electron beam opening, said electron beam opening and said beam dump defining an electron beam path therebetween along a first axis, said beam dump comprising an array of metal collectors and an array of insulating spacers, said array of metal collectors and said array of insulating spacers being distributed along a second axis transverse to said first axis, said metal collectors being separated from one another by respective ones of said insulating spacers.2. The plasma reactor of further comprising a source of individually controlled voltages connected to individual ones of said array of metal collectors claim 1 , and a controller for setting said individually controlled voltages.3. The plasma reactor of further comprising a set of individually controlled variable resistors connected between individual ones of said array of metal collectors and a common voltage source claim 1 , and a controller for setting resistances of said individually controlled variable resistors.4. The plasma reactor of wherein said array of metal ...

Подробнее
22-08-2013 дата публикации

SYNCHRONIZED RADIO FREQUENCY PULSING FOR PLASMA ETCHING

Номер: US20130213935A1
Принадлежит: Applied Materials, Inc.

Methods for processing a substrate are provided herein. In some embodiments, a method of etching a dielectric layer includes generating a plasma by pulsing a first RF source signal having a first duty cycle; applying a second RF bias signal having a second duty cycle to the plasma; applying a third RF bias signal having a third duty cycle to the plasma, wherein the first, second, and third signals are synchronized; adjusting a phase variance between the first RF source signal and at least one of the second or third RF bias signals to control at least one of plasma ion density non-uniformity in the plasma or charge build-up on the dielectric layer; and etching the dielectric layer with the plasma. 1. A method of etching a dielectric layer on a substrate , comprising:generating a plasma by pulsing an first RF source signal having a first duty cycle;applying a second RF bias signal having a second duty cycle to the plasma;applying a third RF bias signal having a third duty cycle to the plasma, wherein the first, second, and third signals are synchronized;adjusting a phase variance between the first RF source signal and at least one of the second or third RF bias signals to control at least one of plasma ion density non-uniformity in the plasma or charge build-up on the dielectric layer; andetching the dielectric layer with the plasma.2. The method of claim 1 , wherein adjusting the phase variance further comprises:providing at least one of second or third RF bias signals having a phase lag relative to the first RF source signal to reduce plasma ion density non-uniformity relative to in-phase RF signals.3. The method of claim 1 , wherein adjusting the phase variance further comprises:providing at least one of second or third RF bias signals having a phase lag relative to the first RF source signal to reduce plasma ion energy relative to in-phase RF signals.4. The method of claim 1 , wherein adjusting the phase variance further comprises:providing at least one of second ...

Подробнее
19-09-2013 дата публикации

FAST RESPONSE FLUID TEMPERATURE CONTROL SYSTEM

Номер: US20130240144A1
Принадлежит: Applied Materials, Inc.

A plasma processing apparatus and method to control a temperature of a chamber component therein. A process chamber may include a temperature controlled chamber component and at least one remote heat transfer fluid loop comprising a first heat exchanger having a primary side in fluid communication with a heat sink or heat source, and a local heat transfer fluid loop placing the chamber component in fluid communication with a secondary side of the first heat exchanger. The local loop may be of significantly smaller fluid volume than the remote loop(s) and circulated to provide thermal load of uniform temperature. Temperature control of heat transfer fluid in the local loop and temperature control of the chamber component may be implemented with a cascaded control algorithm. 1. A plasma processing apparatus , comprising:a process chamber including a temperature controlled component;a first heat transfer fluid loop comprising a first heat exchanger having a primary side in fluid communication with a heat sink; anda second heat transfer fluid loop placing the temperature controlled component in fluid communication with a secondary side of the first heat exchanger, wherein the second heat transfer loop further comprises an inline heater or a second heat exchanger disposed in parallel with the first heat exchanger to thermally couple the temperature controlled component to a heat source;a pump to circulate a heat transfer fluid through the second heat transfer loop; andat least one mixing valve disposed in the second heat transfer loop to apportion heat transfer fluid flow between the first heat exchanger, and the inline heater, or the second heat exchanger.2. The apparatus of claim 1 , further comprising a second heat transfer fluid loop coupled to the second heat exchanger claim 1 , the second heat exchanger having a primary side in fluid communication with the heat source claim 1 , and the at least one valve placing the temperature controlled component in fluid ...

Подробнее
24-10-2013 дата публикации

PLASMA PROCESSING USING RF RETURN PATH VARIABLE IMPEDANCE CONTROLLER WITH TWO-DIMENSIONAL TUNING SPACE

Номер: US20130277333A1
Принадлежит:

In a plasma reactor having a driven electrode and a counter electrode, an impedance controller connected between the counter electrode and ground includes both series sand parallel variable impedance elements that facilitate two-dimensional movement of a ground path input impedance in a complex impedance space to control spatial distribution of a plasma process parameter. 1. A plasma reactor comprising:a reactor chamber comprising a ceiling and a side wall, workpiece support inside said chamber facing said ceiling, a pair of RF power applicators disposed, respectively, at said ceiling and at said workpiece support;an RF power generator coupled to one of said RF power applicators and having a return terminal coupled to ground; a load impedance element;', 'a series impedance element connected in series between said first terminal and said load impedance element, said load impedance element being connected in series between said series impedance element and said second terminal, said series impedance element having a variable series impedance;', 'a parallel impedance element connected across one of (a) said first and second terminals, (b) said load impedance element, said parallel impedance element having a variable parallel impedance; and, 'an impedance controller having a first terminal connected to the other one of said RF power applicators and a second terminal connected to ground, said impedance controller comprisinga process controller connected to each one of said series and parallel variable impedance elements to vary said variable series impedance and said variable parallel impedance, and adapted to set an input impedance across said first and second terminals to a complex value corresponding to a desired spatial distribution of a plasma process parameter.2. The plasma reactor of wherein said parallel and series impedance elements comprise variable reactance elements having variable reactances controlled by said controller claim 1 , and wherein said load ...

Подробнее
24-10-2013 дата публикации

THREE-COIL INDUCTIVELY COUPLED PLASMA SOURCE WITH INDIVIDUALLY CONTROLLED COIL CURRENTS FROM A SINGLE RF POWER GENERATOR

Номер: US20130278141A1
Принадлежит:

An inductively coupled plasma reactor has three concentric RF coil antennas and a current divider circuit individually controlling currents in each of the three coil antennas by varying only two reactive elements in the current divider circuit. 1. A plasma reactor for processing a workpiece , comprising:a reactor chamber comprising a cylindrical side wall and a ceiling;an RF power generator and an impedance match coupled to said RF power generator;three coil antennas having respective driven ends and return ends, the return ends being connected to a common potential;a pair of current branches each comprising respective series and parallel reactance elements, the series reactance element of each of the current branches being coupled between said impedance match and the driven end of a respective one of said coil antennas, the parallel reactance element of each of the current branches being coupled in parallel with a respective one of said coil antennas;a third current branch coupled between said impedance match and the driven end of a third one of said three coil antennas; anda current apportionment controller governing reactances of said parallel reactance elements in accordance with a user-specified apportionment of currents among said coil antennas.2. The reactor of wherein said third current branch comprises a conductor.3. The reactor of wherein each one of said variable parallel reactance elements comprises a variable capacitor.4. The reactor of wherein each one of said series reactance elements comprises a capacitor.5. The reactor of wherein said current apportionment controller comprises:a look-up table storing a sequence of pairs of reactance values of said first and second variable parallel reactance elements.6. The reactor of wherein said sequence of pairs of values defines a linear programming sequence.7. The reactor of further comprising a user interface coupled to said current apportionment controller claim 6 , said user interface adapted to record a ...

Подробнее
24-10-2013 дата публикации

THREE-COIL INDUCTIVELY COUPLED PLASMA SOURCE WITH INDIVIDUALLY CONTROLLED COIL CURRENTS FROM A SINGLE RF POWER GENERATOR

Номер: US20130278142A1
Принадлежит:

An inductively coupled plasma reactor has three concentric coil antennas and a current divider circuit individually controlling currents in each of the three coil antennas by varying two variable impedance elements in the current divider circuit in response to a desired current apportionment among the coil antennas received from a user interface. 1. A plasma reactor for processing a workpiece , comprising:an RF power generator and an impedance match coupled to said RF power generator;three coil antennas having respective driven ends and return ends, said return ends being connected to a common potential;three current divider branches coupled between said impedance match and the driven ends of respective ones of said coil antennas, each one of a pair of said three current divider branches comprising a respective variable impedance element; anda current apportionment controller controlling impedances of said variable impedance elements of said pair of current divider branches in response to a user-specified apportionment of currents among said three coil antennas.2. The reactor of wherein said current apportionment controller comprises:a look-up table storing a sequence of pairs of impedance values of said variable impedance elements.3. The reactor of wherein said sequence of pairs of values defines a linear programming sequence.4. The reactor of wherein said user interface is adapted claim 3 , to record a user-selected point along said path and transmit said user-selected point to said current apportionment controller.5. The reactor of wherein said sequence of pairs of values defines a path in a 2-dimensional space whose dimensions are the impedance values of said variable impedance elements.6. The reactor of wherein said path includes:a reference point at which currents in said three coil antennas are at least approximately equal,a first point at which current in a first one of said coil antennas, relative to currents a second and third one of said coil antennas, is ...

Подробнее
31-10-2013 дата публикации

TWO-PHASE OPERATION OF PLASMA CHAMBER BY PHASE LOCKED LOOP

Номер: US20130284369A1
Принадлежит: Applied Materials, Inc.

Plasma distribution is controlled in a plasma reactor by controlling the phase difference between opposing RF electrodes, in accordance with a desired or user-selected phase difference, by a phase-lock feedback control loop. 1. A plasma reactor for processing a workpiece , comprising:a vacuum chamber, an electrostatic chuck in said chamber and comprising an insulating puck having a workpiece support surface and a bottom electrode embedded in said puck under said workpiece support surface, a top electrode overlying said workpiece support surface, said top electrode comprising a gas distribution plate comprising an array of gas injection orifices;top and bottom impedance matches, and top and bottom RF power amplifiers coupled, respectively, to said top and bottom electrodes through respective ones of said top and bottom impedance matches;a clock signal source coupled to said top and bottom RF power generators, and a phase shifter coupled between said clock signal source and at least one of said top and bottom RF power generators, said phase shifter having a phase shifter control input;top and bottom RF sensor probes coupled to said top and bottom electrodes, respectively;a phase detector having respective inputs coupled to said top and bottom RF sensor probes and having an output;a user interface having an output defining a user-selected phase difference between output signals of said top and bottom RF sensor probes;a feedback controller having respective inputs coupled to said output of said phase detector and said output of said user interface, said feedback controller further having a feedback controller output coupled to said phase shifter control input.2. The reactor of wherein said phase detector comprises:a frequency down conversion stage having respective inputs coupled to said RF sensor probes and respective outputs; anda phase comparator having and output and a pair of inputs coupled to the respective outputs of said frequency down conversion stage.3. The ...

Подробнее
31-10-2013 дата публикации

INDEPENDENT CONTROL OF RF PHASES OF SEPARATE COILS OF AN INDUCTIVELY COUPLED PLASMA REACTOR

Номер: US20130284370A1
Принадлежит:

Plasma distribution is controlled in a plasma reactor by controlling the phase differences between different RF coil antennas, in accordance with a desired or user-selected phase difference, by a phase-lock feedback control loop. 1. A plasma reactor for processing a workpiece/ comprising:a vacuum chamber comprising a ceiling and a side wall, a workpiece support pedestal in said chamber having a workpiece support surface, and plural coil antennas;plural impedance matches and plural RF power amplifiers coupled to respective ones of said plural coil antennas through respective ones of said plural impedance matches, one of said plural RF amplifiers being a reference RF amplifier;a clock signal source coupled to said reference RF power amplifier, and respective phase shifters coupled between said clock signal source and at least respective ones of the RF power amplifiers other than said reference RF amplifier, each said phase shifter having a phase shifter control input;plural RF sensor probes coupled or adjacent respective ones of said plural RF coil antennas, one of said RF sensor probes being a reference RF sensor probe;respective phase detectors each having a phase detector output and a respective pair of phase detector inputs, one of said phase detector inputs being coupled to a respective ones of said plural RF sensor probes other than the reference RF sensor probe, the other one of said phase detector inputs of each of said plural RF sensor probes being coupled to said reference RE sensor probe;a user interface having respective user interface outputs defining a user-selected phase difference between said reference RF sensor probe and a respective one of the remaining RF sensor probes; anda feedback controller stage coupled to (a) respective ones of said phase detector outputs and (b) respective ones of said user interface outputs, said feedback controller further comprising respective controller outputs coupled to respective ones of said phase shifter control ...

Подробнее
07-11-2013 дата публикации

Capacitively coupled plasma source with rf coupled grounded electrode

Номер: US20130292057A1
Принадлежит: Applied Materials Inc

An overhead RF coupling chamber couples RF power to a ceiling electrode of a plasma reactor chamber, the RF coupling chamber having a resonant annular volume defined by coaxial cylindrical conductors, one of which is coupled to an RF power source, the chamber ceiling having an annular gap around the electrode, and the resonant annular volume being aligned with the annular gap so that the resonant annular volume opens into the interior of the main chamber, thereby enhancing the electrical length of the RF coupling chamber.

Подробнее
05-12-2013 дата публикации

IN-SITU VHF CURRENT SENSOR FOR A PLASMA REACTOR

Номер: US20130320998A1
Принадлежит: Applied Materials, Inc.

An RF current probe is encapsulated in a conductive housing to permit its placement inside a plasma reactor chamber. An RF voltage probe is adapted to have a long coaxial cable to permit a measuring device to be connected remotely from the probe without distorting the voltage measurement. 1. An RF current probe comprising:a coaxial cable comprising an inner conductor and an outer conductor, (a) a pick-up coil comprising a conductive winding having a first center tap,', '(b) a primary winding connected across said pick-up coil and having a second center tap connected to said first center tap, and', '(c) a secondary winding having one end connected to said inner conductor and an opposite end coupled to said outer conductor;, 'a circuit comprisinga conductive housing containing said circuit, said conductive housing comprising a front opening facing said pick-up coil, an RF-transparent window covering said front opening, and a rear opening opposite said front opening;wherein said coaxial cable comprises: a near end extending through said rear opening, and a remote end.2. The RF current probe of wherein said first and second center taps are connected to RF ground.3. The RF current probe of wherein said conductive housing is connected to RF ground.4. The RF current probe of wherein said outer conductor of said coaxial cable is in electrical contact with said conductive housing.5. The RF current probe of wherein said conductive housing is cylindrical and coaxial with the near end of said coaxial cable.6. The RF current probe of wherein said front opening is coaxial with said conductive housing.7. The RF current probe of further comprising a termination resistor at said remote end connected between said inner and outer conductors.8. The RF current probe of wherein said termination resistor has a resistance of 50 Ohms.9. The RF current probe of wherein said coaxial cable is connectable at said remote end to a measurement device.10. The RF current probe of wherein said ...

Подробнее
23-01-2014 дата публикации

Symmetrical inductively coupled plasma source with symmetrical flow chamber

Номер: US20140020835A1
Принадлежит: Applied Materials Inc

A plasma reactor has an overhead multiple coil inductive plasma source with symmetric RF feeds and a symmetrical chamber exhaust with plural struts through the exhaust region providing access to a confined workplace support. A grid may be included for masking spatial effects of the struts from the processing region.

Подробнее
23-01-2014 дата публикации

INDUCTIVELY COUPLED PLASMA SOURCE WITH PLURAL TOP COILS OVER A CEILING AND AN INDEPENDENT SIDE COIL

Номер: US20140020836A1
Принадлежит:

A plasma reactor for processing a workplace includes a reactor chamber having a ceiling and a sidewali and a workplace support facing the ceiling and defining a processing region, and a pair of concentric independently excited RF coil antennas overlying the ceiling and a side RF coil concentric with the side wall and facing the side wall below the ceiling, and being excited independently. 1. A plasma reactor comprising:an axially symmetrical side wall, a ceiling overlying said side wall and a workplace support, said side wall, said ceiling and said workpiece support defining a processing region;an inner coil antenna disposed on an external side of said ceiling and overlying a first radial zone of said processing region;a middle coil antenna surrounding said inner coil antenna and disposed on said external side of said ceiling and overlying a second radial zone of said processing region surrounding said first radial zone; andan outer coil antenna below a plane of said ceiling and surrounding said side wall.2. The plasma reactor of wherein said inner claim 1 , middle and outer coil antennas are coupled to receive RF power.3. The plasma reactor of wherein said side wall claim 1 , said inner coil antenna claim 1 , said middle coil antenna and said outer coil antenna are coaxial.4. The plasma reactor of further comprising an exhaust chamber assembly claim 3 , said exhaust chamber assembly comprising:an exhaust chamber wall defining an evacuation region at a side of said workpiece support opposite said processing region, said exhaust chamber assembly having an exhaust pump port symmetrically located relative to said axis of symmetry;plural axial exhaust passages between said processing region and said evacuation region, and symmetrically distributed with respect to said axis of symmetry.5. The plasma reactor of further comprising a lift mechanism coupled to said workpiece support.6. The plasma reactor of wherein:said ceiling comprises a disk-shaped dielectric window ...

Подробнее
23-01-2014 дата публикации

INDUCTIVELY COUPLED PLASMA SOURCE WITH MULTIPLE DIELECTRIC WINDOWS AND WINDOW-SUPPORTING STRUCTURE

Номер: US20140020837A1
Принадлежит:

A plasma reactor enclosure has a metallic portion and a dielectric portion of plural dielectric windows supported on the metallic portion, each of the dielectric windows extending around an axis of symmetry. Plural concentric coil antennas are disposed on an external side of the enclosure, respective ones of the coil antennas facing respective ones of the dielectric windows. 1. A plasma reactor comprising:an enclosure having an axis of symmetry and a workpiece support within the enclosure, said workpiece support and said enclosure defining a processing region;said enclosure comprising a metallic portion and a dielectric portion comprising plural dielectric windows supported on said metallic portion, each of said dielectric windows extending around said axis of symmetry, said enclosure having a diameter greater than the diameter of at least one of said dielectric windows; andplural concentric coil antennas disposed on an external side of said enclosure, respective ones of said coil antennas facing respective ones of said dielectric windows.2. The plasma reactor of wherein:a first one of said dielectric windows comprises a disk-shaped dielectric window, said enclosure having a diameter greater than the diameter of said disk-shaped dielectric window.3. The plasma reactor of wherein:a second one of said dielectric windows comprises a cylindrical dielectric window.4. The plasma reactor of wherein said cylindrical dielectric window is below a plane of said disk-shaped dielectric window.5. The plasma reactor of wherein said metallic portion comprises:a cylindrical chamber body wall surrounding said cylindrical dielectric window;an annular top gas plate comprising a peripheral portion supported on said chamber body wall, and a central opening through said annular top gas plate, wherein said disk-shaped dielectric window comprises a circular edge portion supported on an edge of said central opening.6. The plasma reactor of wherein said disk-shaped dielectric window has a ...

Подробнее
23-01-2014 дата публикации

SYMMETRICAL INDUCTIVELY COUPLED PLASMA SOURCE WITH COAXIAL RF FEED AND COAXIAL SHIELDING

Номер: US20140020838A1
Принадлежит:

A plasma reactor has an overhead multiple coil inductive plasma source with symmetric RF feeds and symmetrical RF shielding around the symmetric RF feeds. 1. A plasma reactor comprising:a window assembly;inner, middle and outer coil antennas adjacent said window assembly, and inner, middle and outer current distributors coupled to said inner, middle and outer coil antennas, respectively;a ceiling plate overlying said window assembly and first, second and third RF power terminals at said ceiling plate;first, second and third axial RF power feeds connected between respective ones of said first, second and third RF power terminals and respective ones of said inner, middle and outer current distributors;wherein said third axial RF power feed comprises a hollow axial outer RF power distribution cylinder surrounding said first and second axial RF power feeds.2. The plasma reactor of wherein said second axial RF power feed comprises a hollow axial middle RF power distribution cylinder surrounding said first axial RF power feed.3. The plasma reactor of wherein said first axial RF power feed comprises a center RF connection rod claim 2 , and wherein said center RF connection rod claim 2 , said hollow middle RF power distribution cylinder and said outer RF distribution cylinder are coaxial.4. The plasma reactor of wherein said inner claim 3 , middle and outer coil antennas are coaxial with said center RF connection rod.5. The plasma reactor of further comprising:a plenum plate spaced from and below said ceiling plate and comprising a central opening.6. The plasma reactor of wherein said outer RF distribution cylinder extends through said central opening with a gap between said plenum plate and said outer RF distribution cylinder claim 5 , said plasma reactor further comprising:a radial flange extending from said outer RF distribution cylinder and overlying said gap.7. The plasma reactor of further comprising:plural radial middle arms extending outwardly from said middle RF ...

Подробнее
23-01-2014 дата публикации

INDUCTIVELY COUPLED PLASMA SOURCE WITH SYMMETRICAL RF FEED

Номер: US20140020839A1
Принадлежит:

A plasma reactor has an overhead multiple coil inductive plasma source with RF feeds arranged in equilateral symmetry. 1. A plasma reactor comprising:a window assembly;inner, middle and outer coil antennas adjacent said window assembly, and inner, middle and outer current distributors coupled to said inner, middle and outer coil antennas, respectively;a ceiling plate overlying said window assembly and first, second and third RF power terminals at said ceiling plate;first, second and third axial RF power feeds connected between respective ones of said first, second and third RF power terminals and respective ones of said inner, middle and outer current distributors;wherein said first and second axial RF power feeds comprise first and second RF connection rods respectively, and said third axial RF power feed comprises an outer RF power distribution cylinder surrounding said first and second RF connection rods.2. The plasma reactor of further comprising a middle RF distribution ring below said outer RF distribution cylinder and coupled to said second RF connection rod.3. The plasma reactor of further comprising:plural radial middle arms extending outwardly from said middle RF distribution ring, and plural axial middle legs extending from said plural radial middle arms to spaced apart locations on said middle current distributor.4. The plasma reactor of further comprising:plural radial outer arms extending outwardly from said outer RF distribution cylinder and plural axial outer legs extending from said plural radial arms to spaced apart locations on said outer current distributor.5. The plasma reactor of further comprising a ground plate below said ceiling plate and above said middle current distributor claim 4 , said plural axial middle legs extending through said ground plate.6. The plasma reactor of wherein said plural axial outer legs extend through said ground plate.7. The plasma reactor of wherein:each one of said inner, middle and outer coil antennas comprises ...

Подробнее
23-01-2014 дата публикации

SYMMETRICAL INDUCTIVELY COUPLED PLASMA SOURCE WITH SIDE RF FEEDS AND RF DISTRIBUTION PLATES

Номер: US20140021861A1
Принадлежит: Applied Materials, Inc.

A plasma reactor has an overhead multiple coil inductive plasma source with symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source. 1. A plasma reactor comprising:a window assembly;inner, middle and outer coil antennas adjacent said window assembly having a common axis;a current distribution ring adjacent and coupled to said middle coil antenna;a first conductive plate lying in a plane above said inner, middle and outer coil antennas, a first plurality of axial rods connected between a peripheral annular zone of said first conductive plate and said current distribution ring;a conductive ground plate in a plane between said first conductive plate and said current distribution ring; anda first radial conductive feed rod lying in a plane above said conductive ground plate and having an inner end coupled to a center of said first conductive plate and an outer end comprising an RF feed terminal.2. The plasma reactor of further comprising:a bottom current distribution ring adjacent and coupled to said outer coil antenna;a second conductive plate lying in a plane above said first conductive plate, and a second RF feed terminal connected to a center of said second conductive plate;a second plurality of axial rods connected between a peripheral annular zone of said second conductive plate and said bottom current distribution ring.3. The plasma reactor of further comprising:an inner current distributor adjacent and coupled to said inner coil antenna;a second radial conductive feed rod lying in a plane above said conductive ground plate and having an inner end coupled to a center of said inner current distributor and an outer end comprising a third RF feed terminal.4. The plasma reactor of further comprising:a first axial center rod connected between said inner end of said first radial conductive feed rod and said center of said first conductive plate; anda second axial ...

Подробнее
06-02-2014 дата публикации

DIFFERENTIAL COUNTER ELECTRODE TUNING IN A PLASMA REACTOR WITH AN RF-DRIVEN WORKPIECE SUPPORT ELECTRODE

Номер: US20140034239A1
Принадлежит: Applied Materials, Inc.

A plasma reactor includes an RF-driven wafer support electrode underlying a process zone and two (or more) counter electrodes overlying the process zone and facing different portions of the process zones, two (or more) variable reactances connected between respective ones of the counter electrodes and ground, and a controller governing the variable reactances to control distribution of a plasma parameter such as plasma ion density or ion energy. 1. A plasma reactor comprising:a chamber comprising an enclosure including a ceiling;a workpiece support electrode facing said ceiling and a workpiece support surface covering said workpiece support electrode;an RF power generator and an RF impedance match coupled between said RF power generator and said workpiece support electrode;inner and outer counter electrodes near said ceiling and facing said workpiece support electrode, said outer counter electrode having a radius exceeding a radius of said inner counter electrode;a first variable reactance connected between said inner counter electrode and ground, and a second variable reactance connected between said outer counter electrode and ground; anda controller coupled to said first and second variable reactances.2. The plasma reactor of wherein said controller governs radial distribution of a plasma parameter by separately controlling reactances of said first and second variable reactances.3. The plasma reactor of wherein said plasma parameter comprises one of plasma ion density or plasma ion energy.4. The plasma reactor of wherein said outer counter electrode comprises a ceiling electrode claim 1 , said plasma reactor further comprising:an insulating ceiling puck at least partially covering said ceiling electrode, wherein said inner counter electrode comprises a conductive element within said insulating ceiling puck.5. The plasma reactor of wherein said conductive element within said insulating ceiling puck comprises a conductive mesh.6. The plasma reactor of further ...

Подробнее
13-03-2014 дата публикации

DIFFERENTIAL COUNTER ELECTRODE TUNING IN A PLASMA REACTOR WITH AN RF-DRIVEN CEILING ELECTRODE

Номер: US20140069584A1
Принадлежит: Applied Materials, Inc.

A plasma reactor includes an RF-driven ceiling electrode overlying a process zone and two (or more) counter electrodes underlying the process zone and facing different portions of the process zones, two (or more) variable reactances connected between respective ones of the counter electrodes and ground, and a controller governing the variable reactances to control distribution of a plasma parameter such as plasma ion density or ion energy. 1. A plasma reactor comprising:a chamber comprising an enclosure including a floor;a ceiling electrode facing said floor and a workpiece support having a workpiece support surface facing said ceiling electrode;an RF power generator and an RF impedance match coupled between said RF power generator and said ceiling electrode;inner and outer counter electrodes facing said ceiling electrode, said outer counter electrode having a radius exceeding a radius of said inner counter electrode;a first variable reactance connected between said inner counter electrode and ground, and a second variable reactance connected between said outer counter electrode and ground; anda controller coupled to said first and second variable reactances.2. The plasma reactor of wherein said controller governs radial distribution of a plasma parameter by separately controlling reactances of said first and second variable reactances.3. The plasma reactor of wherein said plasma parameter comprises one of plasma ion density or plasma ion energy.4. The plasma reactor of wherein said workpiece support comprises:an insulating puck forming said workpiece support surface, said inner counter electrode comprising a conductive element within said insulating puck,a cathode underlying said puck, said outer counter electrode comprising said cathode.5. The plasma reactor of wherein said conductive element within said insulating puck comprises a conductive mesh.6. The plasma reactor of further comprising a coaxial conductor assembly extending through said floor and comprising: ...

Подробнее
07-01-2016 дата публикации

FEOL LOW-K SPACERS

Номер: US20160005833A1
Принадлежит:

Transistors and their methods of formation are described. Low dielectric constant material (e.g. a void) is placed between an elongated gate and a contact to increase the attainable switching speed of the gate of the device. An elongated structural slab of silicon nitride is temporarily positioned on both sides of the gate. Silicon oxide is formed over the silicon nitride slabs and the gate. Contacts are formed through the silicon oxide. The silicon oxide is selectively etched back to expose the silicon nitride slab. A portion or all the silicon nitride slab is removed and replaced with low-K dielectric or any dielectric with an air-gap to enable higher switching speed of the transistor. The highly-selective silicon nitride etch uses remotely excited fluorine and a very low electron temperature in the substrate processing region. 1. A transistor , comprisinga gate formed around a semiconducting fin extending from a semiconducting substrate, wherein the gate comprises a conducting portion; andtwo low-k dielectric regions disposed on both sides of the gate, wherein one of the two low-k dielectric regions is disposed laterally between the gate and a source region and the other of the two low-k dielectric regions is disposed laterally between the gate and a drain region.2. The transistor of wherein each of the two low-k dielectric regions contacts the gate.3. The transistor of wherein the gate extends around the entirety of the semiconducting fin.4. The transistor of wherein one of the two low-k dielectric regions extends all the way around the semiconducting fin.5. The transistor of wherein at least one of the two low-k dielectric regions comprises an air gap extending at least half-way across a width of the low-k-dielectric region.6. The transistor of wherein a dielectric constant of at least one of the two low-k dielectric regions is less than three.7. (canceled)8. A transistor prepared by the process of:providing a substrate having a gate formed all the way around a ...

Подробнее
02-01-2020 дата публикации

METHODS AND APPARATUS FOR ELECTRON BEAM ETCHING PROCESS

Номер: US20200006036A1
Принадлежит:

Embodiments described herein relate to apparatus and methods for performing electron beam etching process. In one embodiment, a method of etching a substrate includes delivering a process gas to a process volume of a process chamber, applying a RF power to an electrode formed from a high secondary electron emission coefficient material disposed in the process volume, generating a plasma comprising ions in the process volume, bombarding the electrode with the ions to cause the electrode to emit electrons and form an electron beam, applying a negative DC power to the electrode, accelerating electrons emitted from the bombarded electrode toward a substrate disposed in the process chamber, and etching the substrate with the accelerated ions. 1. A method of etching a substrate , comprising:delivering a process gas to a process volume of a process chamber;applying a RF power to an electrode formed from a high secondary electron emission coefficient material disposed in the process volume;generating a plasma comprising ions in the process volume;bombarding the electrode with the ions to cause the electrode to emit electrons and form an electron beam;applying a negative DC power to the electrode;accelerating electrons emitted from the bombarded electrode toward a substrate disposed in the process chamber; andetching the substrate with the accelerated ions.2. The method of claim 1 , wherein the RF power has a low frequency of about 2 MHz.3. The method of claim 1 , wherein the RF power has a high frequency of about greater than 60 MHz.4. The method of claim 1 , wherein accelerating the electrons emitted from the electrode comprises:generating a magnetic field in the process volume of the process chamber;5. The method of further comprising:altering a trajectory of the electrons in the process volume.6. The method of claim 1 , wherein applying the RF power to the electrode and applying the negative DC power to the electrode are performed sequentially.7. The method of claim 1 , ...

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING ASSEMBLY USING PULSED-VOLTAGE AND RADIO-FREQUENCY POWER

Номер: US20220037119A1
Принадлежит:

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing. 1. A plasma processing chamber , comprising: a substrate supporting surface;', 'a support base;', 'a first biasing electrode that is disposed between the support base and the substrate supporting surface;', 'a first dielectric layer is disposed between the support base and the first biasing electrode; and', 'a second dielectric layer is disposed between the first biasing electrode and the substrate supporting surface; and, 'a substrate support assembly, comprisinga pulsed-voltage waveform generator electrically coupled to the first biasing electrode through a first electrical conductor, and is configured to establish a pulsed-voltage waveform at the first biasing electrode;a radio frequency filter assembly electrically coupled between the pulsed-voltage waveform generator and the first electrical conductor;a radio frequency generator electrically coupled to the support base or the first biasing electrode through a second electrical conductor, and is configured to establish a radio frequency voltage waveform at the support base or the first biasing electrode; anda pulsed-voltage filter assembly electrically coupled between the radio frequency generator and the second electrical conductor.2. The plasma processing chamber of claim 1 , further ...

Подробнее
03-02-2022 дата публикации

Pulsed-voltage hardware assembly for use in a plasma processing system

Номер: US20220037120A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing.

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING USING PULSED-VOLTAGE AND RADIO-FREQUENCY POWER

Номер: US20220037121A1
Принадлежит:

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing. 1. A method of processing of a substrate in a plasma processing chamber , comprising:delivering, by use of a radio frequency generator, a radio frequency signal to a support base disposed within a substrate support assembly, wherein the radio frequency generator is electrically coupled to the support base through a pulsed voltage filter assembly; andestablishing, by use of a first pulsed-voltage waveform generator, a first pulsed voltage waveform at a biasing electrode disposed within the substrate support assembly, wherein the first pulsed-voltage waveform generator is electrically coupled to the biasing electrode through a first radio frequency filter assembly, the biasing electrode is disposed between the support base and a substrate supporting surface of the substrate support assembly,', 'a first dielectric layer is disposed between the support base and the biasing electrode, and', 'a second dielectric layer is disposed between the biasing electrode and the substrate supporting surface., 'wherein'}2. The method of claim 1 , wherein the first pulsed voltage waveform comprises a series of repeating cycles claim 1 , such thata waveform within each cycle has a first portion that occurs during a first time interval and a second portion that occurs ...

Подробнее
17-01-2019 дата публикации

PROCESS CHAMBER FOR FIELD GUIDED EXPOSURE AND METHOD FOR IMPLEMENTING THE PROCESS CHAMBER

Номер: US20190018322A1
Принадлежит:

A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein. 1. A processing chamber comprising:a chamber body;a substrate support disposed in the chamber body; and a top plate disposed above the substrate support;', 'a bottom plate disposed below the substrate support; and', 'a plurality of electrodes connecting the top plate to the bottom plate, the electrodes, upon application of a voltage thereto, to generate an electric field, wherein the plurality of electrodes are displaced vertically to electrically connect and disconnect the top plate to the bottom plate and are configured to split and move vertically to electrically connect and disconnect the top plate to the bottom plate., 'an electrode assembly, the electrode assembly comprising2. The processing chamber of claim 1 , wherein the electrode assembly is configured to couple to a power source.3. The processing chamber of claim 1 , wherein the bottom plate further comprises an opening therethough claim 1 , wherein a portion of the substrate support is disposed through the opening.4. The processing chamber of claim 1 , wherein the plurality of electrodes is in a cylindrical arrangement and at least one electrode of the plurality of electrodes is operable to disengage from the bottom plate.5. The processing chamber of claim 1 , wherein the plurality of electrodes are disposed inside the chamber body.6. The ...

Подробнее
28-01-2016 дата публикации

METHOD AND APPARATUS FOR CONTROLLING A MAGNETIC FIELD IN A PLASMA CHAMBER

Номер: US20160027613A1
Принадлежит:

Methods and apparatus for controlling a magnetic field in a plasma chamber are provided herein. In some embodiments, a process chamber liner may include a cylindrical body, an inner electromagnetic cosine-theta (cos θ) coil ring including a first plurality of inner coils embedded in the body and configured to generate a magnetic field in a first direction, and an outer electromagnetic cosine-theta (cos θ) coil ring including a second plurality of outer coils embedded in the body and configured to generate a magnetic field in a second direction orthogonal to the first direction, wherein the outer electromagnetic cos θ coil ring is disposed concentrically about the inner electromagnetic cos θ coil ring. 1. A process chamber liner , comprising:a cylindrical body;an inner electromagnetic cosine-theta (cos θ) coil ring including a first plurality of inner coils embedded in the body and configured to generate a magnetic field in a first direction; andan outer electromagnetic cosine-theta (cos θ) coil ring including a second plurality of outer coils embedded in the body and configured to generate a magnetic field in a second direction orthogonal to the first direction, wherein the outer electromagnetic cos θ coil ring is disposed concentrically about the inner electromagnetic cos θ coil ring.2. The process chamber liner of claim 1 , wherein the cylindrical body is electrically grounded.3. The process chamber liner of claim 1 , wherein the cylindrical body is a doubled walled body including an inner wall and an outer wall.4. The process chamber liner of claim 3 , wherein the inner electromagnetic cos θ coil ring is embedded in the inner wall claim 3 , and wherein the outer electromagnetic cos θ coil ring is embedded in the outer wall.5. The process chamber liner of claim 1 , wherein the cylindrical body is fabricated from a conductive metal material.6. The process chamber liner of claim 1 , wherein the first plurality of inner coils includes a first set of inner cos θ coils ...

Подробнее
28-01-2016 дата публикации

System and method for selective coil excitation in inductively coupled plasma processing reactors

Номер: US20160027616A1
Принадлежит: Applied Materials Inc

Spatial distribution of RF power delivered to plasma in a processing chamber is controlled using an arrangement of primary and secondary inductors, wherein the current through the secondary inductors affects the spatial distribution of the plasma. The secondary inductors are configured to resonate at respectively different frequencies. A first secondary inductor is selectively excited to resonance, during a first time period within a duty cycle, by delivering power to a primary inductor at the resonant frequency of the first secondary inductor. A second secondary inductor is selectively excited to resonance, during a second time period within a duty cycle, by delivering power to a primary inductor at the resonant frequency of the second secondary inductor. The secondary inductors are isolated from one another and terminated such that substantially all current that passes through them and into the plasma results from mutual inductance with a primary inductor.

Подробнее
30-01-2020 дата публикации

ION-ION PLASMA ATOMIC LAYER ETCH PROCESS

Номер: US20200035454A1
Принадлежит:

A method of etching uses an overhead electron beam source that generates an ion-ion plasma for performing an atomic layer etch process. 1. A method of processing a workpiece in an electron beam plasma reactor , said method comprising:dividing a chamber of said reactor into an upper chamber and a lower chamber by a grid filter, and supporting a workpiece in said lower chamber with a surface of said workpiece facing said grid filter along an axis;supplying a gas into said chamber;coupling RF source power into said upper chamber or to an electrode of said upper chamber or to an electrode support, to generate a plasma including beam electrons in said upper chamber to produce an electron beam having a beam propagation direction corresponding to said axis;allowing flow of at least a portion of said beam electrons from said upper chamber to said lower chamber while preventing flow of at least a portion of non-beam electrons and plasma ions from said upper chamber to said lower chamber; andallowing said electron beam to produce a plasma in said lower chamber.2. The method of claim 1 , wherein the beam electrons impinge the electronegative process gas in the lower plasma chamber to form a plasma in the lower plasma chamber that has a lower electron temperature than the plasma in the upper plasma chamber and provides an electronegative ion-ion plasma3. The method of claim 1 , wherein the beam electrons are emitted by the electrode of said upper chamber to form an electron beam having an electron beam direction substantially perpendicular to the interior surface of the electrode.4. The method of claim 1 , further comprising supplying a substantially inert gas into said upper chamber and supplying a molecular process gas into said lower chamber.5. The method of claim 1 , further comprising coupling a bias voltage to said workpiece.6. The method of claim 1 , wherein said top electrode comprises one of silicon claim 1 , carbon claim 1 , silicon carbide claim 1 , silicon oxide ...

Подробнее
12-02-2015 дата публикации

Multizone hollow cathode discharge system with coaxial and azimuthal symmetry and with consistent central trigger

Номер: US20150040829A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention relate to hollow cathode plasma sources with improved uniformity. One embodiment of the present invention provides a hollow cathode assembly having a conductive rod disposed in an inner volume along a central axis of a hollow cathode. The conductive rod being closest to the ground electrode and having the sharpest features of the hollow cathode becomes the point of plasma ignition. Since the conductive rod is positioned along the central axis, the plasma is ignited at symmetrically about the central axis thus improving plasma uniformity and reducing skews.

Подробнее
12-02-2015 дата публикации

THREE-DIMENSIONAL (3D) PROCESSING AND PRINTING WITH PLASMA SOURCES

Номер: US20150042017A1
Принадлежит: Applied Materials, Inc.

Embodiments include systems, apparatuses, and methods of three-dimensional plasma printing or processing. In one embodiment, a method includes introducing chemical precursors into one or more point plasma sources, generating plasma in the one or more point plasma sources from the chemical precursors with one or more power sources, and locally patterning a substrate disposed over a stage with the generated plasma by moving the stage with respect to the one or more point plasma sources. 1. A method of three-dimensional plasma printing or processing , the method comprising:introducing chemical precursors into one or more point plasma sources;generating plasma in the one or more point plasma sources from the chemical precursors with one or more power sources;locally patterning a substrate disposed over a stage with the generated plasma by moving the stage with respect to the one or more point plasma sources.2. The method of claim 1 , wherein moving the stage with respect to the one or more point plasma sources comprises one or more of:moving the stage horizontally, moving the stage vertically, rotating the stage, and tilting the stage with respect to the one or more point plasma sources.3. The method of claim 1 , further comprising:moving the one or more point plasma sources with respect to the stage.4. The method of claim 3 , wherein moving the one or more point plasma sources with respect to the stage comprises one or more of:moving the one or more point plasma sources horizontally, moving the stage vertically, rotating the stage, and tilting the stage with respect to the one or more point plasma sources.5. The method of claim 1 , further comprising:sequentially introducing different chemical precursors into the one or more point plasma sources to generate layers of different materials on the substrate.6. The method of claim 1 , further comprising:simultaneously introducing a chemical precursor into one of the one or more point plasma sources and a different chemical ...

Подробнее
04-02-2021 дата публикации

SHEATH AND TEMPERATURE CONTROL OF PROCESS KIT

Номер: US20210035844A1
Принадлежит:

Embodiments of a process kit are provided herein. In some embodiments, a process kit for use in a substrate processing chamber includes: a ceramic ring having an upper surface and a lower surface, wherein the ceramic ring includes a chucking electrode disposed in the ceramic ring and a heating element disposed in the ceramic ring; and an edge ring disposed on the ceramic ring. 1. A process kit for use in a substrate processing chamber , comprising:a ceramic ring having an upper surface and a lower surface, wherein the ceramic ring includes one or more chucking electrodes disposed in the ceramic ring and a heating element disposed in the ceramic ring; andan edge ring disposed on the ceramic ring.2. The process kit of claim 1 , wherein the one or more chucking electrodes are disposed between the upper surface and the heating element.3. The process kit of claim 1 , further comprising a gas channel extending from the lower surface to the upper surface of the ceramic ring.4. The process kit of claim 1 , wherein the ceramic ring comprises aluminum nitride (AlN) or aluminum oxide (AlO).5. The process kit of claim 1 , wherein the ceramic ring includes a notch at an upper interior edge.6. The process kit of claim 1 , further comprising a cooling plate coupled to the ceramic ring.7. The process kit of claim 6 , further comprising a bonding layer disposed between the ceramic ring and the cooling plate.8. A substrate support for use in a substrate processing chamber claim 6 , comprising:a ceramic plate having a first side configured to support a substrate and a second side opposite the first side, wherein the ceramic plate includes an electrode embedded in the ceramic plate;a first cooling plate coupled to the second side of the ceramic plate;a ceramic ring disposed about the ceramic plate and having a first side and a second side opposite the first side, wherein the ceramic ring includes one or more chucking electrodes and a heating element disposed in the ceramic ring, and ...

Подробнее
11-02-2016 дата публикации

ELECTRON BEAM PLASMA SOURCE WITH ROTATING CATHODE, BACKSIDE HELIUM COOLING AND LIQUID COOLED PEDESTAL FOR UNIFORM PLASMA GENERATION

Номер: US20160042961A1
Принадлежит:

A plasma reactor has an electron beam source as a plasma source and a rotation motor coupled to rotate the workpiece support about a rotation axis that is transverse to an emission path of said electron beam source. 1. A plasma reactor for processing a workpiece , comprising:a chamber and a workpiece support in said chamber having a workpiece support surface, said chamber comprising a ceiling facing said workpiece support surface;a process gas source having a gas flow path to an interior of said chamber;an electron beam source having an electron beam emission path overlying said workpiece support surface; anda rotation motor coupled to said workpiece support, said workpiece support being rotatable about a rotation axis that is transverse to said electron beam emission path.2. The plasma reactor of further comprising a non-rotating outer housing surrounding said workpiece support and a bearing assembly between said non-rotating outer housing and said workpiece support.3. The plasma reactor of further comprising:a first plurality of utility channels external of said workpiece support extending toward said workpiece support;a second plurality of utility channels extending into said workpiece support; anda rotatable coupling assembly connecting individual ones of said first plurality utility channels with corresponding ones of said second plurality of utility channels.4. The plasma reactor of wherein:said first plurality of utility channels comprises plural sealed flow paths and plural electrical conductors; andsaid second plurality of utility channels comprises plural sealed flow paths and plural electrical conductors.5. The plasma reactor of wherein said electron beam source produces a sheet-like electron beam propagating along said electron beam emission path and having a width of at least a diameter of said workpiece support surface.6. The plasma reactor of further comprising plural heaters in respective locations on said workpiece support claim 4 , plural heater ...

Подробнее
18-02-2016 дата публикации

METHOD OF REAL TIME IN-SITU CHAMBER CONDITION MONITORING USING SENSORS AND RF COMMUNICATION

Номер: US20160048111A1
Принадлежит:

Plural sensors on an interior surface of a reactor chamber are linked by respective RF communication channels to a hub inside the reactor chamber, which in turn is linked to a process controller outside of the chamber. 1. A method of processing a workpiece in a chamber of a reactor having a process controller outside of said chamber and governing process parameters in said chamber , comprising:providing plural wireless sensors distributed across one or more internal surfaces of said chamber;providing an RF communication hub inside said chamber and establishing respective independent RF communication channels between respective ones of said sensors and said RF communication hub;providing a communication path between said RF communication hub and said process controller; andcommunicating measurements from respective ones of said sensors to said process controller through respective ones of said RF communication channels.2. The method of wherein said communicating comprises repetitively sampling outputs from a group of said sensors.3. The method of wherein said communication path comprises a wire conductor.4. The method of wherein providing a communication path between said RF communication hub and said process controller comprises:providing an external wireless communication receiver outside of said chamber and establishing an RF communication channel between said external wireless communication receiver and said RF communication hub.5. The method of wherein said providing a communication path between said RF communication hub and said process controller further comprises providing an RF window in a wall of said chamber.6. The method of further comprising changing process parameters under control of said process controller to conform outputs of selected ones of said sensors to desired values.7. The method of wherein said process parameters comprise one or more of: AC heater power claim 6 , RF power supplied to an RF power applicator claim 6 , coolant flow rate ...

Подробнее
14-02-2019 дата публикации

DISTRIBUTED ELECTRODE ARRAY FOR PLASMA PROCESSING

Номер: US20190051496A1
Принадлежит:

Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected. 1. A plasma source assembly , comprising:a reference electrode having an electrode surface, wherein the electrode surface has a central axis that is substantially perpendicular to the electrode surface at a center point; and each of the discrete electrodes have a length that is aligned substantially parallel to a first direction that is oriented at an angle greater than zero to the first plane, and', 'each of the discrete electrodes includes an end that is disposed a distance from the electrode surface, wherein the distance is measured in a direction that is substantially perpendicular to the first plane., 'an array of discrete electrodes that are arranged in a pattern that is distributed in at least two non-parallel directions that are both substantially parallel to a first plane, which is oriented in a substantially perpendicular orientation to the central axis, wherein2. The plasma source assembly of claim 1 , wherein at least a portion of each of the discrete electrodes in the array of discrete electrodes extends through an opening formed through the reference electrode.3. The plasma source assembly of claim 2 ...

Подробнее
22-02-2018 дата публикации

Symmetric VHF Source for a Plasma Reactor

Номер: US20180053630A1
Принадлежит:

The disclosure pertains to a capacitively coupled plasma source in which VHF power is applied through an impedance-matching coaxial resonator having a symmetrical power distribution. 1. A plasma reactor comprising:a vacuum chamber enclosure and a center electrode; (a) a hollow inner conductive cylinder coaxial with said center electrode and having a bottom edge contacting said center electrode;', '(b) a hollow outer conductive cylinder coaxial with and surrounding said inner conductive cylinder and having a bottom edge insulated from said center electrode, said inner and outer conductive cylinders having respective circular top edges;', '(c) an annular conductor extending between and electrically contacting said respective circular top edges of said inner and outer conductive cylinders;', '(d) a hollow center conductive cylinder coaxial with said inner and outer conductive cylinders and located between said inner and outer conductive cylinders, and having a bottom edge contacting said center electrode such that said inner conductive cylinder and center conductive cylinder are commonly electrically connected to said center electrode, said center conductive cylinder having a top edge facing and spaced from said annular conductor by an axial gap length; and, 'a coaxial resonator comprisinga VHF power generator coupled to said center conductor.2. The reactor of further comprising a connection between said outer cylindrical conductor and ground.3. The reactor of wherein a sum of the axial lengths of said inner and outer conductive cylinders and a radial length of said annular conductor is at least approximately equal to an integral fraction of a wavelength of the frequency of said VHF generator.4. The reactor of wherein said integral fraction is one-half.5. The reactor of wherein said axial gap length is selected to optimize resonance of said coaxial resonator at the frequency of said VHF generator.6. The reactor of wherein said center cylindrical conductor has a radius ...

Подробнее
22-02-2018 дата публикации

Low Electron Temperature Etch Chamber with Independent Control Over Plasma Density, Radical Composition Ion Energy for Atomic Precision Etching

Номер: US20180053631A1
Принадлежит:

The disclosure concerns a method of operating a plasma reactor having an electron beam plasma source for independently adjusting electron beam energy, plasma ion energy and radical population. The disclosure further concerns an electron beam source for a plasma reactor having an RF-driven electrode for producing the electron beam. 18-. (canceled)9. A plasma reactor for processing a workpiece , comprising:an electron beam gun enclosure having a beam outlet opening at one end of said enclosure and enclosing an electron emission electrode at an opposite end of said enclosure, said electron emission electrode having an electron emission surface facing said beam outlet, said beam outlet and said electron emission electrode defining a beam propagation path between them;an RF power source and an RF power conductor coupled between said RF power source and said electron emission electrode; anda processing chamber having a beam entry port aligned with said beam outlet, a workpiece support in said processing chamber for supporting a workpiece in a plane parallel with said beam propagation path, and a gas distributor coupled to said processing chamber.10. The plasma reactor of wherein said RF power source comprises a first RF power generator and an impedance match coupled between said first RF power generator and said electron emission electrode.11. The plasma reactor of wherein said impedance match comprises a dual frequency impedance match claim 10 , said power source further comprising a second RF power generator having a frequency different from a frequency of said first RF power generator.12. The plasma reactor of wherein said first RF power generator produces a low frequency and said second RF power generator produces a high frequency.13. The plasma reactor of further comprising a gas supply having a feed path into said electron beam gun enclosure.14. The plasma reactor of further comprising an ion-blocking filter in said beam outlet opening claim 13 , said ion-blocking ...

Подробнее
10-03-2022 дата публикации

In-situ semiconductor processing chamber temperature apparatus

Номер: US20220076972A1
Принадлежит: Applied Materials Inc

Methods and systems for in-situ temperature control are provided. The method includes delivering a temperature-sensing disc into a processing region of a processing chamber without breaking vacuum. The temperature-sensing disc includes one or more cameras configured to perform IR-based imaging. The method further includes measuring a temperature of at least one region of at least one chamber surface in the processing region of the processing chamber by imaging the at least one surface using the temperature-sensing disc. The method further includes comparing the measured temperature to a desired temperature to determine a temperature difference. The method further includes adjusting a temperature of the at least one chamber surface to compensate for the temperature difference.

Подробнее
21-02-2019 дата публикации

DISTRIBUTED ELECTRODE ARRAY FOR PLASMA PROCESSING

Номер: US20190057840A1
Принадлежит:

Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected. 1. A plasma source assembly , comprising:a power distribution element connected to an array of discrete electrodes to provide RF power to the array of discrete electrodes, wherein the array of discrete electrodes are arranged in a pattern that is distributed in at least two non-parallel directions that are both substantially parallel to a first plane;a first circulator that includes a first, a second and a third port, the second port providing RF power at a first position to the power distribution element via a first matching network, and the third port connected to a first dummy load;a second circulator that includes first, second and third ports, the second port providing RF power at a second position on the power distribution element via a second matching network, and the third port connected to a second dummy load;a first RF generator that provides RF power to the first port of the first circulator at a first frequency; anda second RF generator that provides RF power to the first port of the second circulator at a second frequency.2. The plasma source assembly of claim 1 , further comprising a reference ...

Подробнее
21-02-2019 дата публикации

DISTRIBUTED ELECTRODE ARRAY FOR PLASMA PROCESSING

Номер: US20190057841A1
Принадлежит:

Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected. 1. A method of forming a plasma in a processing region of a process chamber , comprising:delivering RF power to a plurality of discrete electrodes from an RF generator, wherein the RF power is delivered from the RF generator to a first connection point on a power distribution element that is coupled to the plurality of discrete electrodes; andabsorbing a portion of the delivered RF power in a first matching network that comprises a resistive element, wherein the first matching network is disposed between a ground and a second connection point on the power distribution element.2. The method of claim 1 , wherein the first and second connection points are each positioned at an edge of the power distribution element claim 1 , and the second connection point is positioned on a substantially opposite edge of the power distribution element from the first connection point.3. The method of claim 1 , further comprising:a second matching network that is positioned between the RF generator and the first connection point on the power distribution element.4. The method of claim 3 , further comprising;delivering the RF power to ...

Подробнее
21-02-2019 дата публикации

PLASMA DEPOSITION OF CARBON HARDMASK

Номер: US20190057862A1
Принадлежит:

A method of forming a transparent carbon layer on a substrate is provided. The method comprises generating an electron beam plasma above a surface of a substrate positioned over a first electrode and disposed in a processing chamber having a second electrode positioned above the first electrode. The method further comprises flowing a hydrocarbon-containing gas mixture into the processing chamber, wherein the second electrode has a surface containing a secondary electrode emission material selected from a silicon-containing material and a carbon-containing material. The method further comprises applying a first RF power to at least one of the first electrode and the second electrode and forming a transparent carbon layer on the surface of the substrate. 1. A method of forming a transparent carbon layer on a substrate , comprising: flowing a hydrocarbon-containing gas mixture into the processing chamber, wherein the second electrode has a surface containing a secondary electrode emission material selected from a silicon-containing material and a carbon-containing material; and', 'applying a first RF power to at least one of the first electrode and the second electrode; and, 'generating an electron beam plasma above a surface of a substrate positioned over a first electrode and disposed in a processing chamber having a second electrode positioned above the first electrode, comprisingforming a transparent carbon layer on the surface of the substrate.2. The method of claim 1 , wherein the second electrode functions as a showerhead assembly and the hydrocarbon-containing gas mixture flows through the showerhead assembly and into the processing chamber.3. The method of claim 1 , wherein the transparent carbon layer has a k-value less than 0.1 claim 1 , a stress lower than 100 MPa claim 1 , and a density between 1.5 to 2 g/cc.4. The method of claim 1 , wherein the first RF power is a first RF source power applied to the second electrode and comprises a low frequency RF ...

Подробнее
03-03-2016 дата публикации

METHODS AND APPARATUS FOR CONTROLLING PHOTORESIST LINE WIDTH ROUGHNESS WITH ENHANCED ELECTRON SPIN CONTROL

Номер: US20160064197A1
Принадлежит:

The present disclosure provides methods and an apparatus for controlling and modifying line width roughness (LWR) of a photoresist layer with enhanced electron spinning control. In one embodiment, an apparatus for controlling a line width roughness of a photoresist layer disposed on a substrate includes a processing chamber having a chamber body having a top wall, side wall and a bottom wall defining an interior processing region, a support pedestal disposed in the interior processing region of the processing chamber, and a plasma generator source disposed in the processing chamber operable to provide predominantly an electron beam source to the interior processing region. 1. A method for controlling line width roughness of a photoresist layer disposed on a substrate comprising:providing a substrate having a patterned photoresist layer disposed thereon into a processing chamber;supplying a gas mixture into the processing chamber;generating an electron beam from the gas mixture having electrons moving in a circular mode from the gas mixture;generating a magnetic field to enhance spinning of electrons in the electron beam moving in the circular mode to a substrate surface; andtrimming an edge profile of the patterned photoresist layer disposed on the substrate surface with the enhanced electrons.2. The method of claim 1 , wherein generating the electron beam further comprising:filtering ions from the electron beam.3. The method of claim 2 , further comprising:directing the filtered electrons through the magnetic field.4. The method of claim 1 , wherein generating the magnetic field further comprising:applying a DC or AC power to one or more electromagnetic coils disposed around the outer circumference of the processing chamber.5. The method of claim 1 , wherein the gas mixture comprises an oxygen containing gas.6. A method for controlling line width roughness of a photoresist layer disposed on a substrate comprising:supplying a gas mixture into a processing chamber ...

Подробнее
03-03-2016 дата публикации

FAST ATOMIC LAYER ETCH PROCESS USING AN ELECTRON BEAM

Номер: US20160064231A1
Принадлежит:

An etch process gas is provided to a main process chamber having an electron beam plasma source, and during periodic passivation operations a remote plasma source provides passivation species to the main process chamber while ion energy is limited below an etch ion energy threshold. During periodic etch operations, flow from the remote plasma source is halted and ion energy is set above the etch threshold. 1. A method of removing an overlying film comprising:generating a plasma in a chamber by providing an electron beam in said chamber;coupling an RF bias voltage to a workpiece in said chamber, said workpiece comprising said overlying film; enabling flow of plasma by-products from a remote plasma source containing a passivating gas;', 'setting energy of ions in said chamber to a first ion energy level less than a first minimum ion energy required for etching said overlying film;, 'performing a passivation process for a time duration corresponding to a desired thickness of a layer of said overlying film, said passivation process comprising 'setting energy of ions in said chamber to a second ion energy level exceeding said first minimum ion energy.', 'after said time duration, halting said passivation process and performing an etching process by2. The method of wherein said workpiece comprises a base material under said overlying film claim 1 , and said second ion energy level is less than a second minimum ion energy required to etch said base material.3. The method of wherein said desired thickness is one atomic layer.4. The method of wherein said passivation process is performed for a time duration sufficiently short to limit thickness of said layer to one atomic layer.5. The method of wherein said halting said passivation process comprises stopping said flow of plasma by-products from said remote plasma source to said reactor chamber.6. The method of wherein:said passivating gas contains Chlorine;said process gas comprises an etch species comprising a fluorocarbon. ...

Подробнее
04-03-2021 дата публикации

Methods of optical device fabrication using an electron beam apparatus

Номер: US20210066036A1
Принадлежит: Applied Materials Inc

Aspects of the disclosure relate to apparatus for the fabrication of waveguides. In one example, an angled ion source is utilized to project ions toward a substrate to form a waveguide which includes angled gratings. In another example, an angled electron beam source is utilized to project electrons toward a substrate to form a waveguide which includes angled gratings. Further aspects of the disclosure provide for methods of forming angled gratings on waveguides utilizing an angled ion beam source and an angled electron beam source.

Подробнее
08-03-2018 дата публикации

INDEPENDENTLY CONTROLLABLE POWDER DELIVERY FOR ADDITIVE MANUFACTURING

Номер: US20180065178A1
Принадлежит:

An additive manufacturing system that includes a platen, a feed material delivery system configured to deliver feed material to a location on the platen specified by a computer aided design program and a heat source configured to raise a temperature of the feed material simultaneously across all of the layer or across a region that extends across a width of the platen and scans the region across a length of the platen. The heat source can be an array of heat lamps, or a plasma source. 133-. (canceled)34. An additive manufacturing system , comprising:a platen to support an object to be fabricated; a common reservoir to hold the powder,', 'a plurality of openings for powder to flow from the common reservoir onto the platen, the plurality of openings extending along a first axis; and', 'a plurality of independently controllable gates to independently control flow of the powder through the plurality of openings;, 'a dispenser apparatus positioned above the platen to dispense a layer of powder over the platen, the dispenser apparatus including'}a drive system to move the dispenser apparatus along a second axis perpendicular to the first axis; anda heat source configured to selectively heat to the layer of feed material to a temperature sufficient to cause the powder to fuse.35. The system of claim 34 , wherein each gate comprises a valve.36. The system of claim 35 , wherein the valve is selected from the group consisting of a pneumatic valve claim 35 , a microelectromechanical system (MEMS) valve claim 35 , a solenoid valve and a magnetic valve.37. The system of claim 34 , wherein each gate is configured to urge the powder out of an associated opening.38. The system of claim 34 , wherein the plurality of openings extend across a width of the platen in the first axis.39. The system of claim 34 , wherein the heat source is configured to generate a beam that is scanned across the platen and is modulated to control which voxels are fused.40. The system of claim 39 , wherein ...

Подробнее
17-03-2022 дата публикации

PLASMA CHAMBER WITH A MULTIPHASE ROTATING MODULATED CROSS-FLOW

Номер: US20220084794A1
Принадлежит:

Embodiments disclosed herein include a plasma treatment chamber, comprising one or more sidewalls. A support surface within the one or more sidewalls holds a workpiece. A first gas injector along the one or more sidewalls injects a first gas flow in a first direction generally parallel to and across a surface of the workpiece. A first pump port along the one or more sidewalls generally opposite of the first gas injector pumps out the first gas flow. A second gas injector along the one or more sidewalls injects a second gas flow in a second direction generally parallel to and across the surface of the workpiece. A second pump port along the one or more sidewalls generally opposite of the second gas injector pumps out the second gas flow. 1. A plasma treatment chamber , comprising:one or more sidewalls;a support surface within the one or more sidewalls to hold a workpiece;a first gas injector along the one or more sidewalls to inject a first gas flow in a first direction generally parallel to and across a surface of the workpiece;a first pump port along the one or more sidewalls generally opposite of the first gas injector to pump out the first gas flow;a second gas injector along the one or more sidewalls to inject a second gas flow in a second direction generally parallel to and across the surface of the workpiece, the second direction different from the first direction; anda second pump port along the one or more sidewalls generally opposite of the second gas injector to pump out the second gas flow.2. The plasma treatment chamber of claim 1 , wherein the plasma treatment chamber is configured to use the first and second gas injectors and the first and second pump ports to rotate the first and second gas flows laterally across the workpiece from the one or more sidewalls to provide a multiphase rotating crossflow operation claim 1 , the multiphase rotating crossflow operation comprising at least a 2-phase cycle.3. The plasma treatment chamber of claim 1 , wherein ...

Подробнее
27-02-2020 дата публикации

METHODS AND APPARATUS FOR PLASMA LINERS WITH HIGH FLUID CONDUCTANCE

Номер: US20200066493A1
Принадлежит:

Methods and apparatus for confining plasma in a process chamber. In some embodiments, the apparatus includes a first liner with a first set of openings, the first liner configured to surround a substrate support when installed and a second liner with a second set of openings, the second liner configured to surround the substrate support under the first liner when installed, wherein the first set of openings and the second set of openings are configured to be offset from each other when installed in the process chamber to prevent a line-of-sight through the first liner and the second liner from a top down viewpoint, and wherein the first liner and the second liner are configured to be spaced apart vertically when installed in the process chamber to allow high fluid conductance through the first set of openings and the second set of openings. 1. An apparatus for confining plasma in a process chamber , comprising:a first liner with a first set of openings for fluid flow, the first liner configured to surround a substrate support of the process chamber when installed in the process chamber; anda second liner with a second set of openings for fluid flow, the second liner configured to surround the substrate support of the process chamber under the first liner when installed in the process chamber,wherein the first set of openings and the second set of openings are configured to be offset from each other when installed in the process chamber to prevent a line-of-sight through the first liner and the second liner from a top down viewpoint, andwherein the first liner and the second liner are configured to be spaced apart vertically when installed in the process chamber to allow high fluid conductance through the first set of openings and the second set of openings.2. The apparatus of claim 1 , wherein the first liner or the second liner is electrically conductive.3. The apparatus of claim 2 , wherein the first liner or the second liner is formed form an aluminum based ...

Подробнее
27-02-2020 дата публикации

Electrostatic chuck assembly having a dielectric filler

Номер: US20200066566A1
Принадлежит: Applied Materials Inc

Embodiments include an electrostatic chuck assembly having an electrostatic chuck mounted on an insulator. The electrostatic chuck and insulator may be within a chamber volume of a process chamber. In an embodiment, a ground shield surrounds the electrostatic chuck and the insulator, and a gap between the ground shield and the electrostatic chuck provides an environment at risk for electric field emission. A dielectric filler can be placed within the gap to reduce a likelihood of electric field emission. The dielectric filler can have a flexible outer surface that covers or attaches to the electrostatic chuck, or an interface between the electrostatic chuck and the insulator Other embodiments are also described and claimed.

Подробнее
19-03-2015 дата публикации

SPATIALLY DISCRETE MULTI-LOOP RF-DRIVEN PLASMA SOURCE HAVING PLURAL INDEPENDENT ZONES

Номер: US20150075716A1
Принадлежит: Applied Materials, Inc.

An RF plasma source has a resonator with its shorted end joined to the processing chamber ceiling and inductively coupled to two arrays of radial toroidal channels in the ceiling, the resonator having two radial zones and the two arrays of toroidal channels lying in respective ones of the radial zones. 1. A plasma reactor comprising:a processing chamber comprising a ceiling and a workpiece support; inner and outer return cylinders and an intermediate return cylinder between said inner and outer return cylinders,', 'inner and outer RF-driven cylinders adjacent inner and outer surfaces, respectively, of said intermediate return cylinder,', 'said inner and outer return cylinders and said inner and outer RF-driven cylinders contacting said ceiling; and, 'a resonator having an axis of symmetry and comprisinginner and outer pluralities of reentrant conduits on a side of said ceiling external of said processing chamber, said inner and outer pluralities of reentrant conduits disposed, respectively, in inner and outer concentric zones of said ceiling.2. The plasma reactor of wherein each of said plural reentrant conduits extends in a radial direction.3. The plasma reactor of further comprising first and second RF power generators coupled to said inner and outer RF-driven cylinders claim 1 , respectively claim 1 , and a controller connected to said first and second RF power generators.4. The plasma reactor of wherein said ceiling comprises claim 2 , for each respective reentrant conduit of said inner and outer pluralities of reentrant conduits claim 2 , a pair of ports extending through said ceiling and coupled to opposite ends of the respective reentrant conduit.5. The plasma reactor of wherein each of said plural reentrant conduits comprises a conductive main portion and an insulating ring-shaped break.6. The plasma reactor of wherein: an internal gas manifold; and', 'gas injection orifices coupled to said gas manifold;, 'said ceiling comprises a process gas supply; and', ' ...

Подробнее
19-03-2015 дата публикации

INDUCTIVELY COUPLED SPATIALLY DISCRETE MULTI-LOOP RF-DRIVEN PLASMA SOURCE

Номер: US20150075717A1
Принадлежит: Applied Materials, Inc.

An RF plasma source has a resonator with its shorted end joined to the processing chamber ceiling and inductively coupled to an array of radial toroidal channels in the ceiling. 1. A plasma reactor comprising:a processing chamber enclosed by a conductive enclosure comprising a ceiling, and a workpiece support comprising a support surface;a resonator having an axis of symmetry and comprising a hollow driven cylinder and a hollow return cylinder enclosing said hollow driven cylinder, said hollow driven cylinder and said hollow return cylinder comprising respect bottom edges contacting said ceiling;an RF power generator comprising an output power terminal coupled to said hollow driven cylinder and a return terminal coupled to said hollow return cylinder; andplural reentrant conduits on a side of said ceiling external of said processing chamber, each of said plural reentrant conduits communicating with said processing chamber.2. The plasma reactor of wherein each of said plural reentrant conduits encloses a path extending in a radial direction.3. The plasma reactor of wherein said plural reentrant conduits are arranged in a circle.4. The plasma reactor of wherein said ceiling comprises claim 2 , for each one of said plural reentrant conduits claim 2 , a pair of ports extending through said ceiling and coupled to ends of respective ones of said plural reentrant conduits.5. The plasma reactor of wherein each of said plural reentrant conduits comprises a conductive main portion and an insulating ring-shaped break.6. The plasma reactor of wherein said resonator has an axial length corresponding to one wavelength of RF current or RF voltage produced by said RF power generator.7. The plasma reactor of further comprising a conductive disk-shaped cap covering and contacting a top edge of said hollow return cylinder opposite said ceiling.8. The plasma reactor of wherein said hollow driven cylinder is terminated at a height below said conductive disk-shaped cap so as form a gap ...

Подробнее
19-03-2015 дата публикации

Symmetric vhf source for a plasma reactor

Номер: US20150075719A1
Принадлежит: Applied Materials Inc

The disclosure pertains to a capacitively coupled plasma source in which VHF power is applied through an impedance-matching coaxial resonator having a symmetrical power distribution.

Подробнее
05-03-2020 дата публикации

RADIO FREQUENCY (RF) PULSING IMPEDANCE TUNING WITH MULTIPLIER MODE

Номер: US20200075290A1
Принадлежит:

Methods for RF pulse reflection reduction are provided herein. In some embodiments, a method for processing a substrate in a plasma enhanced substrate processing system using multi-level pulsed RF power includes; receiving a process recipe for processing the substrate that includes a plurality of pulsed RF power waveforms from a plurality of RF generators, using the master RF generator to generate a transistor-transistor logic (TTL) signal having a base frequency and a first duty cycle, setting a multiplier for each RF generator, dividing the first duty cycle into a high level interval and a low level interval, determining a frequency command set for each RF generator and sending the frequency command set to each RF generator, wherein the frequency command set includes a frequency set point for each RF generator; and providing the plurality of pulsed RF power waveforms from the plurality of RF generators to a process chamber. 1. A method for processing a substrate in a plasma enhanced substrate processing system using multi-level pulsed RF power , comprising:receiving a process recipe for processing the substrate that includes a plurality of pulsed RF power waveforms from a plurality of RF generators, wherein the plurality of RF generators includes a master RF generator and one or more slave RF generators;using the master RF generator to generate a transistor-transistor logic (TTL) signal having a base frequency and a first duty cycle;setting a multiplier for each RF generator, wherein the multiplier is a multiple of the base frequency;dividing the first duty cycle into a high level interval and a low level interval;determining a frequency command set for each RF generator and sending the frequency command set to each RF generator, wherein the frequency command set includes a frequency set point for each RF generator; andproviding the plurality of pulsed RF power waveforms from the plurality of RF generators to a process chamber according to the frequency command ...

Подробнее
21-03-2019 дата публикации

Plasma Reactor Having Radial Struts for Substrate Support

Номер: US20190085467A1
Принадлежит: Applied Materials Inc

A plasma reactor for processing a workpiece includes a reactor chamber having a ceiling and a sidewall and a workpiece support facing the ceiling and defining a processing region, and a pair of concentric independently excited RF coil antennas overlying the ceiling and a side RF coil concentric with the side wall and facing the side wall below the ceiling, and being excited independently.

Подробнее
19-06-2014 дата публикации

METHOD AND SYSTEM FOR ETCHING PLURAL LAYERS ON A WORKPIECE INCLUDING A LOWER LAYER CONTAINING AN ADVANCED MEMORY MATERIAL

Номер: US20140170856A1
Принадлежит:

Etching of a thin film stack including a lower thin film layer containing an advanced memory material is carried out in an inductively coupled plasma reactor having a dielectric RF window without exposing the lower thin film layer, and then the etch process is completed in a toroidal source plasma reactor. 1. A method of etching a stack of thin film layers on a workpiece including a lower thin film , said method comprising first etching said stack in an inductively coupled plasma reactor without exposing said lower thin film layer , and second etching said stack in a toroidal source plasma reactor to expose the lower thin film layer.2. The method of wherein said lower thin film layer comprises an advanced memory material.3. The method of wherein said stack of thin film layers comprises a covering thin film layer overlying said lower thin film layer claim 1 , said method further comprising halting said first etching prior to complete removal of said covering thin film layer claim 1 , so as to leave at least a portion of said covering thin film layer on said lower thin film layer.4. The method of wherein said second etching comprises removing said portion of said covering thin film layer.5. The method of wherein said second etching further comprises removing said lower thin film layer.6. The method of wherein said first etching comprises supplying etch process gases into the chamber of said inductively coupled reactor and coupling RF power from an external coil antenna into the chamber of said inductively coupled reactor through a dielectric window.7. The method of wherein said second etching comprises supplying etch process gases into the chamber of said toroidal source plasma reactor and coupling RF power into an external reentrant conduit of said chamber.8. The method of wherein said coupling of RF power into an external reentrant conduit of said chamber comprises coupling RF power to an inductive core surrounding a portion of said external reentrant conduit.9. The ...

Подробнее
09-04-2015 дата публикации

MULTIZONE HOLLOW CATHODE DISCHARGE SYSTEM WITH COAXIAL AND AZIMUTHAL SYMMETRY AND WITH CONSISTENT CENTRAL TRIGGER

Номер: US20150097486A1
Принадлежит:

A showerhead assembly includes a front plate having a front surface, a back surface and a plurality of first through holes connecting the front surface and the back surface, a back plate having a front surface, a back surface and a plurality of second through holes connecting the front surface and the back surface, and an adhesive layer joining the back surface of the front plate and the front surface of the back plate. The plurality of first through holes are aligned with the plurality of second through holes, and the front plate and the back plate are formed from dissimilar materials. 1. A showerhead assembly , comprising:a front plate having a front surface, a back surface and a plurality of first through holes connecting the front surface and the back surface;a back plate having a front surface, a back surface and a plurality of second through holes connecting the front surface and the back surface, wherein the plurality of first through holes are smaller in diameter than the plurality of second through holes;a protective coating is formed on surfaces of the back plate; andan adhesive layer joining the back surface of the front plate and the front surface of the back plate, wherein the plurality of first through holes are aligned with the plurality of second through holes, and the front plate and the back plate are formed from dissimilar materials.2. The showerhead assembly of claim 1 , wherein the back plate is formed from a metallic material and adapted to couple with a RF power source claim 1 , and the front plate is formed from a non-metallic material and adapted to face a processing environment with the front surface.3. The showerhead assembly of claim 2 , wherein the front plate is formed from a semiconductor material.4. The showerhead assembly of claim 1 , further comprising a blocker plate attached to the back plate.5. The showerhead assembly of claim 1 , wherein the back plate has a plurality of posts extending from the back surface and are contacting ...

Подробнее
30-03-2017 дата публикации

PLASMA REACTOR FOR PROCESSING A WORKPIECE WITH AN ARRAY OF PLASMA POINT SOURCES

Номер: US20170092470A1
Принадлежит:

A plasma source consisting of an array of plasma point sources that controls generation of charged particles and radicals spatially and temporally over a user defined region. 1. A plasma reactor comprising:a processing chamber and a workpiece support in said processing chamber, said chamber comprising a lower ceiling facing said workpiece support;an upper ceiling overlying and facing said lower ceiling and a gas distributor overlying said upper ceiling;plural cavity walls defining plural cavities between said upper and lower ceilings, said gas distributor comprising plural gas flow paths to respective ones of said plural cavities;plural outlet holes in said lower ceiling aligned with respective ones of said plural cavities;respective power applicators adjacent respective ones of said plural cavities, a power source, plural power conductors coupled to respective ones of said power applicators, and a power distributor coupled between said power source and said plural power conductors.2. The plasma reactor of wherein said plural cavity walls comprise dielectric cavity walls.3. The plasma reactor of wherein said power source comprises an RF power generator and wherein each one of said respective power applicators is separated from an interior of a corresponding one of said plural cavities by the corresponding one of said plural cavity walls.4. The plasma reactor of wherein said power applicator comprises an electrode for capacitively coupling RF power into the corresponding one of said plural cavities.5. The plasma reactor of wherein said electrode surrounds a section of the corresponding one of said plural cavities.6. The plasma reactor of wherein said power applicator comprises a coil antenna for inductively coupling RF power into the corresponding one of said plural cavities.7. The plasma reactor of wherein said coil antenna comprises a conductor coiled around a section of the corresponding one of said plural cavities.8. The plasma reactor of wherein said power ...

Подробнее
28-03-2019 дата публикации

Method of Real Time In-Situ Chamber Condition Monitoring Using Sensors and Rf Communication

Номер: US20190096641A1
Принадлежит:

Plural sensors on an interior surface of a reactor chamber are linked by respective RF communication channels to a hub inside the reactor chamber, which in turn is linked to a process controller outside of the chamber. 1. A method of processing a workpiece in a chamber of a reactor having a process controller outside of the chamber and governing process parameters in the chamber , comprising:placing a workpiece into the chamber so that the workpiece rests on a support;monitoring the workpiece using plural sensors secured to the chamber and fixed in locations inside the chamber, each sensor having a wireless transceiver;establishing respective independent wireless communication channels between a wireless communication hub inside the chamber and respective wireless transceivers of the plural sensors;transmitting measurements by each respective sensor from the wireless transceiver of the respective sensor to the wireless communication hub; andtransmitting the measurements from the wireless communication hub inside the chamber to the process controller outside the chamber.2. The method of claim 1 , comprising repetitively sampling outputs from a group of the sensors.3. The method of claim 1 , wherein transmitting the measurements from the wireless communication hub to the process controller comprises transmitting on a wire conductor through a wall of the chamber.4. The method of claim 1 , wherein transmitting the measurements from the wireless communication hub to the process controller comprises establishing a wireless communication channel between an external wireless communication receiver of the process controller and the wireless communication hub.5. The method of claim 1 , wherein transmitting the measurements from the wireless communication hub to the process controller comprises transmitting wireless signals through an RF window in a wall of the chamber.6. The method of claim 5 , wherein the wall of the chamber is conductive such that the chamber blocks ...

Подробнее
13-04-2017 дата публикации

SHOWERHEAD WITH REDUCED BACKSIDE PLASMA IGNITION

Номер: US20170101713A1
Принадлежит:

Embodiments of the present disclosure relate to a showerhead assembly for use in a processing chamber. The showerhead assembly includes a porous insert disposed in a space defined between a gas distribution plate and a base plate to moderate the corrosive radicals resulting from plasma ignition to reduce particle issues and metal contamination in the chamber. The porous insert is a conductive material, such as metal, used to reduce the gap electrical field strength, or may be a dielectric material such as ceramic, polytetrafluoroethylene, polyamide-imide, or other materials with a low dielectric loss and high electrical field strength under conditions of high frequency and strong electric fields. As such, the electrical breakdown threshold is enhanced. The porous insert may reduce and/or eliminate showerhead backside plasma ignition and may include multiple concentric narrow rings that cover gas holes of the gas distribution plate. 1. A showerhead assembly for use in a processing chamber , comprising:a gas distribution plate having a plurality of gas holes extending through a first surface of the gas distribution plate;a base plate having at least one or more gas delivery holes extending through a first surface of the base plate, the first surface of the base plate and the first surface of the gas distribution plate defining a space therebetween; anda porous insert located in the space, wherein a gas flow path though the showerhead assembly is defined through the one or more gas delivery holes extending through the base plate, the porous insert, and the gas holes of the gas distribution plate.2. The showerhead assembly of claim 1 , wherein the porous insert is a conductive material.3. The showerhead assembly of claim 1 , wherein the porous insert is a dielectric material.4. The showerhead assembly of claim 1 , wherein the gas distribution plate further comprises:a plurality of gasket seals disposed between the first surface the gas distribution plate and the first ...

Подробнее
28-04-2016 дата публикации

REAL-TIME MEASUREMENT OF A SURFACE CHARGE PROFILE OF AN ELECTROSTATIC CHUCK

Номер: US20160116518A1
Принадлежит:

Methods and apparatus for measurement of a surface charge profile of an electrostatic chuck are provided herein. In some embodiments, an apparatus for measurement of a surface charge profile of an electrostatic chuck includes: an electrostatic charge sensor disposed on a substrate to obtain data indicative of an electrostatic charge on an electrostatic chuck; and a transmitter disposed on the substrate and having an input in communication with an output of the electrostatic charge sensor to transmit the data. 1. Apparatus for measurement of a surface charge profile of an electrostatic chuck , comprising:an electrostatic charge sensor disposed on a substrate to obtain data indicative of an electrostatic charge on an electrostatic chuck; anda transmitter disposed on the substrate and having an input in communication with an output of the electrostatic charge sensor to transmit the data.2. The apparatus of claim 1 , wherein the electrostatic charge sensor is a voltage sensor.3. The apparatus of claim 1 , further comprising:a processing circuit disposed on the substrate having an input in communication with the output of the electrostatic charge sensor and an output in communication with the input of the transmitter to process the data obtained by the electrostatic charge sensor and to output processed data to the transmitter.4. The apparatus of claim 3 , wherein the processing circuit further comprises:an amplifier having an input in communication with the input of the processing circuit and an output in communication with the output of the processing circuit to amplify the data obtained by the electrostatic charge sensor.5. The apparatus of claim 3 , wherein the processing circuit further comprises:a filter having an input in communication with the input of the processing circuit and an output in communication with the output of the processing circuit.6. The apparatus of claim 3 , wherein the processing circuit further comprises:an analog-to-digital converter having ...

Подробнее
17-07-2014 дата публикации

INDUCTIVELY COUPLED PLASMA SOURCE

Номер: US20140196849A1
Принадлежит: Applied Materials, Inc.

Embodiments of methods and apparatus for plasma processing are provided herein. In some embodiments, an inductively coupled plasma apparatus may include a bottom wall comprising a hub and a ring coupled to the hub by a capacitor, wherein the hub and the ring are each electrically conductive, and where the hub has a central opening aligned with a central axis of the inductively coupled plasma apparatus; a top wall spaced apart from and above the bottom wall, wherein the top wall has a central opening aligned with the central axis, and wherein the tope wall is electrically conductive; a sidewall electrically connecting the ring to the top wall; and a tube electrically connecting the hub to the top wall, the tube having a central opening aligned with the central axis. 1. An inductively coupled plasma apparatus , comprising:a bottom wall comprising a hub and a ring coupled to the hub by a capacitor, wherein the hub and the ring are each electrically conductive, and where the hub has a central opening aligned with a central axis of the inductively coupled plasma apparatus;a top wall spaced apart from and above the bottom wall, wherein the top wall has a central opening aligned with the central axis, and wherein the top wall is electrically conductive;a sidewall electrically connecting the ring to the top wall; anda tube electrically connecting the hub to the top wall, the tube having a central opening aligned with the central axis.2. The apparatus of claim 1 , wherein the hub comprises a plurality of radially outwardly directed spokes.3. The apparatus of claim 1 , wherein the ring comprises a plurality of radially inwardly directed spokes.4. The apparatus of claim 1 , wherein the hub comprises a plurality of radially outwardly directed spokes and the ring comprises a corresponding plurality of radially inwardly directed spokes that are aligned with the plurality of radially outwardly directed spokes claim 1 , and wherein the capacitor comprises a plurality of capacitors ...

Подробнее
18-04-2019 дата публикации

Methods and apparatus for shielding substrate supports

Номер: US20190115246A1
Принадлежит: Applied Materials Inc

Apparatus for shielding a substrate support in a semiconductor processing chamber. In some embodiments, the apparatus includes: a substrate support body with a substrate processing surface, a feedthrough assembly for supporting the substrate support body in the semiconductor processing chamber, and a conductive member that provides a conductive path from a lowermost portion of the feedthrough assembly to the substrate processing surface of the substrate support body. The conductive member is disposed symmetrically about the substrate support.

Подробнее
24-07-2014 дата публикации

Radial transmission line based plasma source

Номер: US20140202634A1
Принадлежит: Applied Materials Inc

Radial transmission line based plasma sources for etch chambers are described. In an example, a radial transmission line based plasma source includes a gas delivery channel having a first end coupled to a gas inlet and having a second end coupled to a plasma showerhead. A folded or co-axial stub surrounds at least a portion of the gas delivery channel. An RF input is coupled to the folded or co-axial stub.

Подробнее
04-05-2017 дата публикации

LOW ELECTRON TEMPERATURE ETCH CHAMBER WITH INDEPENDENT CONTROL OVER PLASMA DENSITY, RADICAL COMPOSITION AND ION ENERGY FOR ATOMIC PRECISION ETCHING

Номер: US20170125217A1
Принадлежит:

The disclosure concerns a method of operating a plasma reactor having an electron beam plasma source for independently adjusting electron beam energy, plasma ion energy and radical population. The disclosure further concerns an electron beam source for a plasma reactor having an RF-driven electrode for producing the electron beam. 1. A method of processing a workpiece in a processing chamber , comprising:limiting plasma electron temperature by generating a plasma in said processing chamber with a sheet electron beam parallel to a surface of the workpiece;controlling workpiece potential with respect to the plasma to a range between 0 and 25 volts by controlling a level of bias power coupled to a workpiece support; andindependently controlling radical population in the plasma by controlling production rate of a remote plasma source feeding the processing chamber.2. The method of wherein said limiting of the plasma electron temperature is performed to limit workpiece potential with respect to the plasma to not more than a few volts in absence of an applied bias power.3. The method of further comprising limiting electron beam energy to a range from sub-keV to a few keV.4. The method of wherein said controlling a level of bias power comprises setting the plasma ion energy to be on an order of or near a bonding energy of a material in said workpiece being etched.5. A method of processing a workpiece in a processing chamber claim 1 , comprising:generating a plasma in said processing chamber while limiting plasma electron temperature by propagating an electron beam in said processing chamber;controlling a level of bias power coupled to a workpiece support so as to set plasma ion energy to be on an order of or near a bonding energy of a material on said workpiece being etched; andcontrolling radical population in the plasma by controlling production rate of a remote plasma source coupled to the processing chamber.6. The method of wherein said plasma ion energy corresponds to ...

Подробнее
25-08-2022 дата публикации

METHODS AND APPARATUS FOR PROCESSING A SUBSTRATE

Номер: US20220270856A1
Принадлежит:

Methods and apparatus for processing a substrate are provided herein. For example, a matching network configured for use with a plasma processing chamber comprises an input configured to receive one or more radio frequency (RF) signals, an output configured to deliver the one or more RF signals to a processing chamber, a first variable capacitor disposed between the input and the output, a second variable capacitor disposed in parallel to the first variable capacitor, a third variable capacitor connected in parallel with each of the first variable capacitor and the second variable capacitor and in series with a transistor switch, and a controller configured to tune the matching network between a first frequency for high-power operation and a second frequency for low-power operation. 1. A matching network configured for use with a plasma processing chamber , comprising:an input configured to receive one or more radio frequency (RF) signals;an output configured to deliver the one or more RF signals to a processing chamber;a first variable capacitor disposed between the input and the output;a second variable capacitor disposed in parallel to the first variable capacitor;a third variable capacitor connected in parallel with each of the first variable capacitor and the second variable capacitor and in series with a transistor switch; anda controller configured to tune the matching network between a first frequency for high-power operation and a second frequency for low-power operation.2. The matching network of claim 1 , wherein the controller is further configured to receive a TTL signal to activate the transistor switch.3. The matching network of claim 1 , wherein the controller is configured to tune the matching network within a pulse period of low-power operation.4. The matching network of claim 1 , wherein the matching network is configured such that in the high-power operation the first variable capacitor and the second variable capacitor are in an on configuration ...

Подробнее
25-08-2022 дата публикации

METHODS AND APPARATUS FOR PROCESSING A SUBSTRATE

Номер: US20220270857A1
Принадлежит:

Methods and apparatus for processing a substrate are provided herein. For example, a matching network configured for use with a plasma processing chamber comprises an input configured to receive one or more radio frequency (RF) signals, an output configured to deliver the one or more RF signals to a processing chamber, a first variable capacitor disposed between the input and the output, a second variable capacitor disposed in parallel to the first variable capacitor, a MEMS array comprising a plurality of variable capacitors connected in series with the first variable capacitor, and a controller configured to tune the matching network between a first frequency for high-power operation and a second frequency for low-power operation. 1. A matching network configured for use with a plasma processing chamber , comprising:an input configured to receive one or more radio frequency (RF) signals;an output configured to deliver the one or more RF signals to a processing chamber;a first variable capacitor disposed between the input and the output;a second variable capacitor disposed in parallel to the first variable capacitor;a MEMS array comprising a plurality of variable capacitors connected in series with the first variable capacitor; anda controller configured to tune the matching network between a first frequency for high-power operation and a second frequency for low-power operation.2. The matching network of claim 1 , wherein the controller is further configured to receive a TTL signal to activate the MEMS array.3. The matching network of claim 1 , wherein the controller is further configured to tune the matching network within a pulse period of low-power operation.4. The matching network of claim 1 , wherein the matching network is configured such that in high-power operation the first variable capacitor arid the second variable capacitor are hi an on configuration. a. The matching network of claim 1 , wherein the matching network is configured such that in low-power ...

Подробнее
14-05-2015 дата публикации

COMPONENT TEMPERATURE CONTROL BY COOLANT FLOW CONTROL AND HEATER DUTY CYCLE CONTROL

Номер: US20150134128A1
Принадлежит:

Methods and systems for controlling temperatures in plasma processing chamber for a wide range of setpoint temperatures and reduced energy consumption. Temperature control is coordinated between a coolant liquid loop and a heat source by a control algorithm implemented by the plasma processing module controller. The control algorithm may completely stop the flow of coolant liquid to a temperature-controlled component in response to a feedback signal indicating an actual temperature is below the setpoint temperature. The control algorithm may further be based at least in part on a feedforward control signal derived from a plasma power or change in plasma power input into the processing chamber during process recipe execution. 1. A temperature controller , comprising:a feedforward input to receive an indication of a plasma power to be input to a plasma processing chamber during execution of a process recipe;a feedback input to receive an indication of an actual temperature of a component to be controlled to a setpoint temperature by the temperature controller;a processor to execute a temperature control algorithm having a feedback portion that generates a control effort based on a difference between the actual temperature feedback input and the setpoint temperature; andan actuator output to provide an actuator signal generated by the processor from the temperature control algorithm, the actuator signal to completely stop a coolant liquid flow to the temperature-controlled component when the temperature falls below the setpoint temperature.2. The temperature controller as in claim 1 , wherein the control algorithm further includes a feedforward portion that generates a control effort based on the plasma power feedforward input to reduce an effect of the plasma power on a temperature of the component.3. The temperature controller as in claim 2 , wherein the processor is to further generate the feedforward control effort based on a first group of gain values associated ...

Подробнее
19-05-2016 дата публикации

Process Chamber for Field Guided Exposure and method for Implementing the process chamber

Номер: US20160139503A1
Принадлежит:

A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein. 1. A processing chamber comprising:a chamber body;a substrate support disposed in the chamber body; and a top plate disposed above the substrate support;', 'a bottom plate disposed below the substrate support; and', 'a plurality of electrodes connecting the top plate to the bottom plate, the electrodes, upon application of a voltage thereto, to generate an electric field., 'an electrode assembly, the electrode assembly comprising2. The processing chamber of claim 1 , wherein the plurality of electrodes are disposed inside the chamber body.3. The processing chamber of claim 1 , wherein the plurality of electrodes are disposed outside of the chamber body.4. The processing chamber of claim 1 , wherein the plurality of electrodes comprises:a plurality of electrodes displaced vertically to electrically connect and disconnect the top plate to the bottom plate.5. The processing chamber of claim 4 , wherein each electrode has a first end and a second end claim 4 , the electrodes are configured to move vertically between a position where the second end of the electrode contacts the bottom plate and a position where the second end of the electrode is spaced from the bottom plate.6. The processing chamber of claim 4 , wherein the plurality of opening electrodes further comprises:a plurality of electrodes configured ...

Подробнее
17-05-2018 дата публикации

Symmetrical Plural-Coil Plasma Source with Side Rf Feeds and Rf Distribution Plates

Номер: US20180138014A1
Принадлежит:

A plasma reactor has an overhead inductively coupled plasma source with two coil antennas and symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source. 120-. (canceled)21. A plasma reactor comprising:a window assembly;a first coil antenna adjacent the window assembly;a first current distributor coupled to the first coil antenna;a first RF feed terminal;a first conductive ground plate in a plane above the first current distributor;a first radial conductive feed rod lying in a plane above the first conductive ground plate and having an outer end coupled to said first RF feed terminal;a first conductive feed plate lying in a plane above the first radial conductive feed rod and coupled to an inner end of the first radial conductive feed rod; anda first plurality of axial rods coupled between a peripheral annular zone of the first conductive feed plate and the first current distributor.22. The plasma reactor of claim 21 , comprising a first axial center rod connected between the inner end of the first radial conductive feed rod and the first conductive feed plate.23. The plasma reactor of claim 22 , comprising a first radial cylindrical shield surrounding the first radial conductive feed rod.24. The plasma reactor of claim 23 , comprising an axial center shield surrounding the first axial center rod.25. The plasma reactor of claim 24 , wherein the first radial cylindrical shield has an inner end coupled to the axial center shield.26. The plasma rector of claim 24 , comprising a second conductive ground plate in a plane between the first conductive feed plate and the first radial conductive feed rod.27. The plasma reactor of claim 26 , wherein an upper end of the axial center shield is coupled to the second conductive ground plate.28. The plasma reactor of claim 26 , comprising a plurality of axial cylindrical shields surrounding respective ones of said first ...

Подробнее
21-08-2014 дата публикации

SYMMETRICAL INDUCTIVELY COUPLED PLASMA SOURCE WITH SIDE RF FEEDS AND SPIRAL COIL ANTENNA

Номер: US20140232263A1
Принадлежит: Applied Materials, Inc.

A plasma reactor has an overhead multiple coil antennas including a parallel spiral coil antenna and symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source. 1. A plasma reactor comprising:a window assembly;first and second coil antennas adjacent said window assembly, said first coil antenna comprising a first layer of parallel spiral conductors, each of said parallel spiral conductors comprising first and second ends;a first current distributor coupled to said first ends of the parallel spiral conductors of said first layer;a conductive ground plate in a plane overlying said first current distributor; anda first radial conductive feed rod lying in a plane above said conductive ground plate and having an inner end coupled to said first current distributor and an outer end comprising a first RF feed terminal.2. The plasma reactor of further comprising:respective capacitors coupled to respective ones of the second ends of said parallel spiral conductors of said first layer and said ground return plate.3. The plasma reactor of wherein said first coil antenna further comprises:a second layer of parallel spiral conductors, each of said parallel spiral conductors of said second layer comprising first and second ends, respective ones of said second ends of said first layer being coupled to said second ends of said second layer by direct connection.4. The plasma reactor of wherein said first ends of said second layer are coupled to an RF return potential.5. The plasma reactor of wherein said first current distributor comprises:a first conductive spider lying in a plane above said first and second coil antennas, and a plurality of axial posts connected between a peripheral annular zone of said first conductive spider and said first ends of first layer of parallel spiral conductors.6. The plasma reactor of further comprising:a second current distributor coupled to said ...

Подробнее
02-06-2016 дата публикации

FEEDFORWARD TEMPERATURE CONTROL FOR PLASMA PROCESSING APPARATUS

Номер: US20160155612A1
Принадлежит:

Methods and systems for controlling temperatures in plasma processing chamber with reduced controller response times and increased stability. Temperature control is based at least in part on a feedforward control signal derived from a plasma power input into the processing chamber. A feedforward control signal compensating disturbances in the temperature attributable to the plasma power may be combined with a feedback control signal counteracting error between a measured and desired temperature. 1. A plasma processing apparatus , comprising:a process chamber including a temperature controlled component to be coupled to a heat sink;a plasma power source coupled to the process chamber to energize a plasma during processing of a workpiece disposed in the process chamber; anda temperature controller to control a heat transfer between the temperature controlled component and the heat sink, wherein the temperature controller comprises a feedforward control line, wherein the temperature controller is to control fluid flow of a coolant between the heat sink and the process chamber, wherein the temperature controller is to modulate a pulse width modulation duty cycle to fully open and fully close a valve through which the coolant fluid flows, the pulse width modulation based at least in part on the power output by the plasma power source.2. The apparatus of claim 1 , wherein the temperature controlled component comprises a chuck configured to support the workpiece during the processing or a showerhead configured to supply a process gas to the process chamber.3. The apparatus of claim 1 , wherein the temperature controller is communicatively coupled to the plasma power source and wherein a feedforward control signal is based on a plasma power input acquired from the plasma power source.4. The apparatus of claim 3 , wherein the feedforward control signal is to compensate a plasma heating of the temperature controlled component by determining a gain group based on the plasma ...

Подробнее
15-09-2022 дата публикации

SUBSTRATE EDGE RING THAT EXTENDS PROCESS ENVIRONMENT BEYOND SUBSTRATE DIAMETER

Номер: US20220293397A1
Принадлежит:

Embodiments of substrates supports for use in process chambers are provided herein. In some embodiments, a substrate support includes: a dielectric plate having a first side configured to support a substrate having a given diameter and including an annular groove disposed in the first side, wherein the annular groove has an inner diameter that is less than the given diameter and an outer diameter that is greater than the given diameter, wherein the dielectric plate includes a chucking electrode; an insert ring disposed in the annular groove of the dielectric plate; and an edge ring disposed on the dielectric plate, wherein the edge ring has an inner diameter that is greater than the given diameter and less than the outer diameter of the annular groove such that the edge ring is disposed over a portion of the insert ring. 1. A substrate support for use in a substrate processing chamber , comprising:a dielectric plate having a first side configured to support a substrate having a given diameter and including an annular groove disposed in the first side, wherein the annular groove has an inner diameter that is less than the given diameter and an outer diameter that is greater than the given diameter, wherein the dielectric plate includes one or more chucking electrodes;an insert ring disposed in the annular groove of the dielectric plate; andan edge ring disposed on the dielectric plate, wherein the edge ring has an inner diameter that is greater than the given diameter and less than the outer diameter of the annular groove such that the edge ring is disposed over a portion of the insert ring.2. The substrate support of claim 1 , wherein at least one of:the edge ring is made of silicon, silicon carbide, silicon dioxide, or silicon nitride; orthe insert ring is made of silicon, silicon nitride, silicon carbide, or silicon oxide.3. The substrate support of claim 1 , wherein the dielectric plate includes one or more backside gas channels fluidly coupled to an interface ...

Подробнее
08-06-2017 дата публикации

METHOD AND APPARATUS FOR CONTROLLING A MAGNETIC FIELD IN A PLASMA CHAMBER

Номер: US20170162365A1
Принадлежит:

Methods and apparatus for controlling a magnetic field in a plasma chamber are provided herein. In some embodiments, a process chamber liner may include a cylindrical body, an inner electromagnetic cosine-theta (cos θ) coil ring including a first plurality of inner coils embedded in the body and configured to generate a magnetic field in a first direction, and an outer electromagnetic cosine-theta (cos θ) coil ring including a second plurality of outer coils embedded in the body and configured to generate a magnetic field in a second direction orthogonal to the first direction, wherein the outer electromagnetic cos θ coil ring is disposed concentrically about the inner electromagnetic cos θ coil ring. 1. An electromagnetic cosine-theta (cos θ) coil ring assembly for use in a process , comprising:a cylindrical body;an inner electromagnetic cosine-theta (cos θ) coil ring including a first plurality of inner coils disposed about an inner surface of the cylindrical body and configured to generate a magnetic field in a first direction; andan outer electromagnetic cosine-theta (cos θ) coil ring including a second plurality of outer coils disposed about an outer surface of the cylindrical body and configured to generate a magnetic field in a second direction different from the first direction, wherein the outer electromagnetic cos θ coil ring is disposed concentrically about the inner electromagnetic cos θ coil ring.2. The electromagnetic cosine-theta (cos θ) coil ring assembly of claim 1 , wherein the cylindrical body is electrically grounded.3. The electromagnetic cosine-theta (cos θ) coil ring assembly of claim 1 , wherein the cylindrical body is fabricated from a conductive metal material.4. The electromagnetic cosine-theta (cos θ) coil ring assembly of claim 1 , wherein the cylindrical body is fabricated from a non-conductive material.5. The electromagnetic cosine-theta (cos θ) coil ring assembly of claim 1 , wherein the first plurality of inner coils includes a first ...

Подробнее
18-06-2015 дата публикации

SEMICONDUCTOR SYSTEM ASSEMBLIES AND METHODS OF OPERATION

Номер: US20150170879A1
Принадлежит: Applied Materials, Inc.

An exemplary semiconductor processing system may include a high-frequency electrical source that has an outlet plug. The system may include a processing chamber having a top plate, and an inlet assembly coupled with the top plate. The inlet assembly may include an electrode defining an aperture at a first end and configured to receive the outlet plug. The aperture may be characterized at the first end by a first diameter, and a second end of the aperture opposite the first end may be characterized by a second diameter less than the first diameter. The inlet assembly may further include an inlet insulator coupled with the top plate and configured to electrically insulate the top plate from the electrode. 1. A semiconductor processing system comprising:a high-frequency electrical source including an outlet plug;a processing chamber having a top plate; and an electrode defining an aperture at a first end and configured to receive the outlet plug, wherein the aperture is characterized at the first end by a first diameter, and wherein a second end of the aperture opposite the first end is characterized by a second diameter less than the first diameter, and', 'an inlet insulator coupled with the top plate and configured to electrically insulate the top plate from the electrode., 'an inlet assembly coupled with the top plate and comprising2. The semiconductor processing system of claim 1 , wherein the inlet insulator defines an insulator opening claim 1 , wherein the semiconductor processing system further comprises a nozzle positioned at least partially within the insulator opening claim 1 , and wherein the nozzle defines a channel extending through the nozzle.3. The semiconductor processing system of claim 1 , wherein the semiconductor processing system further comprises an ignition rod having a first surface claim 1 , wherein the ignition rod is positioned between the electrode and the nozzle claim 1 , and wherein at least a portion of the ignition rod extends into the ...

Подробнее
18-06-2015 дата публикации

SEMICONDUCTOR SYSTEM ASSEMBLIES AND METHODS OF OPERATION

Номер: US20150170924A1
Принадлежит: Applied Materials, Inc.

An exemplary semiconductor processing system may include a remote plasma source coupled with a processing chamber having a top plate. An inlet assembly may be used to couple the remote plasma source with the top plate and may include a mounting assembly, which in embodiments may include at least two components. The inlet assembly may further include a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with an injection port. 1. A semiconductor processing system comprising:a remote plasma source;a processing chamber having a top plate; and a mounting assembly, and', 'a precursor distribution assembly defining a plurality of distribution channels fluidly coupled with an injection port., 'an inlet assembly coupling the remote plasma source with the top plate and comprising2. The semiconductor processing system of claim 1 , wherein the mounting assembly comprises at least two components.3. The semiconductor processing system of claim 2 , wherein a first component of the mounting assembly comprises an annular gas block.4. The semiconductor processing system of claim 3 , wherein a second component of the mounting assembly comprises a mounting block defining a channel and comprising a first mounting surface and a second mounting surface opposite the first mounting surface.5. The semiconductor processing system of claim 4 , wherein a first section of the channel extending from the first mounting surface is characterized by a first diameter.6. The semiconductor processing system of claim 5 , wherein a second section of the channel extending from the first section of the channel to the second mounting surface is characterized by an increasing diameter at least partially along the first section of the channel towards the second mounting surface.7. The semiconductor processing system of claim 4 , wherein the gas block is coupled with a first surface of the precursor distribution assembly and the mounting block is coupled with a second ...

Подробнее
18-06-2015 дата публикации

SEMICONDUCTOR SYSTEM ASSEMBLIES AND METHODS OF OPERATION

Номер: US20150170943A1
Принадлежит: Applied Materials, Inc.

An exemplary semiconductor processing system may include a processing chamber and a first plasma source. The first plasma source may utilize a first electrode positioned externally to the processing chamber, and the first plasma source may be configured to generate a first plasma. The processing system may further comprise a second plasma source separate from the first plasma source that utilizes a second electrode separate from the first electrode. The second electrode may be positioned externally to the processing chamber, and the second plasma source may be configured to generate a second plasma within the processing chamber. The processing system may further comprise a showerhead disposed between the relative locations of the first plasma electrode and the second plasma electrode. 1. A semiconductor processing system comprising:a processing chamber;a first plasma source utilizing a first electrode positioned externally to the processing chamber, wherein the first plasma source is configured to generate a first plasma;a second plasma source separate from the first plasma source, wherein the second plasma source utilizes a second electrode separate from the first electrode, wherein the second electrode is positioned externally to the processing chamber, and wherein the second plasma source is configured to generate a second plasma within the processing chamber; anda first showerhead disposed between the relative locations of the first plasma electrode and the second plasma electrode.2. The semiconductor processing system of claim 1 , further comprising a second showerhead positioned fluidly downstream from the location in which the second plasma is configured to be generated.3. The semiconductor processing system of claim 2 , further comprising an insulator positioned between the first showerhead and the second showerhead.4. The semiconductor processing system of claim 2 , wherein the second showerhead comprises a multi-channel showerhead.5. The semiconductor ...

Подробнее
18-09-2014 дата публикации

MULTI-MODE ETCH CHAMBER SOURCE ASSEMBLY

Номер: US20140262031A1
Принадлежит:

A multi-chambered processing platform includes one or more multi-mode plasma processing systems. In embodiments, a multi-mode plasma processing system includes a multi-mode source assembly having a primary source to drive an RF signal on a showerhead electrode within the process chamber and a secondary source to generate a plasma with by driving an RF signal on an electrode downstream of the process chamber. In embodiments, the primary source utilizes RF energy of a first frequency, while the secondary source utilizes RF energy of second, different frequency. The showerhead electrode is coupled to ground through a frequency dependent filter that adequately discriminates between the first and second frequencies for the showerhead electrode to be RF powered during operation of the primary source, yet adequately grounded during operation of the secondary plasma source without electrical contact switching or reliance on physically moving parts. 1. A multi-mode plasma processing chamber showerhead assembly , comprising:an electrically conductive disc-shaped showerhead sub-assembly with first openings disposed within an inner region of a top surface of the sub-assembly and second openings disposed within an annular region of the top surface surrounding the first openings;an electrically conductive facility plate disposed over, and in electrical contact with, the showerhead, the facility plate including a heat transfer fluid conduit; andan annular dielectric ring positioned between the inner region and annular regions to stand-off and electrically insulate a powered electrode from the facility plate and the top surface of the showerhead sub-assembly.2. The showerhead assembly of claim 1 , wherein the facility plate further comprises a gas conduit in fluid communication with the second openings and forming a perimeter around the heat transfer fluid conduit claim 1 , the gas conduit having a gas inlet to receive a first process gas fitting.3. The showerhead assembly of claim ...

Подробнее
18-09-2014 дата публикации

METHOD AND APPARATUS FOR GENERATING A VARIABLE CLOCK USED TO CONTROL A COMPONENT OF A SUBSTRATE PROCESSING SYSTEM

Номер: US20140262032A1
Принадлежит: APPLIED MATERIALS, INC

Methods and apparatus for generating a variable clock used to control a component of a substrate processing system are provided herein. In some embodiments, an apparatus for controlling a substrate processing system includes: a phase locked loop circuit for generating a relative clock that is phase locked to a variable frequency signal being used by a substrate processing chamber; and a controller, coupled to the phase locked loop circuit, for producing a control signal for a component of the substrate processing system, wherein the control signal is based upon the relative clock and an operating indicia of the substrate processing system. 1. An apparatus for controlling a substrate processing system , comprising:a phase locked loop circuit for generating a relative clock that is phase locked to a variable frequency signal being used by a substrate processing chamber; anda controller, coupled to the phase locked loop circuit, for producing a control signal for a component of the substrate processing system, wherein the control signal is based upon the relative clock and an operating indicia of the substrate processing system.2. The apparatus of claim 1 , wherein the phase locked loop comprises:a phase detector for generating a phase error signal indicative of the phase error between the variable frequency signal and a signal from a voltage controlled oscillator;at least one loop filter, coupled to the phase detector, for filtering the phase error signal to achieve negative feedback, and control convergence time, phase noise, and spurious frequencies; andthe voltage controlled oscillator (VCO), coupled to the loop filter, for generating a relative clock signal of the relative clock.3. The apparatus of claim 2 , wherein the at least one loop filter comprises a plurality of selectable loop filters claim 2 , where each loop filter in the plurality of loop filters has a different filter characteristic.4. The apparatus of claim 2 , wherein the VCO produces a signal with a ...

Подробнее
18-09-2014 дата публикации

MULTIPLE COIL INDUCTIVELY COUPLED PLASMA SOURCE WITH OFFSET FREQUENCIES AND DOUBLE-WALLED SHIELDING

Номер: US20140265832A1
Принадлежит: Applied Materials, Inc.

A plasma reactor has an overhead multiple coil antennas including a parallel spiral coil antenna and symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source. 1. A plasma reactor comprising:a window assembly;first and second coil antennas adjacent said window assembly;a first current distributor coupled to said first coil antenna and a second current distributor coupled to said second coil antenna;first and second RF feed terminals; andfirst and second RF power sources coupled to said first and second RF feed terminals respectively and having respective offset frequencies f and f±Δf, wherein Δf is between 1% and 20% of f.2. The plasma reactor of further comprising a grounded cylindrical shield between said first and second coil antennas and surrounding said first coil antenna claim 1 , said grounded cylindrical shield comprising a cylindrical inner wall and a cylindrical outer wall defining an annular field free region between them claim 1 , and an axially extending utility conduit in said field free region.3. The plasma reactor of wherein said first and second coil antennas comprise respective RF feed ends coupled to respective ones of said first and second current distributors claim 1 , and respective RF return ends claim 1 , said reactor further comprising:respective switches connected between said respective RF return ends and ground.4. The plasma reactor of further comprisinga conductive feed plate lying in a plane above said first and second coil antennas and coupled to said second RF feed terminal, and a plurality of axial rods coupled between a peripheral annular zone of said conductive feed plate and said second current distributor;a conductive ground plate in a plane between said conductive feed plate and said first current distributor; anda first radial conductive feed rod lying in a plane above said conductive ground plate and having an inner end ...

Подробнее
18-09-2014 дата публикации

ELECTRON BEAM PLASMA SOURCE WITH SEGMENTED SUPPRESSION ELECTRODE FOR UNIFORM PLASMA GENERATION

Номер: US20140265855A1
Принадлежит: Applied Materials, Inc.

A plasma reactor that generates plasma in a workpiece processing chamber by an electron beam, has an electron beam source and segmented suppression electrode with individually biased segments to control electron beam density distribution. 1. A plasma reactor for processing a workpiece , comprising:a workpiece processing chamber having a beam entrance;an electron beam source chamber comprising an electron beam source enclosure having a beam opening facing said beam entrance of said workpiece processing chamber;an extraction electrode between said beam opening and said beam entrance and an acceleration electrode between said extraction electrode and said beam entrance and insulated from said extraction electrode;a suppression electrode between said extraction electrode and said acceleration electrode, said suppression electrode comprising plural segments insulated from one another, and respective bias sources coupled to respective ones of said plural segments.2. The plasma reactor of wherein said respective bias sources comprise individually controlled voltage sources connected to respective ones of said plural segments.3. The plasma reactor of further comprising:a controller coupled to said individually controlled voltage sources.4. The plasma reactor of wherein said controller is adapted to control at least one of: (a) voltage magnitudes of said individually controlled voltage sources claim 3 , (b) pulse duty cycles of said individually controlled voltage sources.5. The plasma reactor of wherein said respective bias sources comprise a set of individually controlled electrical elements and a suppression voltage source claim 1 , said individually controlled electrical elements connected between respective ones of said segments and said suppression voltage source.6. The plasma reactor of wherein said individually controlled electrical elements comprise plural switches.7. The plasma reactor of further comprising:a controller for controlling said individually controlled ...

Подробнее
18-09-2014 дата публикации

DIGITAL PHASE CONTROLLER FOR TWO-PHASE OPERATION OF A PLASMA REACTOR

Номер: US20140265910A1
Принадлежит: Applied Materials, Inc.

Phase angle between opposing electrodes in a plasma reactor is controlled in accordance with a user selected phase angle. Direct digital synthesis of RF waveforms of different phases for the different electrodes is employed. The synthesis is synchronized with a reference clock. The address generator employed for direct digital synthesis is synchronized with an output clock signal that is generated in phase with the reference clock using a phase lock loop. The phase lock loop operates only during a limited initialization period. 1. A plasma reactor for processing a workpiece , comprising:a pair of electrodes coupled to a pair of RF power amplifiers having an RF phase angle between them;a feedback controller having a phase correction signal output dependent upon said RF phase angle and a user-defined target phase angle;a clock generator comprising: (a) an oscillator responsive to a control signal corresponding to a phase difference between said oscillator and a reference clock, and (b) an output clock derived from an output of said oscillator;an address generator comprising a pair of address outputs incremented in synchronism with said output clock and separated by an address difference dependent upon said phase correction signal output;a pair of waveform-containing read only memories coupled to receive respective ones of said pair of address outputs, and coupled to respective ones of said RF power amplifiers.2. The plasma reactor of further comprising a microcontroller coupled to said clock generator claim 1 , said microcontroller adapted to prevent change in said control signal after a limited initialization period.3. The plasma reactor of wherein said microcontroller is coupled to said address generator claim 2 , said microcontroller further adapted to inactivate said address generator during said limited initialization period.4. The plasma reactor of wherein said feedback controller is comprised in said microcontroller.5. The plasma reactor of wherein said output ...

Подробнее
20-06-2019 дата публикации

TWO CHANNEL COSINE-THETA COIL ASSEMBLY

Номер: US20190189330A1
Принадлежит:

A coil assembly for controlling a magnetic field in a plasma chamber is provided herein. In some embodiments, the coil assembly may include a mandrel including an annular body that includes at least one upper body coolant channel and at least one lower body coolant channel, and a plurality of cooling fins disposed circumferentially about an outer diameter of the body and radially outward from the outer diameter, an inner electromagnetic cosine-theta (cos θ) coil ring including a first set of inner coils wrapped around the plurality of cooling fins in the body and configured to generate a magnetic field in a first direction, an outer electromagnetic cosine-theta (cos θ) coil ring including a second set of outer coils wrapped around the plurality of cooling fins and configured to generate a magnetic field in a second direction orthogonal to the first direction. 1. A coil assembly mandrel , comprising:an annular body having a central opening, wherein the body includes at least one body coolant channel; anda plurality of cooling fins disposed circumferentially about an outer diameter of the body and radially outward from the outer diameter, wherein at least one of the cooling fins is an active cooling fin, and wherein the active cooling fin includes at least one cooling fin channel formed within the active cooling fin that is fluidly coupled to the at least one body coolant channel.2. The coil assembly mandrel of claim 1 , wherein the at least one body coolant channel includes at least one upper body coolant channel and at least one lower body coolant channel fluidly coupled to the upper body coolant channel at a coolant return location in the body.3. The coil assembly mandrel of claim 2 , wherein the at least one cooling fin channel formed within the active cooling fin includes:an inlet cooling fin channel that extends radially outward from the body to an outer edge of the active cooling fin, wherein the inlet cooling fin channel is fluidly coupled to the upper body ...

Подробнее
11-06-2020 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: US20200185192A1
Принадлежит:

Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems. 1. A plasma processing apparatus , comprising:a lid assembly and a chamber body enclosing a processing region; and a support pedestal disposed in a central region of the chamber body fluidly sealed from the processing region;', 'a lower electrode supported by the support pedestal;', 'a first actuation device disposed within the central region and configured to vertically move the lower electrode a distance;', 'a central support member sealed to the chamber body and the lower electrode;', 'a plasma screen supported by the lower electrode and extending along a periphery of the substrate support assembly;', 'an upper liner having an inner wall that maintains an overlap with the plasma screen as the first actuation device moves the lower electrode to protect the substrate support assembly during processing;', 'a plurality of lift pins disposed in the substrate support assembly; and', 'a second actuation device disposed within the central region and configured to vertically move the plurality of lift pins, wherein the plurality of lift pins are coupled to a lift pin plate., 'a substrate support assembly disposed in the chamber body, wherein the substrate support assembly comprises2. The plasma processing apparatus of claim 1 , further comprising a vacuum tube fluidly coupled to one or more lift pin holes disposed within the lower electrode.3. The plasma ...

Подробнее
20-07-2017 дата публикации

Layerwise heating, linewise heating, plasma heating and multiple feed materials in additive manufacturing

Номер: US20170203363A1
Принадлежит: Applied Materials Inc

An additive manufacturing system that includes a platen, a feed material delivery system configured to deliver feed material to a location on the platen specified by a computer aided design program and a heat source configured to raise a temperature of the feed material simultaneously across all of the layer or across a region that extends across a width of the platen and scans the region across a length of the platen. The heat source can be an array of heat lamps, or a plasma source.

Подробнее
18-06-2020 дата публикации

ELECTRON BEAM APPARATUS FOR OPTICAL DEVICE FABRICATION

Номер: US20200192027A1
Принадлежит:

Aspects of the disclosure relate to apparatus for the fabrication of waveguides. In one example, an angled ion source is utilized to project ions toward a substrate to form a waveguide which includes angled gratings. In another example, an angled electron beam source is utilized to project electrons toward a substrate to form a waveguide which includes angled gratings. Further aspects of the disclosure provide for methods of forming angled gratings on waveguides utilizing an angled ion beam source and an angled electron beam source. 1. An electron beam etching apparatus , comprising:a chamber body defining a process volume;a pedestal disposed in the process volume;a first electrode coupled to the pedestal;a lid coupled to the chamber body; anda second electrode coupled to the lid, the second electrode comprising a segmented surface comprising a plurality of angled surfaces disposed in a non-normal orientation relative to a datum plane defined by the first electrode.2. The apparatus of claim 1 , wherein the plurality of angled surfaces of the segmented surface are substantially uniform across the second electrode.3. The apparatus of claim 2 , wherein the angled surfaces are disposed at an angle of between about 1° and about 75° relative to the datum plane defined by the first electrode.4. The apparatus of claim 1 , wherein the plurality of angled surfaces of the segmented surface differ in at least one of angle claim 1 , position claim 1 , spacing claim 1 , or orientation.5. The apparatus of claim 4 , wherein the angled surfaces are disposed at one or more angles of between about 1° and about 75° relative to the datum plane defined by the first electrode.6. The apparatus of claim 1 , wherein the pedestal is coupled to an actuator configured to raise and lower the pedestal within the process volume.7. The apparatus of claim 6 , wherein the actuator is operable to rotate the pedestal about a vertical axis.8. The apparatus of claim 1 , wherein the first electrode is ...

Подробнее