Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 559. Отображено 112.
17-05-2018 дата публикации

Lagerbuchse für eine Welle eines Turboladers

Номер: DE102016222625A1
Принадлежит:

Die Erfindung betrifft eine Lagerbuchse für eine Welle eines Turboladers, mit zumindest einer ringförmigen Axiallagerfläche, umfassend zumindest eine Anzahl von Keilflächen, welche einander in Umfangsrichtung folgen.Die erfindungsgemäße Lagerbuchse ist dadurch gekennzeichnet, dass die Keilflächen in einer Ebene senkrecht zur zentralen Achse der Lagerbuchse starten und von dieser Ebene in axialer Richtung entlang der Umfangsrichtung absteigen, wobei jede der Keilflächen an einer Kante der benachbarten Keilfläche mit einer Stufe in axialer Richtung unterhalb der Ebene endet.

Подробнее
20-04-2017 дата публикации

SCHICHTWEISES HEIZEN, ZEILENWEISES HEIZEN, PLASMAHEIZEN UND MULTIPLE EINSATZMATERIALIEN BEI ADDITIVER HERSTELLUNG

Номер: DE112015003164T5

Ein System für additive Herstellung umfasst eine Platte, ein Einsatzmaterial-Spendersystem, das eingerichtet ist, um ein Einsatzmaterial an einer Stelle über der Platte, die in einem CAD-Programm spezifiziert ist, auszugeben, und eine Wärmequelle, die eingerichtet ist, um eine Temperatur des Einsatzmaterials gleichzeitig über die gesamte Schicht oder über einen Bereich, der sich über eine Breite der Platte erstreckt, zu erhöhen, und es scannt den Bereich über eine Länge der Platte. Die Wärmequelle kann eine Anordnung von Wärmelampen oder eine Plasmaquelle sein.

Подробнее
30-03-2017 дата публикации

Additive Herstellung mittels Laser und Plasma

Номер: DE112015003334T5

Ein additives Herstellungssystem umfasst eine Platte, eine Abgabevorrichtung für Zuführmaterial, die konfiguriert ist, um ein Zuführmaterial über die Platte zu befördern, einen Laser, der konfiguriert ist, um einen Laserstrahl zu erzeugen, eine Steuereinrichtung, die konfiguriert ist, um den Laserstrahl an Stellen zu richten, die durch Daten spezifiziert sind, die in einem computerlesbaren Medium gespeichert sind, um das Zuführmaterial zu verschmelzen, und eine Plasmaquelle, die konfiguriert ist, Ionen zu erzeugen, die im Wesentlichen auf dieselbe Stelle der Platte gerichtet sind wie der Laserstrahl.

Подробнее
08-01-2015 дата публикации

Gekühlte reflektierende Adapterplatte für eine Bedampfungskammer

Номер: DE112013002199T5

In einer Ausführungsform ist eine Adapterplatte für eine Bedampfungskammer vorgesehen. Die Adapterplatte umfasst ein Gehäuse, eine mittig auf dem Gehäuse angeordnete Montageplatte, einen ersten ringförmigen Abschnitt, der sich in Längsrichtung von einer ersten Oberfläche der Montageplatte aus erstreckt und von einer Außenfläche der Montageplatte radial nach innen angeordnet ist, einen zweiten ringförmigen Abschnitt, der sich in Längsrichtung von einer gegenüberliegenden zweiten Oberfläche der Montageplatte aus erstreckt und von der Außenfläche der Montageplatte radial nach innen angeordnet ist, und eine auf der Innenseite des zweiten ringförmigen Abschnitts angeordnete hochglanzpolierte Fläche, wobei die hochglanzpolierte Fläche eine durchschnittliche Oberflächenrauheit von 6 Ra oder weniger hat.

Подробнее
24-12-2014 дата публикации

Kantenring für eine Abscheidungskammer

Номер: DE112013001929T5

Offenbart sind eine Vorrichtung und Verfahren zur Material- und Wärmebearbeitung von Substraten in einer einzelnen Kammer. In einer Ausführungsform wird ein Kantenring bereitgestellt. Der Kantenring weist einen ringförmigen Körper mit einer Innenumfangskante, einer ersten Fläche und einer der ersten Fläche entgegengesetzten zweiten Fläche auf, ein erstes erhabenes Teil, das sich im Wesentlichen orthogonal von der zweiten Fläche erstreckt, ein zweites erhabenes Teil, das sich von der zweiten Fläche angrenzend an das erste erhabene Teil erstreckt und vom ersten erhabenen Teil durch eine erste Vertiefung getrennt ist, und ein drittes erhabenen Teil, das sich von der zweiten Fläche angrenzend an das zweite erhabene Teil erstreckt und durch eine zweite Vertiefung getrennt ist, wobei die zweite Vertiefung eine schräge Fläche aufweist, die einen Reflexionswert hat, der anders ist als ein Reflexionswert der ersten Fläche.

Подробнее
03-03-2022 дата публикации

Schmiermittelpumpe

Номер: DE102021208959A1
Автор: Hegde, Schoenfeld, Shirol, Subramani
Принадлежит:

Offenbart ist eine Schmiermittelpumpe (1), die zumindest einen Schmiermittelbehälter (2), einen Motor (10) mit einer Motorwelle (11), wobei der Motor (10) ausgelegt ist, die Motorwelle (11) sowohl im Uhrzeigersinn als auch im Gegenuhrzeigersinn zu drehen, zumindest ein Pumpenelement (13a, 13b) umfasst, das ausgelegt ist, um eine vordefinierte Menge an Schmiermittel (3) von dem zumindest einen Schmiermittelreservoir (2) an zumindest einem Auslass (17a, 17b) bereitzustellen, wobei die Schmiermittelpumpe (1) auch zumindest einen Freilauf (18a, 18b) aufweist, der an der Motorwelle (11) befestigt ist, wobei der zumindest eine Freilauf (18a, 18b) ausgelegt ist, mit dem zumindest einen Pumpelement (13a, 13b) in einem Eingriffszustand zusammenzuwirken, wenn die Motorwelle (11) in einer der beiden Drehrichtungen dreht, so dass das zumindest eine Pumpelement (13a, 13b) die Schmiermittelmenge (3) bereitstellt und in einem Leerlaufzustand frei läuft, wenn die Motorwelle (11) in die andere der beiden ...

Подробнее
19-09-2019 дата публикации

Lagerbuchse für eine Welle eines Turboladers

Номер: DE102018204162A1
Принадлежит:

Die Erfindung betrifft eine Lagerbuchse mit einer zentralen Achse zur Aufnahme einer um diese Achse rotierenden Welle eines Turboladers mit zumindest einer Axiallagerfläche und zumindest einer Radiallagerfläche, welche mehrere schraubenlinienförmige Schmiermittelnuten zur Förderung von Schmiermittel aufweist.Die erfindungsgemäße Lagerbuchse ist dadurch gekennzeichnet, dass die Axiallagerfläche eine Anzahl von Rastflächen in einer Ebene senkrecht zur Achse und eine gleiche Anzahl von Keilflächen jeweils zwischen den Rastflächen aufweist, wobei jeweils am Übergang der Keilflächen in eine der benachbarten Rastflächen eine von radial innen nach radial außen verlaufende Keilnut ausgebildet ist, und wobei jede der Keilnuten mit zumindest einer der Schmiermittelnuten in Verbindung steht.

Подробнее
19-06-2019 дата публикации

Duale Lötmethoden für Ultrahochdichte Erste-Ebene-Zwischenverbindungen

Номер: DE102018128879A1
Принадлежит:

Ein Vorrichtung, umfassend ein Gehäuse einer integrierten Schaltung mit zumindest einer Löt-Bond-Anschlussfläche, einem Die mit zumindest einer Löt-Bond-Anschlussfläche, wobei der Die an das IC-Gehäuse gebondet ist, durch zumindest eine Lötverbindung zwischen der zumindest einen Löt-Bond-Anschlussfläche des Dies und der zumindest einen Löt-Bond-Anschlussfläche des IC-Gehäuses, und einem Unterfüll-Material zwischen dem IC-Gehäuse und dem Die, wobei die zumindest eine Lötverbindung in das Unterfüll-Material eingebettet ist, und wobei die zumindest eine Lötverbindung eine erste Metallurgie und ein zweite Metallurgie umfasst.

Подробнее
18-05-2017 дата публикации

ADDITIVE HERSTELLUNG MITTELS LASER UND GASFLUSS

Номер: DE112015003337T5

Ein additives Herstellungssystem umfasst eine Platte, eine Abgabevorrichtung für Zuführmaterial, konfiguriert um ein Zuführmaterial auf die Platte zu befördern, eine Laserquelle, konfiguriert um einen Laserstrahl während der Verwendung des additiven Herstellungssystems zu erzeugen, eine Steuereinrichtung, konfiguriert um den Laserstrahl an Stellen auf der Platte zu richten, die durch ein CAD-Programm (computer-aided design) spezifiziert sind, um das Zuführmaterial zu verschmelzen, eine Gasquelle, konfiguriert um Gas zu liefern, und eine Düse, konfiguriert um das Gas zu beschleunigen und auf im Wesentlichen dieselbe Stelle der Platte zu richten wie den Laserstrahl.

Подробнее
03-12-2020 дата публикации

Lagerbuchse für eine Welle eines Turboladers

Номер: DE112019001424A5
Принадлежит:

Подробнее
27-01-2022 дата публикации

Gekühlte reflektierende Adapterplatte für eine Bedampfungskammer

Номер: DE112013002199B4
Автор: Goel, Subramani, Ewert
Принадлежит: Applied Materials, Inc.

Adapterplatte (106) für eine Bedampfungskammer (100), umfassend: ein Gehäuse (200) aufweisend eine mittig angeordnete Längsachse (228) und umfassend: eine mittig entlang der Längsachse (228) angeordnete Montageplatte (202); einen ersten ringförmigen Abschnitt (204), der sich entlang der Längsachse (228) von einer ersten Oberfläche (207A) der Montageplatte (202) aus erstreckt und von einer Außenfläche (107) der Montageplatte (202) radial nach innen angeordnet ist; einen zweiten ringförmigen Abschnitt (206), der sich entlang der Längsachse (228) von einer gegenüberliegenden zweiten Oberfläche (207B) der Montageplatte (202) aus erstreckt und von der Außenfläche (107) der Montageplatte (202) radial nach innen angeordnet ist; eine auf der Innenseite des zweiten ringförmigen Abschnitts (206) angeordnete hochglanzpolierte Fläche (152), wobei die hochglanzpolierte Fläche (152) eine durchschnittliche Oberflächenrauheit von 6 Ra oder weniger hat; und eine Mehrzahl von in der hochglanzpolierten Fläche ...

Подробнее
11-09-2014 дата публикации

Verbesserte Datenbankabfrage und Aufwandsschätzung

Номер: DE112012004916T5
Принадлежит: TIBCO SOFTWARE INC, TIBCO SOFTWARE INC.

Es werden Systeme und Verfahren beschrieben, die sich auf eine Datenbankabfrageoptimierung und Abfrageaufwandsschätzung beziehen. Der Ansatz wird im Kontext des Durchsuchens von ausgeglichenen und halbausgeglichenen Baumindizes, z. B. B-Bäume, B+-Bäume und R-B-Bäume, beschrieben. Der beschriebene Ansatz kann sowohl für einfache als auch zusammengesetzte Abfragen verwendet werden, und der beschriebene Ansatz kann für relationale Abfragen verwendet werden, d. h., wenn eine Variable kleiner oder größer als ein gewisser Wert ist und die Datenbank verwendet wird, um den Datensatz zu finden, der die Relation erfüllt. Darüber hinaus kann der beschriebene Ansatz für generalisierte N-äre Baumabfragen und Aufwandschätzungen verwendet werden.

Подробнее
02-02-2012 дата публикации

FLUIDIZED CATALYTIC CRACKING PROCESS

Номер: US20120024748A1
Принадлежит:

The present invention relates to a fluidized catalytic cracking process for cracking hydrocarbon feed having organo-sulfur compound as an impurity, said process comprising: adding a heavy metal poisoned spent catalyst to an equilibrium catalyst to obtain a composite circulating catalyst, wherein the heavy metal poisoned spent catalyst is added in an amount to maintain the activity of the circulating catalyst; and obtaining a fluidized catalytic cracked product. 1. A fluidized catalytic cracking process for cracking hydrocarbon feed having organo-sulfur compound as an impurity , said process comprising:adding a heavy metal poisoned spent catalyst to an equilibrium catalyst to obtain a composite circulating catalyst, wherein the heavy metal poisoned spent catalyst is added in an amount to maintain the activity of the circulating catalyst; andobtaining a fluidized catalytic cracked product.2. The process as claimed in claim 1 , wherein the hydrocarbon feed is selected from a group consisting of straight run hydrocarbon fractions and cracked hydrocarbon fractions or mixture thereof having carbon number 5 to 120 comprising at least one organo-sulfur compound.3. The process as claimed in claim 1 , wherein the heavy metal poisoned spent catalyst comprises one or more heavy metals selected from Pt claim 1 , V claim 1 , Ni claim 1 , Fe claim 1 , Co or Mo.4. The process as claimed in claim 1 , wherein the heavy metal poisoned spent catalyst has heavy metal concentration not less than 500 ppm.5. The process as claimed in claim 1 , wherein the activity of the composite circulating catalyst is maintained by maintaining the vanadium concentration in the range of 550 ppm to 20 claim 1 ,000 ppm.6. The process as claimed in claim 1 , wherein the heavy metal concentration in the equilibrium catalyst is less than 20 claim 1 ,000 ppm.7. The process as claimed in claim 1 , said process comprising:adding a heavy metal poisoned spent catalyst to an equilibrium catalyst to obtain a ...

Подробнее
12-07-2012 дата публикации

MEMORY CIRCUITS, SYSTEMS, AND METHOD OF INTERLEAVNG ACCESSES THEREOF

Номер: US20120176856A1

An interleaved memory circuit includes a memory bank including at least one first memory cell for storing a charge representative of a first datum, the first memory cell being coupled with a first word line and a first bit line. The interleaved memory circuit further includes a local control circuit coupled with the memory bank. The interleaved memory circuit further includes a global control circuit coupled with the local control circuit, an interleaving access including a clock signal having a first cycle and a second cycle for accessing the first memory cell, where the second cycle is capable of enabling the local control circuit to trigger a first transition of a first read column select signal RSSL for accessing the first memory cell. 1. An interleaved memory circuit comprising:a memory bank including at least one first memory cell for storing a charge representative of a first datum, the first memory cell being coupled with a first word line and a first bit line;a local control circuit coupled with the memory bank; anda global control circuit coupled with the local control circuit, an interleaving access including a clock signal having a first cycle and a second cycle for accessing the first memory cell, wherein the second cycle is capable of enabling the local control circuit to trigger a first transition of a first read column select signal RSSL for accessing the first memory cell.2. The interleaved memory circuit of claim 1 , wherein the local control circuit comprises:a first logic gate capable of receiving the clock signal;a first inverter coupled with the first logic gate;a word line controller coupled with the first inverter;a word line driver coupled with the word line control circuit;a first delay circuit coupled with an output end of the word line controller;a sense amplifier enable generator coupled with the first delay circuit;a write column select generator coupled with the first delay circuit;a second logic gate coupled with the first delay ...

Подробнее
23-08-2012 дата публикации

MEMORY BUILDING BLOCKS AND MEMORY DESIGN USING AUTOMATIC DESIGN TOOLS

Номер: US20120213013A1

The memory building blocks can be used in conjunction with ASIC automatic design tools to generate a memory macro (e.g., a memory array) using a known ASIC design flow including, for example, register transfer level (RTL), synthesis, automatic place and route (APR) and timing analysis. 1. A memory cell comprising:a storage device configured to store a datum;a switch device configured to selectively apply a write datum to the storage device; anda logic gate having a first input terminal and an output terminal, the first input terminal of the logic gate being coupled to the storage device, and the logic gate being configured to generate an output datum at the output terminal of the logic gate according to the datum stored at the storage device.2. The memory cell of claim 1 , wherein the storage device comprises:a first node;a second node;a first inverter having an input terminal and an output terminal, the input terminal of the first inverter coupled to the first node, and the output terminal of the first inverter coupled to the second node; anda second inverter having an input terminal and an output terminal, the input terminal of the second inverter coupled to the second node, and the output terminal of the second inverter coupled to the first node.3. The memory cell of claim 1 , further comprising:a write data line;a write word line; anda complementary write word line,wherein the switch device comprises a pass gate coupled between the storage device and the write data line and controlled by the write word line and the complementary write word line.4. The memory cell of claim 1 , further comprising a read word line claim 1 ,wherein the logic gate further comprises a second input terminal coupled with the read word line.5. The memory cell of claim 4 , wherein the logic gate is a NAND gate.6. The memory cell of claim 4 , further comprising:a write data line coupled to the switch device and carrying the write datum; anda write word line coupled to the switch device, ...

Подробнее
11-10-2012 дата публикации

Method for Metal Deposition Using Hydrogen Plasma

Номер: US20120258602A1
Принадлежит: Applied Materials Inc

Methods for formation and treatment of pure metal layers using CVD and ALD techniques are provided. In one or more embodiments, the method includes forming a metal precursor layer and treating the metal precursor layer to a hydrogen plasma to reduce the metal precursor layer to form a metal layer. In one or more embodiments, treating the metal precursor layer includes exposing the metal precursor layer to a high frequency-generated hydrogen plasma. Methods of preventing a hydrogen plasma from penetrating a metal precursor layer are also provided.

Подробнее
07-03-2013 дата публикации

REFLECTIVE DEPOSITION RINGS AND SUBSTRATE PROCESSING CHAMBERS INCORPORTING SAME

Номер: US20130055952A1
Принадлежит: Applied Materials, Inc.

Apparatus for improving temperature uniformity across a substrate are provided herein. In some embodiments, a deposition ring for use in a substrate processing system to process a substrate may include an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; and wherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is about 5 to about 50 percent of a total surface area of the first surface. 1. A deposition ring for use in a substrate processing system to process a substrate , comprising:an annular body having a first surface, an opposing second surface, and a central opening passing through the first and second surfaces, wherein the second surface is configured to be disposed over a substrate support having a support surface to support a substrate having a given width, and wherein the opening is sized to expose a predominant portion of the support surface; andwherein the first surface includes at least one reflective portion configured to reflect heat energy toward a central axis of the annular body, wherein the at least one reflective portion has a surface area that is about 5 to about 50 percent of a total surface area of the first surface.2. The deposition ring of claim 1 , wherein the at least one reflective portion is coated with a reflective material.3. The deposition ring of claim 1 , wherein the annular body further comprises:a groove disposed in the first surface and configured to receive a buildup of deposition material during substrate processing.4. The deposition ring of claim 3 , ...

Подробнее
07-03-2013 дата публикации

PROCESS FOR SIMULTANEOUS CRACKING OF LIGHTER AND HEAVIER HYDROCARBON FEED AND SYSTEM FOR THE SAME

Номер: US20130056393A1
Принадлежит: INDIAN OIL CORPORATION LIMITED

The invention provides for a process and apparatus for simultaneous conversion of lighter and heavier hydrocarbon feedstocks into improved yields of light olefins in the range of C2 to C4, liquid aromatics in the range C6 to C8 mainly benzene, toluene, xylene and ethyl benzene and other useful products employing at least two different reactors operated in series with respect to catalyst flow and parallel with respect to feed flow under different regimes and process conditions with same catalyst system. 1. A process for simultaneous conversion of lighter and heavier hydrocarbon feedstocks into improved yields of light olefins , liquid aromatics and other useful products by a continuous FCC operation in multiple reaction zones in different reactors operating under different regimes and conditions comprising the steps of:a) cracking the lighter hydrocarbon feedstock in a first reaction zone in the first reactor to get a first reactor effluent mixture;b) separating the first reactor effluent mixture of step (a) into a vapor rich phase and a solid rich phase;c) separating the vapor rich phase of step (b) in a product separator into C5− and C5+ fractions;d) recycling the C5+ fractions back to the first reaction zone and continuing the cracking operation until the aromatics concentration in C5+ fractions reaches more than 90 wt %;e) stripping a portion of the solid rich phase of step (b) containing coke laden catalyst using steam to remove entrapped hydrocarbons along with vapor rich phase entering the product separator;f) transferring the remaining portion of solid rich phase of step (b) containing coke laden catalyst of step from the first reaction zone to a second reaction zone of a second reactor, cracking the heavier hydrocarbon feedstock therein at a relatively lesser temperature and pressure as compared with those in the first reaction zone to get a second reactor effluent mixture;g) separating the effluent mixture of step (f) into a vapor rich phase and a solid ...

Подробнее
25-04-2013 дата публикации

METHOD FOR RAPID TREATMENT OF WASTE WATER AND A COMPOSITION THEREOF

Номер: US20130098837A1
Принадлежит: RICHCORE LIFESCIENCES PVT. LTD.

The present invention discloses a process and a composition for the treatment of wastewater. The composition comprises of microbes, enzymes and cofactors along with the nutrients. The composition is a synergistic composition and wastewater can be treated effectively for the removal of pollutants by using the synergistic composition. 1. A composition for the treatment of wastewater , the composition comprising of microbes , enzymes and cofactors , wherein the microbes , enzymes and cofactors act synergistically with each other thereby reducing the level of the pollutants in the wastewater.2. The composition as claimed in further comprising nutrients.3. The composition as claimed in wherein the cofactors comprise of an oxidizing agent and inorganic salts of metal ions.4Pseudomonas aeruginosa, Pseudomonas fluorescence, Pseudomonas putida, Pseudomonas desmolyticum, Coriolus versicolour, LactobacillusBacillus subtilis, Bacillus cereus, StaphylococcusPhanerochaete chrysosporium. The composition as claimed in wherein the microbes are selected from sp. sp. claim 1 , alone or in combination.5. The composition as claimed in wherein the enzymes are selected from peroxidases (manganese dependent and manganese independent) claim 1 , lignin peroxidase claim 1 , laccase claim 1 , catalase claim 1 , cytochrome c oxidase claim 1 , glucose oxidase claim 1 , phenol oxidase claim 1 , n- and o-demethylase claim 1 , protease claim 1 , lipase claim 1 , alpha-amylase and bacteriocin alone or in combination.6. The composition as claimed in wherein the enzymes are selected from 10%-20% v/v of peroxidases (manganese dependent and manganese independent) claim 5 , 7%-10% v/v of lignin peroxidase claim 5 , 7%-10% v/v of laccase claim 5 , 1%-5% v/v of catalase claim 5 , 0.5%-3% v/v of cytochrome c oxidase claim 5 , 5%-10% v/v of glucose oxidase claim 5 , 3%-5% v/v of phenol oxidase claim 5 , 1%-2% v/v of n- and o-demethylase claim 5 , 5%-7% v/v of protease claim 5 , 5%-7% v/v of lipase claim 5 , ...

Подробнее
30-05-2013 дата публикации

Database query optimization and cost estimation

Номер: US20130138679A1
Принадлежит: TIBCO SOFTWARE INC.

Described are systems and methods relating to database query optimization and query cost estimation. The approach is described in the context of searching balanced and semi-balanced tree indexes such as B-trees, B+ trees, and R-B trees. The described approach may be used for both simple and composite queries, and the described approach may be used for relational queries, i.e., where a variable is less than or greater than a certain value and the database is being used to find the set of records that satisfy the relation. Further, the described approach may be used for generalized N-ary tree queries and cost estimations. 1. A system for optimizing database queries and estimating query costs , the system comprising:a computer-readable medium having a tree indexed data structure and operable to a store computer-readable query optimizer; anda processor operable to execute the query optimizer for computing a cost estimate ratio of nodes in the tree indexed data structure, the cost estimate ratio comprising a first number of nodes having values less than a key value versus a second number of nodes having values more than the key value, and further operable to compute a cost estimation by normalizing the cost estimate ratio relative to a total size of the tree indexed data structure,wherein the tree indexed data structure comprises a hierarchical structure with a root node and a plurality of child nodes, and further wherein the tree index is traversed with the key value.2. A system of claim 1 , wherein the nodes comprise stored values that are compared to the key value when the tree index is traversed.3. A system of claim 2 , wherein the processor traverses the tree index by comparing the key value to the stored values claim 2 , starting at the root node and traversing the hierarchical structure until a compared node's stored value matches the key value.4. A system of claim 3 , wherein the processor traverses to a left node at a lower level in the hierarchical structure if ...

Подробнее
06-06-2013 дата публикации

USE OF A1 BARRIER LAYER TO PRODUCE HIGH HAZE ZNO FILMS ON GLASS SUBSTRATES

Номер: US20130139878A1
Принадлежит: Applied Materials, Inc.

Embodiments of the invention provide a method for forming a solar cell including forming a layer comprising alumina on a substrate and forming a transparent conductive layer on the layer comprising alumina. The method may also include forming a transparent conductive seed layer on the layer comprising alumina and forming a transparent conductive bulk layer on the transparent conductive seed layer. Embodiments of the invention also include photovoltaic devices having a substrate, a layer comprising alumina adjacent to the substrate, a zinc oxide-containing transparent conductive seed layer adjacent to the layer comprising alumina, and a zinc oxide-containing transparent conductive bulk layer adjacent the zinc oxide-containing transparent conductive seed layer. 1. A method of forming a solar cell , comprising:forming a layer comprising alumina on a substrate; andforming a transparent conductive layer on the layer comprising alumina.2. The method of claim 1 , wherein the oxygen in the alumina is sourced claim 1 , at least in part claim 1 , from the substrate.3. The method of claim 1 , wherein forming the layer comprising alumina further comprises:depositing a layer comprising aluminum on a substrate within a processing chamber; andannealing the layer comprising aluminum to form the layer comprising alumina.4. The method of claim 1 , wherein annealing the layer comprising aluminum is performed at about 450° C. in an argon atmosphere for about 5 minutes.5. The method of claim 1 , wherein the layer comprising alumina further comprises a matrix of at least one of the following: aluminum and alumina claim 1 , nano particles in aluminum claim 1 , aluminum nano particles in alumina.6. The method of claim 1 , wherein forming the transparent conductive layer further comprises:forming a zinc oxide-containing transparent conductive seed layer on the layer comprising alumina;performing a break in the process; andforming a zinc oxide-containing transparent conductive bulk layer on ...

Подробнее
13-06-2013 дата публикации

SEMICONDUCTOR DEVICE WITH TRANSISTOR LOCAL INTERCONNECTS

Номер: US20130146982A1
Принадлежит: GLOBALFOUNDRIES INC.

A semiconductor device is provided for implementing at least one logic element. The semiconductor device includes a semiconductor substrate with a first transistor and a second transistor formed on the semiconductor substrate. Each of the transistors includes a source, a drain, and a gate. A CA layer is electrically connected to at least one of the source or the drain of the first transistor. A CB layer is electrically connected to at least one of the gates of the transistors and the CA layer. 1. A semiconductor device comprising:a semiconductor substrate;a first transistor and a second transistor formed on said semiconductor substrate;each of said transistors comprising a source, a drain, and a gate;a CA layer electrically connected to at least one of said source or said drain of said first transistor; anda CB layer electrically connected to at least one of said gates of said transistors and said CA layer.2. A semiconductor device as set forth in wherein said CB layer is electrically connected to both of said gates of said transistors.3. A semiconductor device as set forth in wherein said CA layer extends between a first end and a second end and wherein said CB layer is disposed at a center between said ends of said CA layer.4. A semiconductor device as set forth in wherein said CA layer extends between a first end and a second end and wherein said CB layer is disposed adjacent one of said ends of said CA layer.5. A semiconductor device as set forth in further comprising a trench silicide layer sandwiched between said CA layer and said at least one of said source or said drain of said first transistor to electrically connect said CA layer and said at least one of said source or said drain of said first transistor.6. A semiconductor device as set forth in wherein said CA layer and CB layer each comprise tungsten.7. A semiconductor device as set forth in wherein said CA layer and CB layer each comprise copper.8. A semiconductor device as set forth in further ...

Подробнее
13-06-2013 дата публикации

SEMICONDUCTOR DEVICE WITH TRANSISTOR LOCAL INTERCONNECTS

Номер: US20130146986A1
Принадлежит:

A semiconductor device is provided for implementing at least one logic element. The semiconductor device includes a semiconductor substrate with a first transistor and a second transistor formed on the semiconductor substrate. Each of the transistors comprises a source, a drain, and a gate. A trench silicide layer electrically connects one of the source or the drain of the first transistor to one of the source or the drain of the second transistor. 1. A semiconductor device comprising:a semiconductor substrate;a first transistor and a second transistor formed on said semiconductor substrate;each of said transistors comprising a source, a drain, and a gate; anda trench silicide layer electrically connecting one of said source or said drain of said first transistor to one of said source or said drain of said second transistor.2. A semiconductor device as set forth in wherein said gate of said first transistor extends linearly with said gate of said second transistor.3. A semiconductor device as set forth in wherein said trench silicide layer is disposed on one side of said gates of said first and second transistors.4. A semiconductor device as set forth in wherein said trench silicide layer electrically connects said drain of said first transistor to said drain of said second transistor.5. A semiconductor device as set forth in wherein said first transistor is an n-type field-effect transistor (FET) and said second transistor is a p-type FET.6. A semiconductor device as set forth in wherein said gate of said first transistor extends linearly with said gate of said second transistor.7. A semiconductor device as set forth in wherein said trench silicide layer is disposed on one side of said gates of said first and second transistors.8. A semiconductor device as set forth in further comprising a single-sided contact electrically connected to each gate of said transistors.9. A semiconductor device as set forth in further comprising a CA layer electrically connected to ...

Подробнее
18-07-2013 дата публикации

SEMICONDUCTOR DEVICE

Номер: US20130181289A1
Принадлежит: GLOBALFOUNDRIES INC.

A semiconductor device includes a semiconductor substrate having a diffusion region. A transistor is formed within the diffusion region. A power rail is disposed outside the diffusion region. A contact layer is disposed above the substrate and below the power rail. A via is disposed between the contact layer and the power rail to electrically connect the contact layer to the power rail. The contact layer includes a first length disposed outside the diffusion region and a second length extending from the first length into the diffusion region and electrically connected to the transistor. 1. A semiconductor device comprising:a semiconductor substrate having a diffusion region;a transistor formed within said diffusion region and comprising a source, a drain, and a gate;a power rail disposed outside said diffusion region;a contact layer disposed above said substrate and below said power rail; anda via disposed between said contact layer and said power rail to electrically connect said contact layer to said power rail; and whereinsaid contact layer includes a first length disposed outside said diffusion region and a second length extending from said first length into said diffusion region and electrically connected to said transistor.2. A semiconductor device as set forth in wherein said second length of said contact layer is electrically connected to at least one of a source and a drain of said transistor.3. A semiconductor device as set forth in wherein said via is further defined as a plurality of vias disposed between said power rail and said first length of said contact layer and spaced from one another.4. A semiconductor device as set forth in wherein said transistor is further defined as a plurality of transistors formed within said diffusion region.5. A semiconductor device as set forth in wherein said second length of said contact layer is electrically connected to one of said transistors and wherein said contact layer further includes a third length extending ...

Подробнее
01-08-2013 дата публикации

Method Of Depositing Metals Using High Frequency Plasma

Номер: US20130196507A1
Принадлежит:

Methods for depositing metal layers, and more specifically TaN layers, using CVD and ALD techniques are provided. In one or more embodiments, the method includes sequentially exposing a substrate to a metal precursor, or more specifically a tantalum precursor, followed by a high frequency plasma. 1. A method of depositing a metal film on a substrate , the method comprising sequentially exposing the substrate to a metal precursor and a high frequency plasma.2. The method of claim 1 , wherein the high frequency plasma comprises one or more of argon claim 1 , hydrogen claim 1 , ammonia claim 1 , helium and nitrogen.3. The method of claim 1 , wherein the high frequency plasma has a frequency of about 40 MHz.4. The method of claim 1 , wherein the high frequency plasma has a pressure in the range of about 1 Torr to about 20 Torr.5. The method of claim 1 , wherein the high frequency plasma is a capacitively coupled plasma.6. The method of claim 1 , wherein the high frequency plasma has a power in the range of about 200 watts to about 1200 watts.7. The method of claim 1 , wherein the metal precursor is a tantalum precursor.8. The method of claim 7 , wherein the tantalum precursor comprises one or more of pentakis(dimethylamino)tantalum (PDMAT) claim 7 , tantalum fluoride claim 7 , tertbutylimido-tris(ethylmethylamino)tantalum (TBTEMT) claim 7 , tertbutylimido-tris(diethylamino)tantalum (TBTDEAT) claim 7 , tertbutylimido-tris(dimethylamino)tantalum (TBTDMAT) claim 7 , tertiaryamylimido-tris(dimethylamino)tantalum (TAIMATA) claim 7 , tertiaryamylimido-tris(diethylamino)tantalum claim 7 , tertiaryamylimido-tris(methylethylamino)tantalum claim 7 , pentakis(ethylmethylamino)tantalum (PEMAT) claim 7 , pentakis(diethylamido)tantalum (PDEAT) claim 7 , plasmas thereof claim 7 , derivatives thereof claim 7 , or combinations thereof.9. The method of claim 7 , wherein the tantalum precursor is pentakis(dimethylamido)tantalum (PDMAT).10. The method of claim 1 , further comprising ...

Подробнее
29-08-2013 дата публикации

MEASURING ELECTRICAL RESISTANCE

Номер: US20130223129A1

In at least one embodiment, a method includes applying an input voltage external to a semiconductor chip to a first circuit of the semiconductor chip to generate an output voltage external to the semiconductor chip. The first circuit is electrically coupled to a resistive device. A logic state of the resistive device is determined based on a logic state of the external output voltage 1. A method comprising:applying an input voltage external to a semiconductor chip to a first circuit of the semiconductor chip to generate an output voltage external to the semiconductor chip, the first circuit electrically coupled to a resistive device; anddetermining a logic state of the resistive device based on a logic state of the external output voltage.2. The method of further comprising:using the external input voltage to generate a voltage and a current inside the semiconductor chip;passing the voltage and the current generated inside the semiconductor chip to outside the semiconductor chip; anddetermining a reference resistance based on the voltage and the current that are passed to outside the semiconductor chip.3. The method of claim 2 , further comprising:using a plurality of values of the external input voltage to obtain a respective plurality of values of the reference resistance; anddetermining a plurality of logic states of the resistive device based on the respective plurality of values of the reference resistance to obtain a resistance value of the resistive device.4. The method of wherein the resistive device is an electrical fuse of a memory cell.5. The method of further comprising generating from the external input voltage a first voltage and a second voltage based on which the logic state of the external output voltage is determined.6. The method of further comprising:generating from the first voltage a first current flowing through the resistive device;generating from the second voltage a second current;generating from the first current and a resistance of the ...

Подробнее
17-10-2013 дата публикации

OFF-ANGLED HEATING OF THE UNDERSIDE OF A SUBSTRATE USING A LAMP ASSEMBLY

Номер: US20130270107A1
Принадлежит:

Disclosed are method and apparatus for treating a substrate. The apparatus is a dual-function process chamber that may perform both a material process and a thermal process on a substrate. The chamber has an annular radiant source disposed between a processing location and a transportation location of the chamber. Lift pins have length sufficient to maintain the substrate at the processing location while the substrate support is lowered below the radiant source plane to afford radiant heating of the substrate. A method of processing a substrate having apertures formed in a first surface thereof includes depositing material on the first surface in the apertures and reflowing the material by heating a second surface of the substrate opposite the first surface. A second material can then be deposited, filling the apertures partly or completely. Alternately, a cyclical deposition/reflow process may be performed. 1. A chamber for processing substrates , comprising:a chamber body defining a processing region and having a gas inlet;a sputtering target disposed in the chamber;a radiant energy source positioned at a peripheral region of the chamber to provide a radiant source between a processing location and a transportation location of the chamber;a reflector disposed around the radiant energy source.2. The chamber of claim 1 , wherein the radiant energy source comprises an annular arc lamp.3. The chamber of claim 1 , wherein the reflector is concave and annular.4. The chamber of claim 3 , wherein the reflector is shaped to reflect radiation from the radiant heat source toward the processing location.5. The chamber of claim 1 , further comprising a substrate support coupled to a vertical actuator and a lift pin assembly coupled to the substrate support and extending through a substrate receiving surface thereof claim 1 , wherein the lift pin assembly comprises a plurality of lift pins each having a length sufficient to maintain a substrate at the processing location while ...

Подробнее
17-10-2013 дата публикации

Providing timing-closed finfet designs from planar designs

Номер: US20130275935A1
Принадлежит: Globalfoundries Inc

An approach for providing timing-closed FinFET designs from planar designs is disclosed. Embodiments include: receiving one or more planar cells associated with a planar design; generating an initial FinFET design corresponding to the planar design based on the planar cells and a FinFET model; and processing the initial FinFET design to provide a timing-closed FinFET design. Other embodiments include: determining a race condition associated with a path of the initial FinFET design based on a timing analysis of the initial FinFET design; and increasing delay associated with the path to resolve hold violations associated with the race condition, wherein the processing of the initial FinFET design is based on the delay increase.

Подробнее
02-01-2014 дата публикации

SEMICONDUCTOR DEVICES FORMED ON A CONTINUOUS ACTIVE REGION WITH AN ISOLATING CONDUCTIVE STRUCTURE POSITIONED BETWEEN SUCH SEMICONDUCTOR DEVICES, AND METHODS OF MAKING SAME

Номер: US20140001563A1
Принадлежит: GLOBALFOUNDRIES INC.

One illustrative device disclosed herein includes a continuous active region defined in a semiconducting substrate, first and second transistors formed in and above the continuous active region, each of the first and second transistors comprising a plurality of doped regions formed in the continuous active region, a conductive isolating electrode positioned above the continuous active region between the first and second transistors and a power rail conductively coupled to the conductive isolating electrode. 1. A device , comprising:a continuous active region defined in a semiconducting substrate:first and second transistors formed in and above said continuous active region, each of said first and second transistors comprising a plurality of doped regions formed in said continuous active region and a gate electrode;a conductive isolating electrode positioned above said continuous active region between said first and second transistors; anda power rail conductively coupled to said conductive isolating electrode.2. The device of claim 1 , wherein said first and second transistors are PFET transistors and wherein said power rail is adapted to be at a logically high voltage level.3. The device of claim 1 , wherein said first and second transistors are NFET transistors and wherein said power rail is adapted to be at a logically low voltage level.4. The device of claim 1 , wherein said conductive isolating electrode extends across an entirety of said continuous active region in a direction parallel to a gate width direction of said first and second transistors.5. The device of claim 1 , wherein said conductive isolating electrode comprises the same structure as said gate electrode of said first and second transistors.6. The device of claim 1 , further comprising a layer of insulating material positioned between said conductive isolating electrode and said continuous active region.7. The device of claim 1 , wherein said conductive isolating electrode is positioned above a ...

Подробнее
30-01-2014 дата публикации

SYSTEM AND METHOD TO DETERMINE COMPATIBILITY AND FACILITATE MATCHING

Номер: US20140032434A1
Автор: Kumar Subramani Anil
Принадлежит:

The present invention relates to a system-implemented method of determining compatibility and facilitating matching between users of the method. More particularly, the present invention caters to users who include single individuals as well as their families and helps to ensure a match not only in physical attributes, socio-cultural and socio-economic backgrounds, and values and ideals, but also in interests, aspirations, lifestyles, personality traits, and relationship goals and, consequently, time frames for marriage. The present invention provides users with a selective, stage-based profile privacy control mechanism, allowing them to control which prospects may view which specific fields or sections of their profile and at what stage of their interactions with those prospects. Further, the present invention collects and processes not only users' partner preferences but also information on the relative importance of the various indicated preferences both across possible matching criteria and within each criterion. 1. A system-implemented method of determining compatibility and facilitating matching between users of the method , comprising the following:a matchmaking web site;registration of users;creation of a user profile by registered users;enabling users to provide their partner preferences;collating and processing of information, within one or more databases, relating to user profiles and users' partner preferences;assigning of weights to users' partner preferences;matching of users with other, potentially compatible users or prospects;determining degree of match between the matched users;notifying users of other users with whom they may be compatible;displaying users' profiles to their potential matches;facilitating multiple means and multiple stages of communication and interaction between users;assigning users a responsiveness rating that is calculated based on how responsive they are to other users on the site;a user profile privacy control mechanism that ...

Подробнее
13-02-2014 дата публикации

MIDDLE-OF-THE-LINE CONSTRUCTS USING DIFFUSION CONTACT STRUCTURES

Номер: US20140042641A1
Принадлежит: GLOBALFOUNDRIES INC.

An approach for providing MOL constructs using diffusion contact structures is disclosed. Embodiments include: providing a first diffusion region in a substrate; providing, via a first lithography process, a first diffusion contact structure; providing, via a second lithography process, a second diffusion contact structure; and coupling the first diffusion contact structure to the first diffusion region and the second diffusion contact structure. Embodiments include: providing a second diffusion region in the substrate; providing a diffusion gap region between the first and second diffusion regions; providing the diffusion contact structure over the diffusion gap region; and coupling, via the diffusion contact structure, the first and second diffusion regions. 1. A method comprising:providing a first diffusion region in a substrate;providing, via a first lithography process, a first diffusion contact structure;providing, via a second lithography process, a second diffusion contact structure; andcoupling the first diffusion contact structure to the first diffusion region and the second diffusion contact structure.2. The method according to claim 1 , wherein the first and second lithography processes are performed at different times and the first and second diffusion contact structures are stitched.3. The method according to claim 1 , further comprising:providing a second diffusion region in the substrate;providing, via the first lithography process, a third diffusion contact structure; andcoupling the third diffusion contact structure to the second diffusion region and the second diffusion contact structure.4. The method according to claim 1 , further comprising:providing the first diffusion contact structure to have a first width, and the second diffusion contact structure to have a second width that is different from the first width.5. The method according to claim 1 , further comprising:providing a first gate structure over the substrate; andproviding the second ...

Подробнее
20-02-2014 дата публикации

SYSTEMS AND METHODS TO GATHER AND ANALYZE ELECTROENCEPHALOGRAPHIC DATA

Номер: US20140051044A1
Принадлежит:

Example methods are disclosed herein that include obtaining electroencephalographic (EEG) data from a subject via a device comprising two or more independently adjustable bands, each of the bands having a plurality of electrodes to detect the electroencephalographic data from a brain of the subject, each band selectively rotatable relative to an adjacent band and each band selectively compressible to increase a force of the electrodes against a head of the subject. The example method also includes converting the EEG data into digital EEG signals and conditioning the digital EEG signals. In addition, the example method includes analyzing the digital EEG signals using one or more analysis protocols to determine a mental characteristic of the subject and transmitting the mental characteristic to an output device. 193-. (canceled)94. A method comprising:analyzing first data gathered from a first sensor of a headset coupled to a subject while exposed to media to determine a first behavior of the subject, the first sensor comprising an electrode coupled to a head of the subject;determining a mental state of the subject based on the first behavior;analyzing second data gathered from a second sensor to determine a second behavior of the subject; anddetermining an intended activity of the subject based on the mental state and the second behavior.95. The method as defined in claim 94 , wherein the first behavior is a change in brain activity.96. The method as defined in claim 94 , wherein the second behavior is a direction of eye gaze.97. The method as defined in claim 94 , wherein the mental state is a level of engagement.98. The method as defined in claim 94 , wherein the intended activity is an actuation of an electronic device.99. The method as defined in claim 98 , wherein the actuation of the electronic device is a change in at least one of a volume claim 98 , a mute status claim 98 , a channel or a power status of a device presenting the media.100. The method as ...

Подробнее
20-02-2014 дата публикации

SYSTEMS AND METHODS TO GATHER AND ANALYZE ELECTROENCEPHALOGRAPHIC DATA

Номер: US20140051960A1
Принадлежит:

Example devices are disclosed herein that include a first elongated band coupled to a first housing to be located on a first side of a head of a subject and a second housing to be located near a second side of the head of the subject, the first elongated band comprising a first set of electrodes. The example device also includes a second elongated band coupled to the first housing and to the second housing, the second elongated band comprising a second set of electrodes. In addition, the device includes a third elongated band coupled to the first housing and to the second housing, the third elongated band comprising a third set of electrodes. 1. A device comprising:a first elongated band coupled to a first housing to be located near a first ear of a subject and a second housing to be located near a second ear of the subject, the first elongated band comprising a first set of electrodes having at least eight electrodes;a second elongated band coupled to the first housing and to the second housing, the second elongated band comprising a second set of electrodes having at least eight electrodes;a third elongated band coupled to the first housing and to the second housing, the third elongated band comprising a third set of electrodes having at least eight electrodes; anda fourth elongated band coupled to the first housing and to the second housing, the fourth elongated band comprising a fourth set of electrodes having at least eight electrodes.2. The device as defined in claim 1 , wherein each of the first claim 1 , second claim 1 , third and fourth elongated bands is rotatably coupled to each of the first housing and the second housing.3. The device as defined in claim 1 , wherein each of the first claim 1 , second claim 1 , third and fourth elongated bands is removably coupled to each of the first housing and the second housing.4. The device as defined in claim 1 , wherein the first elongated band is to be located above a nasion of the subject at about ten percent of ...

Подробнее
20-02-2014 дата публикации

SYSTEMS AND METHODS TO GATHER AND ANALYZE ELECTROENCEPHALOGRAPHIC DATA

Номер: US20140051961A1
Принадлежит:

Example devices are disclosed herein that include a central body portion and a plurality of extensions extending from the central body portion, each extension having an end coupled to an electrode. The example device also includes an adjustment band disposed along a longitudinal axis of the central body to adjust a position of the extensions. 1. A device comprising:a central body portion;a plurality of extensions extending from the central body portion, each extension having an end coupled to an electrode; andan adjustment band disposed along a longitudinal axis of the central body to adjust a position of the extensions.2. The device of claim 1 , wherein the adjustment band is elastic.3. The device of claim 1 , wherein the adjustment band has a circular cross section.4. The device of claim 1 , wherein the adjustment band has a rectangular cross section.5. The device of claim 1 , wherein the adjustment band is slidably disposed along the longitudinal axis.6. The device of claim 1 , wherein the central body portion comprises a first protrusion claim 1 , a second protrusion claim 1 , and a recess formed between the first protrusion and the second protrusion claim 1 , and the adjustment band is disposed in the recess.78-. (canceled)9. The device of claim 1 , wherein each of the extensions is curved in a direction away from the central body portion.10. The device of claim 9 , wherein each of the extensions is curved in the same direction.11. The device of claim 1 , wherein the electrodes comprise at least a portion of a ring.12. The device of further comprising an array of electrodes disposed on one side of the central body portion.13. (canceled)14. The device of claim 1 , wherein a tightening of the adjustment band causes the electrodes to apply a force to a head of a subject wearing the device.15. The device of claim 14 , wherein the force is about the same at each electrode.16. The device of claim 1 , wherein the central body portion and the extensions are flexible ...

Подробнее
27-01-2022 дата публикации

DISTRIBUTION COMPONENTS FOR SEMICONDUCTOR PROCESSING SYSTEMS

Номер: US20220028710A1
Принадлежит: Applied Materials, Inc.

Exemplary substrate processing systems may include a chamber body defining a transfer region. The systems may include a first lid plate seated on the chamber body along a first surface of the first lid plate. The first lid plate may define a plurality of apertures through the first lid plate. The systems may include a plurality of lid stacks equal to a number of apertures of the plurality of apertures defined through the first lid plate. The systems may include a plurality of isolators. An isolator of the plurality of isolators may be positioned between each lid stack of the plurality of lid stacks and a corresponding aperture of the plurality of apertures defined through the first lid plate. The systems may include a plurality of dielectric plates. A dielectric plate of the plurality of dielectric plates may be seated on each isolator of the plurality of isolators. 1. A substrate processing system comprising:a chamber body defining a transfer region;a first lid plate seated on the chamber body along a first surface of the first lid plate, wherein the first lid plate defines a plurality of apertures through the first lid plate;a plurality of lid stacks equal to a number of apertures of the plurality of apertures defined through the first lid plate, wherein the plurality of lid stacks at least partially define a plurality of processing regions vertically offset from the transfer region;a plurality of isolators, wherein an isolator of the plurality of isolators is positioned between each lid stack of the plurality of lid stacks and a corresponding aperture of the plurality of apertures defined through the first lid plate; anda plurality of dielectric plates, wherein a dielectric plate of the plurality of dielectric plates is seated on each isolator of the plurality of isolators.2. The substrate processing system of claim 1 , wherein each isolator of the plurality of isolators defines a recessed ledge on which an associated dielectric plate of the plurality of ...

Подробнее
14-01-2021 дата публикации

SEMICONDUCTOR DEVICE WITH TRANSISTOR LOCAL INTERCONNECTS

Номер: US20210013150A1
Принадлежит:

A semiconductor device is provided for implementing at least one logic element. The semiconductor device includes a semiconductor substrate. The first transistor and a second transistor are formed on the semiconductor substrate. Each transistor comprises a source, a drain, and a gate. The gate of the first transistor extends longitudinally as part of a first linear strip and the gate of the second transistor extends longitudinally as part of the second linear strip parallel to and spaced apart from the first linear strip. A first CB layer forms a local interconnect layer electrically connected to the gate of the first transistor. A second CB layer forms a local interconnect layer electrically connected to the gate of the second transistor. A CA layer forms a local interconnect layer extending longitudinally between a first end and a second end of the CA layer. The CA layer is electrically connected to the first and second CB layers. The first CB layer is electrically connected adjacent the first end of the CA layer and the second layer is electrically connected adjacent the second end of the CA layer. The first CB layer, the second CB layer and the CA layer are disposed between a first metal layer and the semiconductor substrate. The first metal layer being disposed above each source, each drain, and each gate of the first and second transistors. The CA layer extends substantially parallel to the first and second linear strips and is substantially perpendicular to the first and second CB layers. At least one via selectively provides an electrical connection between the CA or CB layers and the at least one metal layer. 1. A semiconductor device comprising:a semiconductor substrate;a first transistor and a second transistor formed on the semiconductor substrate, wherein each transistor comprises a source, a drain, and a gate, wherein the gate of the first transistor extends longitudinally as part of a first linear strip and wherein the gate of the second transistor ...

Подробнее
09-01-2020 дата публикации

METHODS AND APPARATUS FOR CO-SPUTTERING MULTIPLE TARGETS

Номер: US20200013597A1
Принадлежит:

Embodiments of a method and apparatus for co-sputtering multiple target materials are provided herein. In some embodiments, a process chamber including a substrate support to support a substrate; a plurality of cathodes coupled to a carrier and having a corresponding plurality of targets to be sputtered onto the substrate; and a process shield coupled to the carrier and extending between adjacent pairs of the plurality of targets. 1. An apparatus for a process chamber with a plurality of targets , comprising:a process shield configured to be coupled to a carrier coupled to the plurality of targets, wherein the process shield is configured to extend between adjacent pairs of the plurality of targets and to move vertically and rotate with respect to the plurality of targets.2. The apparatus of claim 1 , wherein the process shield is star-shaped.3. The apparatus of claim 1 , wherein the plurality of targets includes five targets.4. The apparatus of claim 1 , wherein the process shield is configured to expose all of the plurality of targets.5. The apparatus of claim 4 , wherein a height of the process shield is proportional to a diameter of each of the plurality of targets.6. The apparatus of claim 5 , wherein each of the plurality of targets have a diameter of approximately 6 inches claim 5 , and wherein the process shield has a height of less than approximately 15 inches.7. The apparatus of claim 1 , wherein the process shield has a plurality of shrouds claim 1 , each surrounding a corresponding one of the plurality of targets.8. The apparatus of claim 7 , wherein each of the plurality of shrouds has a height of approximately 1 inch.9. The apparatus of claim 7 , wherein the plurality of shrouds are formed of aluminum.10. The apparatus of claim 9 , wherein the plurality of shrouds are texturized to improve particle adhesion of the plurality of shrouds.11. The apparatus of claim 7 , wherein each of the plurality of shrouds has a height of approximately 5 inches to ...

Подробнее
14-01-2016 дата публикации

PSEUDO RANDOMIZATION OF UNUSED RESOURCES AT A MEDIUM ACCESS CONTROL (MAC) LAYER

Номер: US20160014806A1
Принадлежит:

The present disclosure relates to pseudo-randomization of unused resources at a medium access control layer (MAC) of a user equipment (UE). For example, the disclosure presents a method and an apparatus for determining that an uplink (UL) resource grant, associated with a first radio access technology (RAT), for the UE results in unused resources where there are a greater number of resources than available data for transmission at the UE, wherein the UE is configured to receive information associated with a second RAT, and wherein the first RAT is different from the second RAT, populating the unused resources, at a medium access control (MAC) layer, with pseudo-randomized bits, and transmitting at least a portion of the available data and the populated unused resources using the UL resource grant associated with the first RAT. As such, pseudo-randomization of unused resources at a medium access control layer (MAC) of a UE may be achieved. 1. A method for wireless communications , by a user equipment (UE) , comprising:determining that an uplink (UL) resource grant, associated with a first radio access technology (RAT), for the UE results in unused resources where there are a greater number of resources than available data for transmission at the UE, wherein the UE is configured to receive information associated with a second RAT, and wherein the first RAT is different from the second RAT;populating the unused resources, at a medium access control (MAC) layer, with pseudo-randomized bits; andtransmitting at least a portion of the available data and the populated unused resources using the UL resource grant associated with the first RAT.2. The method of claim 1 , wherein the populating comprises:generating a maximum length sequence (MLS) and storing the MLS in a first buffer at the MAC layer;performing a bit inversion of the MLS and storing an output of the bit inversion in a second buffer at the MAC layer;initiating a linear feedback shift register (LFSR) with a non- ...

Подробнее
03-02-2022 дата публикации

REAL-TIME MONITORING AND POLICY ENFORCEMENT OF ACTIVE APPLICATIONS AND SERVICES

Номер: US20220035909A1
Принадлежит: Dell Products, L.P.

Embodiments of systems and methods for real-time monitoring and policy enforcement of active applications and services are described. In some embodiments, an Information Handling System (IHS) may include a processor and a memory coupled to the processor, the memory having program instructions stored thereon that, upon execution by the processor, cause the IHS to: provide a hardware-rooted, Operating System (OS)-agnostic resource monitoring agent; receive, at the resource monitoring agent from a remote resource monitoring service via an out-of-band channel, a resource enforcement policy; determine, by the resource monitoring agent, that an application is using or attempting to use a resource in a manner that conflicts with the resource enforcement policy; and stop or prevent the application from using the resource in response to the determination. 1. An Information Handling System (IHS) , comprising:a processor; and provide a hardware-rooted, Operating System (OS)-agnostic resource monitoring agent;', 'receive, at the resource monitoring agent from a remote resource monitoring service via an out-of-band channel, a resource enforcement policy;', 'determine, by the resource monitoring agent, that an application is using or attempting to use a resource in a manner that conflicts with the resource enforcement policy; and', 'stop or prevent the application from using the resource in response to the determination., 'a memory coupled to the processor, the memory having program instructions stored thereon that, upon execution by the processor, cause the IHS to2. The IHS of claim 1 , wherein the processor comprises an Embedded Controller (EC).3. The IHS of claim 1 , wherein the resource monitoring agent establishes a root-of-trust with a hardware trust module during a boot process.4. The IHS of claim 3 , wherein the hardware trust module comprises a Trusted Platform Module (TPM).5. The IHS of claim 1 , wherein the out-of-band channel comprises a Management Engine (ME) channel ...

Подробнее
03-02-2022 дата публикации

Deposition System With Multi-Cathode And Method Of Manufacture Thereof

Номер: US20220037136A1
Принадлежит: Applied Materials Inc

A deposition system, and a method of operation thereof, includes: a cathode; a shroud below the cathode; a rotating shield below the cathode for exposing the cathode through the shroud and through a shield hole of the rotating shield; and a rotating pedestal for producing a material to form a carrier over the rotating pedestal, wherein the material having a non-uniformity constraint of less than 1% of a thickness of the material and the cathode having an angle between the cathode and the carrier.

Подробнее
03-02-2022 дата публикации

SYSTEM AND METHOD FOR NETWORK INITIATED EMBEDDED SUBSCRIBER IDENTITY MODULE PROFILE CHANGES

Номер: US20220038982A1
Принадлежит: DELL PRODUCTS, LP

An information handling system may include a processor; a memory; a network interface device to communicatively couple the information handling system to a first wireless communication networks of a plurality of wireless communication networks; an embedded subscriber identity module (eSIM) to provide data descriptive of wireless communication network-specific information to authenticate the information handling system; and an eSIM profile switching module to: monitor for signal strengths of each of a plurality of wireless communication networks. Upon discovering that the first wireless communication network has insufficient quality of service to sustain data transmission, send a request to a wireless communication network switching utility at a core communication network requesting roaming during a switch between the eSIM profile for a default wireless communication network to the second wireless communication network; and switch communication to the second wireless communication network while avoiding wireless service interruption with roaming if available. 1. An information handling system , comprising:a processor;a memory;a network interface device to communicatively couple the information handling system to one of a plurality of wireless communication networks, where the network interface device is communicatively coupled to a default wireless communication network;an embedded subscriber identity module (eSIM) to provide data descriptive of wireless communication network-specific information to authenticate the information handling system with a core communication network; and monitor for signal strengths of each of a plurality of wireless communication networks;', 'upon discovering that the default wireless communication network has insufficient quality of service (QoS) to sustain sufficient data transmission between the information handling system and the default wireless communication network, send a request to a wireless communication network switching ...

Подробнее
26-01-2017 дата публикации

WIRELESS LOCAL AREA NETWORK COVERAGE HOLE DETECTION USING MOBILE COMMUNICATION DEVICES

Номер: US20170026929A1
Принадлежит:

Apparatus and method for coverage hole detection in a wireless local area network (WLAN) using a mobile communication device. The method includes a first step of providing a plurality of access points distributed within the WLAN and at least one mobile communication device operable within the WLAN. A next step includes downloading triggers for respective coverage measurement conditions to the at least one associated mobile communication device from an associated access point. A next step includes detecting a coverage measurement condition by the at least one mobile communication device that activates one of the triggers, whereupon a next step includes sending a coverage measurement indicating the triggered condition from the at least one mobile communication device to an associated access point, which forwards a coverage incident report to a Central Report Manager. 1. A method for coverage hole detection in a wireless local area network (WLAN) using a mobile communication device , the method comprising:providing a plurality of access points distributed within the WLAN and at least one mobile communication device operable within the WLAN;downloading triggers for respective coverage measurement conditions to the at least one associated mobile communication device from an associated access point; anddetecting a coverage measurement condition by the at least one mobile communication device that activates one of the triggers; whereuponsending a coverage measurement indicating the triggered condition from the at least one mobile communication device to an associated access point, which forwards a coverage incident report to a Central Report Manager.2. The method of claim 1 , wherein before the downloading step claim 1 , further comprising the step of negotiating support for coverage hole detection between the one of the plurality of access points and the associated mobile communication device.3. The method of claim 2 , wherein in the downloading and sending steps claim 2 ...

Подробнее
02-02-2017 дата публикации

Comfortable, energy-efficient control of a heating, ventilation, and air conditioning system

Номер: US20170030603A1

A system for controlling at least one heating, ventilation, and/or air conditioning unit to increase efficiency while maintaining comfort. The system comprises at least one computer. The at least one computer is configured to receive an indication of measured occupant load. The at least one computer is further configured to send a control signal to the at least one heating, ventilation, and/or air conditioning unit. The at least one computer may receive the indication of the measured occupant load by receiving from at least one sensor node at least a zone occupant quantity and a zone temperature. The at least one computer may send the control signal to the at least one heating, ventilation, and/or air conditioning unit by sending a signal that controls at least one variable air volume box to set a supply air flow rate and a supply air temperature based on the measured occupant load.

Подробнее
05-02-2015 дата публикации

Method and Device for Determining Solid Particle Surface Energy

Номер: US20150033833A1
Принадлежит:

A method of determining solid particle surface energy includes placing solid particles in a container made of a very high surface energy material and pouring a liquid into the container. The method further includes tilting the container to drain out from the container a first subset of the solid particles floating at a top surface of the liquid and tilting the container to move the liquid away from a second subset of the solid particles that are below the top surface. The method also includes tilting the container to move the liquid back toward the second subset of the solid particles such that at least a portion of the second subset of particles floats at the top surface of the liquid and tilting the container to drain out from the container the portion of the second subset of the solid particles now floating at the top surface of the liquid. 1. A method of determining solid particle surface energy , the method comprising:placing solid particles in a container made of a very high surface energy material;pouring a liquid into the container;tilting the container to drain out from the container a first subset of the solid particles floating at a top surface of the liquid;tilting the container to move the liquid away from a second subset of the solid particles that are below the top surface;tilting the container to move the liquid back toward the second subset of the solid particles such that at least a portion of the second subset of particles floats at the top surface of the liquid; andtilting the container to drain out from the container the portion of the second subset of the solid particles now floating at the top surface of the liquid.2. The method of claim 1 , further comprising repeating the steps of tilting the container to move the liquid away from the second subset of the solid particles claim 1 , tilting the container to move the liquid back toward the second subset of solid particles claim 1 , and tilting the container to drain out from the container the ...

Подробнее
17-02-2022 дата публикации

Showerhead With Interlaced Gas Feed And Removal And Methods Of Use

Номер: US20220051910A1
Принадлежит: Applied Materials, Inc.

Gas distribution modules comprising a housing with an upper plenum and a lower plenum are described. One of the upper plenum and lower plenum is in fluid communication with an inlet and the other is in fluid communication with an outlet. A plurality of upper passages connects the upper plenum to the bottom of the housing to allow a flow of gas to pass through and be isolated from the first plenum. 1. A processing method comprising:flowing a reactant to a process region, the reactant flowing from a gas source through an inlet connected to an upper plenum to the process region, the upper plenum connected to the process region through a plurality of upper passages extending from the upper plenum through a lower plenum and a bottom of a housing to form a plurality of upper openings in the bottom, the upper passages separated from the lower plenum by an upper passage wall; anddrawing exhaust from the process region, the exhaust flowing through the lower plenum connected to an outlet to a vacuum source, the lower plenum connected to the process region through a plurality of lower passages extending through the bottom to form a plurality of lower openings.2. The method of claim 1 , wherein each of the plurality of upper openings in the bottom is surrounded by three or more of the plurality of lower openings in the bottom and each of the plurality of lower openings in the bottom is surrounded by three or more of the plurality of upper openings.3. The method of claim 1 , wherein the plurality of upper openings is arranged in circular zones alternating with circular zones of the plurality of lower openings.4. The method of claim 1 , wherein at least one of the plurality of lower openings or at least one of the plurality of upper openings surrounds the other of the plurality of lower openings or the plurality of upper openings to form ring shaped openings.5. The method of claim 1 , wherein the plurality of upper openings have a diffusion plate at the bottom of the housing ...

Подробнее
30-01-2020 дата публикации

SYSTEM, METHOD AND APPARATUS FOR MONITORING WIRELESS COMMUNICATIONS

Номер: US20200037372A1
Принадлежит:

A system for monitoring wireless communications in a client device includes a wireless communications interface, including: an analyzer; a monitoring controller coupled to the analyzer; an operational controller of the client device coupled to the wireless communications interface, the operational controller configured to: control the wireless communications interface to establish a wireless connection with an access point according to a set of connection parameters including a channel identifier; and transmit the set of connection parameters to the monitoring controller; the monitoring controller configured, responsive to receiving the connection parameters from the operational controller, to: monitor a channel corresponding to the channel identifier to obtain a plurality of frames transmitted over the channel; and provide the plurality of frames to the analyzer. 1. A system for monitoring wireless communications in a client device having a wireless communications interface , comprising:an analyzer;a monitoring controller implementing (i) a monitoring media access control (MAC) layer coupled to the analyzer and (ii) a monitoring synchronizer associated with the monitoring MAC layer;an operational controller of the client device coupled to the wireless communications interface and implementing (i) an operational MAC layer, (ii) an operational synchronizer associated with the operational MAC layer, and (iii) a control component placing the operational MAC layer in a connected mode; control the wireless communications interface to establish a wireless connection with an access point according to a set of connection parameters including a channel identifier; and', 'transmit the set of connection parameters via the operational synchronizer to the monitoring synchronizer of the monitoring controller;, 'the operational controller configured to monitor a channel corresponding to the channel identifier to obtain a plurality of frames transmitted over the channel; and', ' ...

Подробнее
24-02-2022 дата публикации

FABRICATING A RECURSIVE FLOW GAS DISTRIBUTION STACK USING MULTIPLE LAYERS

Номер: US20220056584A1
Принадлежит:

A method includes receiving one or more parameters associated with a plurality of metal plates. The method further includes determining, based on the one or more parameters, a plurality of predicted deformation values associated with the plurality of metal plates. Each of the plurality of predicted deformation values correspond to a corresponding metal plate of the plurality of metal plates. The method further includes causing, based on the plurality of predicted deformation values, the plurality of metal plates to be diffusion bonded to produce a bonded metal plate structure. 1. A method comprising:receiving one or more parameters associated with a plurality of metal plates;determining, based on the one or more parameters, a plurality of predicted deformation values associated with the plurality of metal plates, each of the plurality of predicted deformation values corresponding to a corresponding metal plate of the plurality of metal plates; andcausing, based on the plurality of predicted deformation values, the plurality of metal plates to be diffusion bonded to produce a bonded metal plate structure.2. The method of claim 1 , wherein the bonded metal plate structure is a showerhead for chemical vapor deposition (CVD) or atomic layer deposition (ALD) in a processing chamber of a substrate processing system claim 1 , and wherein the showerhead has a gas purge time of about 0.2 seconds or less.3. The method of claim 1 , wherein the causing of the plurality of metal plates to be diffusion bonded comprises:determining, based on the plurality of predicted deformation values, a first subset of the plurality of metal plates and a second subset of the plurality of metal plates to be diffusion bonded separately;causing the first subset to be diffusion bonded to form a first bonded structure;causing the second subset to be diffusion bonded to form a second bonded structure; andcausing the first bonded structure and the second bonded structure to be diffusion bonded to form ...

Подробнее
08-02-2018 дата публикации

NOTIFICATION FRAMEWORK FOR ACCESS POINT CONTROLLERS

Номер: US20180041512A1
Принадлежит:

A notification framework for access point controllers is provided. An access point controller receives, from a device, a request for an application token associated with an application at the device, the application token configured to identify messages to the application when transmitted therewith. The access point controller transmits, to the device, the application token. When the device is to communicate with an applications server associated with the application, the device transmits the application token to the applications server, which transmits messages for the application to the access point controller which transmits the messages, with the application token, to the device. In response, the device can provide a notification of the message, and/or the device can establish a connection with the application server, at least temporarily. 1. An access point controller comprising: manage the one or more access points;', 'receive, from the device, using the communication interface, a request for an application token associated with an application at the device, the application token configured to identify messages to the application when transmitted therewith; and,', 'transmit, to the device, using the communication interface, the application token., 'a processor, a memory and a communication interface configured to communicate with a device and an applications server using one or more access points, the processor configured to2. The access point controller of claim 1 , further comprising one of a cloud controller and a local controller.3. The access point controller of claim 1 , wherein the processor is further configured to:receive, from the applications server, using the communication interface, a message with the application token, the message intended for the application at the device; and,transmit, to the device, using the communication interface, the message with the application token.4. The access point controller of claim 3 , wherein the processor is ...

Подробнее
18-02-2021 дата публикации

Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool

Номер: US20210050187A1
Принадлежит: Applied Materials, Inc.

Plasma source assemblies, gas distribution assemblies including the plasma source assembly and methods of generating plasma are described. The plasma source assemblies include a powered electrode with a ground electrode adjacent a first side and a dielectric adjacent a second side. A first microwave generator is electrically coupled to the first end of the powered electrode through a first feed and a second microwave generator is electrically coupled to the second end of the powered electrode through a second feed. 1. A plasma source assembly comprising:a powered electrode having a first end and a second end defining a length and having an elongate axis extending along the length of the powered electrode, the powered electrode having a thickness and width;a ground electrode on a first side of the powered electrode, the ground electrode spaced from the powered electrode by a distance;a dielectric on a second side of the powered electrode, the dielectric and ground electrode enclosing the powered electrode, the dielectric having an inner face adjacent the powered electrode and an outer face opposite the inner face;a first microwave generator electrically coupled to the first end of the powered electrode through a first feed; anda second microwave generator electrically coupled to the second end of the powered electrode through a second feed.2. The plasma source assembly of claim 1 , wherein the ground electrode is spaced from the powered electrode by a second dielectric.3. The plasma source assembly of claim 1 , wherein the powered electrode is a flat conductor.4. The plasma source assembly of claim 1 , wherein one or more of the width of the powered electrode changes from the first end to the second end claim 1 , the distance from powered electrode to the ground electrode changes from first end to second end; or a distance from powered electrode to the outer face of the dielectric changes from first end to the second end.5. The plasma source assembly of claim 4 , ...

Подробнее
13-02-2020 дата публикации

EXTRACTING UNCONSCIOUS MEANING FROM MEDIA CORPORA

Номер: US20200050668A1
Принадлежит: Machine Vantage, Inc.

Provided are systems, methods, and devices for extracting unconscious meaning from media corpora. One or more corpora are received from one or more media databases. A number of phrases are extracted from the corpora, and then disambiguated according to natural language processing methods. One or more criteria are then selected to be used for phrase analysis, and the phrases are then analyzed to extract unconscious meaning based on the one or more criteria. The phrase analysis involves machine learning or predictive analysis methods. The results of the phrase analysis are then provided to one or more client devices, with the results containing findings of unconscious meaning for the phrases. 1. A method of extracting unconscious meaning from media corpora , comprising:receiving a corpora from one or more media databases;extracting a phrase from the corpora;disambiguating the phrase;selecting one or more criteria to be used for phrase analysis;analyzing the phrase to extract unconscious meaning based on the one or more criteria; andproviding results of the phrase analysis to a client device, wherein the results include findings of unconscious meaning for the phrase.2. A method as recited in further comprising:analyzing the phrase for semantic or semiotic meaning.3. A method as recited in further comprising:analyzing the phrase for similarity relative to a set of training phrases by applying lenses to the phrases.4. A method as recited in wherein vector-based natural language processing model is used for machine learning.5. A method as recited in further comprising utilizing neighborhoods and hierarchical sources of information.6. A method as recited in wherein the one or more criteria comprises one or more of demographic criteria claim 1 , product categories claim 1 , brand keys claim 1 , and geographical culture.7. A method as recited in wherein extracting a phrase further comprises using a vector-based natural language processing model.8. A method as recited in ...

Подробнее
13-02-2020 дата публикации

DETECTING TOPICAL SIMILARITIES IN KNOWLEDGE DATABASES

Номер: US20200050678A1
Принадлежит: MachineVantage, Inc.

Provided are systems, methods, and devices for providing topical similarities in a knowledge database. A knowledge database containing a number of articles is accessed, and clickstream data for the knowledge database is obtained including aggregated counts of user clicks on links within articles in the knowledge database. The clickstream data is sorted by source article, with each source article corresponding to a number of target articles that the source article links to. A directed article graph is constructed based on the sorted clickstream data. An input search term is received from an input device, and then an unbounded graph search is performed on the directed graph based on the input search term. Finally, a ranking heuristic for a predefined number of articles in the knowledge database is provided corresponding to topical similarity of the articles to the input search term. 1. A method of identifying topical similarities in a knowledge graph , comprising:accessing a knowledge graph having a plurality of articles;obtaining user preferences for the knowledge graph, wherein one manifestation of a user preference is a path-weighted preferential metric of user clicks on the knowledge graph;rank ordering, based on the clickstream data plurality of target articles that corresponds to the source article;constructing a directed article graph based on the rank ordered clickstream data, wherein nodes of the directed graph are source articles and target articles;receiving an input search term;performing an unbounded graph search on the directed article graph based on the input search term; andproviding a ranking heuristic for a predefined number of articles in the knowledge graph based on the directed graph search.2. A method as recited in wherein the ranking heuristic correlates to topical similarity of the articles to the input search term.3. A method as recited in wherein the rank ordered clickstream data shows previous paths users have taken in the unbounded graph.4. ...

Подробнее
23-02-2017 дата публикации

METHODS AND APPARATUS FOR CO-SPUTTERING MULTIPLE TARGETS

Номер: US20170053784A1
Принадлежит:

Embodiments of a method and apparatus for co-sputtering multiple target materials are provided herein. In some embodiments, a process chamber including a substrate support to support a substrate; a plurality of cathodes coupled to a carrier and having a corresponding plurality of targets to be sputtered onto the substrate; and a process shield coupled to the carrier and extending between adjacent pairs of the plurality of targets. 1. A process chamber , comprising:a substrate support to support a substrate;a plurality of cathodes coupled to a carrier and having a corresponding plurality of targets to be sputtered onto the substrate; anda process shield coupled to the carrier and extending between adjacent pairs of the plurality of targets.2. The process chamber of claim 1 , wherein the process shield is star-shaped.3. The process chamber of claim 1 , wherein the plurality of cathodes includes five cathodes.4. The process chamber of claim 1 , wherein all of the plurality of targets are exposed.5. The process chamber of claim 4 , wherein a height of the process shield is proportional to a diameter of each of the plurality of targets.6. The process chamber of claim 5 , wherein each of the plurality of targets have a diameter of about 6 inches claim 5 , and wherein the process shield has a height of less than about 15 inches.7. The process chamber of claim 1 , further comprising:a plurality of shrouds each surrounding a corresponding one of the plurality of targets.8. The process chamber of claim 7 , wherein each of the plurality of shrouds has a height of about 1 inch.9. The process chamber of claim 7 , wherein the plurality of shrouds are formed of aluminum.10. The process chamber of claim 9 , wherein the plurality of shrouds are texturized to improve particle adhesion of the plurality of shrouds.11. A physical vapor deposition (PVD) chamber claim 9 , comprising:a substrate support to support a substrate;a plurality of targets disposed opposite the substrate support, ...

Подробнее
13-02-2020 дата публикации

METHODS AND APPARATUS FOR PRODUCING LOW ANGLE DEPOSITIONS

Номер: US20200051794A1
Принадлежит:

Methods and apparatus for low angle, selective plasma deposition on a substrate. A plasma chamber uses a process chamber having an inner processing volume, a three dimensional (3D) magnetron with a sputtering target with a hollow inner area that overlaps at least a portion of sides of the sputtering target and moves in a linear motion over a length of the sputtering target, a housing surrounding the 3D magnetron and the sputtering target such that at least one side of the housing exposes the hollow inner area of the sputtering target, and a linear channel interposed between the housing and a wall of the process chamber. 1. A three dimensional (3D) magnetron for plasma deposition on a substrate , comprising:an inner pole piece with a top surface in a first plane; and a first portion and a second portion having top surfaces in the first plane, wherein the first portion and the second portion are positioned on opposite sides of the inner pole piece;', 'a third portion with a first end connected to a first end of the first portion and extending upward beyond the first plane in a perpendicular direction;', 'a fourth portion with a first end connected to a second end of the first portion and extending upward beyond the first plane in a perpendicular direction;', 'a fifth portion with a first end connected to a first end of the second portion and extending upward beyond the first plane in a perpendicular direction;', 'a sixth portion with a first end connected to a second end of the second portion and extending upward beyond the first plane in a perpendicular direction;', 'a seventh portion with a first end connected to a second end of the third portion and a second end connected to a second end of the fifth portion; and', 'an eighth portion with a first end connected to a second end of the fourth portion and a second end connected to a second end of the sixth portion., 'an outer pole piece, comprising2. The 3D magnetron of claim 1 , wherein an inner surface of the third ...

Подробнее
10-03-2022 дата публикации

PROCESS AND APPARATUS FOR PRODUCTION AND SEPARATION OF ALCOHOLS AND OLIGOMERIZATION OF HYDROCARBON FEEDSTOCK

Номер: US20220073441A1
Принадлежит:

The present invention discloses an integrated process and an apparatus for production of various alcohols and Oligomerization of Olefinic feed stocks comprising butylenes and mixture thereof. In this process the combined light olefinic hydrocarbon feedstock is divided into two streams and contacted in two different reaction zones, viz. hydration and oligomerization. The mixture of alcohols and oligomer product from hydration reaction is separated and the bottom stream from separator is routed to oligomerization reaction zone in a controlled quantity as selectivity enhancer. Both the reaction zones are operated at different conditions. The product from oligomerization zone is further separated in to lighter and heavier components. Each reaction zone may comprise series of reactors filled with acidic catalysts comprising ion exchange resins. 1. A process for simultaneous production of alcohols , oligomers from an olefinic C4 feedstock , wherein , the process comprising steps of:an impurity removal step comprising passing the olefinic C4 feedstock through a de-metallization reaction zone, wherein, the de-metallization reaction zone removes at least one impurity component from the said olefinic C4 feedstock;dividing the olefinic C4 feedstock as obtained from the de-metallization reaction zone into a first stream, and a second stream;a hydration step comprising passing the said first stream through a hydration reaction zone, wherein, an acidic catalyst and water hydrate an olefinic C4 feedstock of the first stream into heavier products comprising a mixture of a plurality of alcohol compounds, and a plurality of oligomer products;routing of a hydration product into an alcohol recovery column, wherein, the alcohol recovery column separates the said heavier products from an unconverted olefinic C4 feedstock;an oligomerization step comprising passing the said second stream through an oligomerization reaction zone having an ion exchange resin catalyst, wherein, the second ...

Подробнее
04-03-2021 дата публикации

Compositions and methods for inhibiting pathogen infection

Номер: US20210061889A1

The presently-disclosed subject matter relates to antibodies, compositions, and methods for inhibiting and treating virus infection in the respiratory tract and virus transmission through the respiratory tract. In particular, the presently-disclosed subject matter relates to inhibiting and treating virus infection in a subject using compositions and antibodies that trap viruses in mucus of the respiratory tract, thereby inhibiting transport of virus across or through mucus secretions.

Подробнее
21-02-2019 дата публикации

SYSTEM AND METHOD TO ASSESS INFORMATION HANDLING SYSTEM HEALTH AND RESOURCE UTILIZATION

Номер: US20190057006A1
Принадлежит: DELL PRODUCTS, LP

A method and information handling system configured to store, via a monitoring system data repository memory device, aggregate information handling system performance telemetry data crowd-sourced from a population of information handling systems and categorized into mapping classifications based on software application inventory and software application associations with drivers and libraries and to execute instructions, via an application processor, of an information handling system diagnostic platform in an intelligent configuration management system to obtain aggregate information handling system performance telemetry data for a performance characteristic of information handling systems having a first mapping classification corresponding to a client information handling system, and to construct, at the management information handling system, a performance characteristic baseline of operation across the aggregated telemetry data for one mapping classification and receive monitored telemetry data for the performance characteristic from the client information handling system and detect abnormal operation if the client information handling system monitored telemetry data for the performance characteristic exceeds a threshold deviation relative to the performance characteristic baseline. 1. A management information handling system comprising:a monitoring system data repository memory device to store aggregate information handling system performance telemetry data crowd-sourced from a population of information handling systems, where the aggregate information handling system performance telemetry data is categorized into mapping classifications and the mapping classifications are based on software application inventory and software application associations with drivers;an application processor executing instructions of an information handling system diagnostic platform to obtain the aggregate information handling system performance telemetry data for a performance ...

Подробнее
20-02-2020 дата публикации

SYSTEMS AND METHODS FOR PROTOTYPING A VIRTUAL MODEL

Номер: US20200057425A1
Принадлежит: Dell Products, L.P.

Systems and methods for prototyping a virtual model are described. In some embodiments, an Information Handling System (IHS) may include a host processor and a memory coupled to the host processor, the memory having program instructions stored thereon that, upon execution, cause the IHS to: produce a virtual object for display by a Head-Mounted Device (HMD) coupled to the IHS during execution of a virtual, augmented, or mixed reality (xR) application; execute a command with respect to the virtual object to produce a manipulated virtual object displayed by the HMD; and transmit an electronic file corresponding to the manipulated virtual object to a three-dimensional (D) printer coupled to the IHS, where the electronic file enables the D printer to build a physical instance of the manipulated virtual object. 1. An Information Handling System (IHS) , comprising:a host processor; and produce a virtual object for display by a Head-Mounted Device (HMD) coupled to the IHS during execution of a virtual, augmented, or mixed reality (xR) application;', 'execute a command with respect to the virtual object to produce a manipulated virtual object displayed by the HMD;', 'generate an electronic file in an Extensible Markup Language (XML) format, wherein the XML file describes the manipulated virtual object; and', 'transmit the electronic file to a three-dimensional (3D) printer coupled to the IHS, wherein the electronic file enables the 3D printer to build a physical instance of the manipulated virtual object., 'a memory coupled to the host processor, the memory having program instructions stored thereon that, upon execution, cause the IHS to2. The IHS of claim 1 , wherein the virtual object is displayed by the HMD as a digital image overlaid upon a user's natural visual perception of a surrounding physical environment.3. The IHS of claim 2 , wherein the command further comprises a gesture captured by the HMD.4. The IHS of claim 3 , wherein the gesture moves the manipulated ...

Подробнее
20-02-2020 дата публикации

COLLOBORATION BETWEEN HEAD-MOUNTED DEVICES (HMDs) IN CO-LOCATED VIRTUAL, AUGMENTED, AND MIXED REALITY (xR) APPLICATIONS

Номер: US20200057592A1
Принадлежит: Dell Products, L.P.

In a collaborative virtual, augmented, and mixed reality (xR) session, different users wearing head-mounted devices HMDs may leave the xR session and new HMD-wearing users may join. The HMD worn by the joining user may be calibrated based on the physical characteristics, such as ambient noise and interference, of physical environment in which the xR session is conducted. An HMD may generate a profile of the noise and interference in the environment that adversely affects the ability for the communicating directly via supported transmission mechanisms. The profile may be provided directly to the joining HMD, allowing the joining HMD to quickly calibrate the transmission mechanisms included in the received profile to the particular sources of noise and interference in the physical environment. 1. A first Head-Mounted Device (HMD) configured for participating in a co-located virtual , augmented , or mixed reality (xR) session in a first physical environment , comprising:a processor;a plurality of sensors, each sensor associated with one or more transmission mechanisms for participating in the co-located xR session; and determine, via the plurality of sensors, a signal integrity within the first physical environment for the transmission mechanisms available for participating in the co-located xR session;', 'generate an xR profile for the first physical environment based on the determined signal integrity for each transmission mechanisms;', 'receive an indication of a second HMD seeking to join the co-located xR session; and', 'transmit the xR profile to the second HMD for use in calibrating the second HMD for participating in the co-located xR session., 'a memory coupled to the processor, the memory having program instructions stored thereon that, upon execution, cause the first HMD to2. The first HMD of claim 1 , wherein the signal integrity comprises a signal-to-noise ratio within the first physical environment for each of the transmission mechanisms.3. The first HMD ...

Подробнее
20-02-2020 дата публикации

HEAD-MOUNTED DEVICES (HMDs) DISCOVERY IN CO-LOCATED VIRTUAL, AUGMENTED, AND MIXED REALITY (xR) APPLICATIONS

Номер: US20200059502A1
Принадлежит: Dell Products, L.P.

Methods and systems are provided for collaborating in the discovery of Head-Mounted Devices (HMDs) configured for hosting a co-located virtual, augmented, or mixed reality (xR) session. An HMD issues a request to join a co-located xR session. Participating in a co-located xR session requires a joining HMD to obtain authorization from a host HMD. A joining HMD may be located such that direct communications between the joining HMD and the host HMD are either unreliable, or beyond the capabilities of two HMDs. The joining HMD may collaborate with neighboring HMDs to obtain authorization from a host HMD. The host HMD aggregates information from joining HMDs to determine the authorized HMDs and to determine the most reliable forms of direct communication between each pair of HMDs participating in the xR session. 1. A system for participating in a co-located virtual , augmented , or mixed reality (xR) session including a network of Head-Mounted Devices (HMDs) in a first physical environment , comprising: receive a first beacon from a second HMD requesting access to the xR session via a plurality of transmission mechanisms;', 'determine a signal strength of the first beacon received from the second HMD; and', 'broadcast a second beacon requesting access to the xR session, wherein the second beacon identifies the first HMD and wherein the second beacon includes the signal strength of the first beacon and the identity of the second HMD; and, 'a first HMD configured to receive the second beacon from the first HMD;', 'determine, based on the second beacon, whether the first HMD is authorized to participate in the xR session;', 'if the first HMD is authorized, determine a signal strength of the second beacon received from the first HMD;', 'update aggregated signal strength information for the network of HMDs to incorporate the signal strength of the second beacon and to include the signal strength of the first beacon from the second HMD as reported by the first HMD; and', ' ...

Подробнее
17-03-2022 дата публикации

Fluidized Bed Dehydrogenation Process For Light Olefin Production

Номер: US20220081373A1
Принадлежит:

The present invention discloses process and apparatus for the production of light olefins from their respective alkanes by catalytic dehydrogenation, where in the dehydrogenation reaction is carried out in multiple semi-continuously operated fluidized bed isothermal reactors, connected to a common regenerator and wherein the process is carried out in a sequence of steps in each cycle i.e., entry of hot regenerated catalyst, pre-treatment with reducing gas, dehydrogenation reaction, stripping, transfer of catalyst to regenerator and catalyst regeneration. Process cycle in each reactor starts at different times such that the catalyst inventory in the regenerator is invariable with time. 2. The process as claimed in claim 1 , wherein the fluidized bed reactors are maintained under isothermal conditions by an additional heating element (F).3. The process as claimed in claim 1 , wherein the temperature of hot regenerated catalyst entering the reactor is 600-800° C. claim 1 , and wherein diluent stream comprises of nitrogen or steam or helium or any other gas claim 1 , and wherein pre-heated alkane feed is sent to reactor with or without diluents.4. The process as claimed in claim 1 , wherein the reducing gas is selected from the group consisting of hydrogen or methane or hydrogen containing gas or dry gas from FCCU or Pressure Swing Adsorption (PSA) off-gas from Hydrogen Generation Unit (HGU) or any combination thereof.5. The process as claimed in claim 1 , wherein the dehydrogenation reaction is carried out at a temperature in the range of 500-850° C. claim 1 , preferably 550-700° C.; pressure in the range of 0.1-3.0 bar; gas hourly space velocity (GHSV) of the feed stream in the range of 500-10000 h claim 1 , and molar ratio of diluent to hydrocarbon in the feed stream is in the range of 0.1 to 5.6. The process as claimed in claim 1 , wherein the alkane feed stream comprises of ethane or propane or iso-butane or n-butane or any combination.7. The process as claimed in ...

Подробнее
17-03-2022 дата публикации

INTEGRATED OXIDATIVE ALKANE DEHYDROGENATION AND HYDROGEN GENERATION PROCESS

Номер: US20220081375A1
Принадлежит: INDIAN OIL CORPORATION LIMITED

As part of an integrated oxidative alkane dehydrogenation and hydrogen generation process, carbon dioxide from Pressure Swing Adsorption (PSA) off gas stream of Hydrogen Generation Unit (HGU), and alkane from any known source are sent to oxidative dehydrogenation (ODH) unit for producing high value olefins, such as ethylene, propylene and butenes. Products formed from ODH reactor are separated and the stream comprising of hydrogen, carbon monoxide and methane are recycled to Shift reactor of HGU unit for enhanced production of hydrogen at PSA. 1. An integrated process for catalytic oxidative dehydrogenation (ODH) of alkane(s) and for generation of hydrogen , the process comprising:a) feeding a fresh hydrocarbon alkane feed along with a carbon dioxide rich stream to an ODH reactor comprising of dehydrogenation catalyst, where the alkane feed gets converted into alkenes and producing an effluent gas comprising of hydrogen, methane, carbon monoxide, carbon dioxide, water and unreacted alkanes;{'sub': 4', '3', '2, 'b) separating ODH effluent gas from the ODH reactor in a Gas Separation system, wherein the Gas Separation system consists of a De-Propanizer section, a De-Butanizer and CSplitter section, a CSplitter section, a De-Methanizer section and a CSplitter section;'} [{'sub': 4+', '4', '4, '(i) a C stream consisting of Calkanes, Colefins and higher hydrocarbons;'}, {'sub': '3', '(ii) a Cstream consisting of propane and propylene; and'}, '(iii) an Off gas stream consisting of hydrogen, methane, ethane, ethylene, carbon monoxide and carbon dioxide in the De-Propanizer section;, 'c) separating the ODH effluent gases into{'sub': 4', '4, 'd) separating butanes, butene and heavy hydrocarbons from the C+ stream in the De-Butanizer and the CSplitter section and recycling back the butane to the ODH reactor for further conversion;'}{'sub': 3', '3, 'e) separating the Cstream into petrochemical grade propylene and a propane rich stream in a CSplitter and recycling back the ...

Подробнее
08-03-2018 дата публикации

INDEPENDENTLY CONTROLLABLE POWDER DELIVERY FOR ADDITIVE MANUFACTURING

Номер: US20180065178A1
Принадлежит:

An additive manufacturing system that includes a platen, a feed material delivery system configured to deliver feed material to a location on the platen specified by a computer aided design program and a heat source configured to raise a temperature of the feed material simultaneously across all of the layer or across a region that extends across a width of the platen and scans the region across a length of the platen. The heat source can be an array of heat lamps, or a plasma source. 133-. (canceled)34. An additive manufacturing system , comprising:a platen to support an object to be fabricated; a common reservoir to hold the powder,', 'a plurality of openings for powder to flow from the common reservoir onto the platen, the plurality of openings extending along a first axis; and', 'a plurality of independently controllable gates to independently control flow of the powder through the plurality of openings;, 'a dispenser apparatus positioned above the platen to dispense a layer of powder over the platen, the dispenser apparatus including'}a drive system to move the dispenser apparatus along a second axis perpendicular to the first axis; anda heat source configured to selectively heat to the layer of feed material to a temperature sufficient to cause the powder to fuse.35. The system of claim 34 , wherein each gate comprises a valve.36. The system of claim 35 , wherein the valve is selected from the group consisting of a pneumatic valve claim 35 , a microelectromechanical system (MEMS) valve claim 35 , a solenoid valve and a magnetic valve.37. The system of claim 34 , wherein each gate is configured to urge the powder out of an associated opening.38. The system of claim 34 , wherein the plurality of openings extend across a width of the platen in the first axis.39. The system of claim 34 , wherein the heat source is configured to generate a beam that is scanned across the platen and is modulated to control which voxels are fused.40. The system of claim 39 , wherein ...

Подробнее
17-03-2022 дата публикации

SYSTEMS AND METHODS FOR HARDWARE ATTESTATION IN AN INFORMATION HANDLING SYSTEM

Номер: US20220083664A1
Принадлежит: DELL PRODUCTS L.P.

A method may include, during execution of a basic input/output system comprising boot firmware configured to be the first code executed by the processor when the information handling system is booted and/or powered on and execute prior to execution of an operating system of the information handling system, executing a hardware attestation verification application configured to: (i) read a platform certificate comprising information associated with one or more information handling resources of the information handling system recorded during creation of the platform certificate; (ii) perform hardware attestation of the information handling system by comparing information associated with the one or more information handling resources and the information stored within the platform certificate; and (iii) generate a log indicative of the results of the hardware attestation. 1. An information handling system comprising:a processor; and read a platform certificate comprising information associated with one or more information handling resources of the information handling system recorded during creation of the platform certificate;', 'perform hardware attestation of the information handling system by comparing information associated with the one or more information handling resources and the information stored within the platform certificate; and', 'generate a log indicative of the results of the hardware attestation., 'a basic input/output system comprising boot firmware configured to be the first code executed by the processor when the information handling system is booted and/or powered on and execute prior to execution of an operating system of the information handling system, the basic input/output system embodied in non-transitory computer-readable media communicatively coupled to the processor and configured to, when loaded and executed by the processor, execute a hardware attestation verification application prior to execution of an operating system of the ...

Подробнее
08-03-2018 дата публикации

Robust reference signal time difference measurements

Номер: US20180070209A1
Принадлежит: Qualcomm Inc

Disclosed embodiments pertain to combining Reference Signal Time Difference (RSTD) measurements from partial measurement sessions that result when a single measurement session is interrupted by one or more interruption events including Out of Service (OOS) or Inter-Frequency Handover (IFH) events. In some embodiments, first Time Of Arrival (TOA) measurements of cellular signals during a plurality of discontiguous partial measurement sessions separated by one or more interruption events may be obtained. Second TOA measurements based on a reference time source may be obtained for a plurality of the first TOA measurements from at least two partial measurement sessions. A cell associated with a second TOA measurement may be selected as a reference cell and for each non-reference cell associated with a second TOA measurement, a corresponding RSTD measurement may be obtained relative to the selected reference cell.

Подробнее
15-03-2018 дата публикации

SINGLE OXIDE METAL DEPOSITION CHAMBER

Номер: US20180073150A1
Принадлежит:

Implementations described herein generally relate to metal oxide deposition in a processing chamber. More specifically, implementations disclosed herein relate to a combined chemical vapor deposition and physical vapor deposition chamber. Utilizing a single oxide metal deposition chamber capable of performing both CVD and PVD advantageously reduces the cost of uniform semiconductor processing. Additionally, the single oxide metal deposition system reduces the time necessary to deposit semiconductor substrates and reduces the foot print required to process semiconductor substrates. In one implementation, the processing chamber includes a gas distribution plate disposed in a chamber body, one or more metal targets disposed in the chamber body, and a substrate support disposed below the gas distribution plate and the one or more targets. 1. A deposition chamber , comprising:a gas distribution plate disposed in a chamber body;one or more metal targets disposed in the chamber body; anda substrate support disposed below the gas distribution plate or the one or more metal targets.2. The deposition chamber of claim 1 , further comprising one or more shields disposed between the gas distribution plate and the one or more metal targets.3. The deposition chamber of claim 2 , wherein the gas distribution plate is disposed in a first portion of the chamber body and wherein the first portion is defined by a column extending from the gas distribution plate.4. The deposition chamber of claim 3 , wherein the one or more shields are disposed adjacent to and at an acute angle less than 90 degrees from the column.5. The deposition chamber of claim 1 , wherein the one or more metal targets are disposed in a second portion of the chamber body.6. The deposition chamber of claim 5 , wherein the second portion of the chamber body surrounds the first portion of the chamber body.7. The deposition chamber of claim 2 , wherein the substrate support is moveable in the vertical direction.8. A ...

Подробнее
16-03-2017 дата публикации

JUST IN TIME COMPILATION (JIT) FOR BUSINESS PROCESS EXECUTION

Номер: US20170076236A1
Принадлежит:

Techniques to provide and deploy a business process are disclosed. A business process source filed stored in a memory is received. The business process source file, as stored in memory, is provided as an input to an in-memory compiler. A business process class file stored in the memory is received as output from the in-memory compiler. The business process class file, as stored in memory, is provided to a business process engine class loader. 1. A method of providing a business process , comprising:receiving, by a processor, a business process source file stored in a memory, wherein the business process is associated with the business process source file and the business process includes one or more related, structured activities or tasks;determining, by the processor, based at least in part on the business process source file a virtual file system that does not rely on a native file system of a computer system, wherein the virtual file system includes a hierarchical namespace;providing, by the processor, the business process source file as an input to a compiler during runtime;receiving, by the processor, from the compiler as output a business process class file stored in the memory, wherein the business process class file is stored in the memory based at least in part on the hierarchical namespace of the virtual file system, wherein the business process class file outputted by the compiler includes a new or updated version of the business process; andproviding, by the processor, the business process class file to a business process engine.2. The method of claim 1 , wherein receiving the business process source file includes retrieving from an archive storage node an archive file that includes the business process source file.3. The method of claim 2 , wherein receiving the business process source file further includes extracting the business process source file from the retrieved archive file and storing the business process source file in a memory location in the ...

Подробнее
12-06-2014 дата публикации

NEURO-RESPONSE DATA SYNCHRONIZATION

Номер: US20140164095A1
Принадлежит:

An example system includes a headset to gather first data comprising first neuro-response data and second neuro-response data from a user while the user is exposed to stimulus material. In the example system, the headset comprises a first sensor to gather the first neuro-response data, the first neuro-response data comprising at least one of electroencephalographic data or magnetoencephalographic data, and a second sensor to gather the second neuro-response data, the second neuro-response data comprising facial emotion encoding data. The headset also comprises a processor to synchronize the first neuro-response data, the second neuro-response data and the stimulus material to generate synchronized data and determine an effectiveness of a portion of the stimulus material based on the synchronized data. 1. A system comprising: a first sensor to gather the first neuro-response data, the first neuro-response data comprising at least one of electroencephalographic data or magnetoencephalographic data;', 'a second sensor to gather the second neuro-response data, the second neuro-response data comprising facial emotion encoding data; and', synchronize the first neuro-response data, the second neuro-response data and the stimulus material to generate synchronized data; and', 'determine an effectiveness of a portion of the stimulus material based on the synchronized data., 'a processor to], 'a first headset to gather first data comprising first neuro-response data and second neuro-response data from a first user while the first user is exposed to stimulus material, the first headset comprising2. The system of claim 1 , wherein the second sensor comprises a facial affect graphic and video analyzer.3. The system of claim 1 , wherein the first headset further comprises an identifier to identify the stimulus material.4. The system of claim 1 , wherein the first sensor comprises a plurality of electrodes and the processor is to select signals from a subset of the plurality of ...

Подробнее
12-03-2020 дата публикации

PROCESS FOR SELECTIVE PRODUCTION OF LIGHT OLEFINS AND AROMATIC FROM CRACKED LIGHT NAPHTHA

Номер: US20200080006A1
Принадлежит:

The present invention provides a process for a production of light olefins and aromatics from cracked light naphtha by selective cracking. The present invention thus provides a process for up grading cracked olefinic naphtha to high value petrochemical feed stocks. This process is based on catalytic cracking in which the catalyst activity is optimized by depositing coke for production of light olefins and aromatics. The proposed process has high flexibility and can be operated either in maximizing olefins as reflected from the PIE ratio or in maximizing aromatics (BTX) at different modes of operation depending upon the product requirement. 1. A process for selective production of light olefins and aromatics , the process comprising:a) feeding a mixed olefinic cracked naphtha feedstock into a reactor; (i) wherein under olefinic mode of operation, the mixed olefinic cracked naphtha is catalytically cracked by contacting with a zeolite catalyst for a residence time ranging between 35-65 minutes and at a pressure ranging between 1-2 bar to obtain a cracked product comprising light olefins in the range of 30-50 wt % and obtaining the light olefins as a gaseous product with a propylene to ethylene ratio (PIE) in the range of 1-5;', '(ii) wherein under aromatic mode of operation, the mixed olefinic cracked naphtha is catalytically cracked by contacting with a zeolite catalyst for a residence time ranging between 20-35 minutes and at a pressure ranging between 5-7 bar to obtain a cracked product comprising aromatics in the range of 10-25 wt % and obtaining the aromatics as a liquid product; and, 'b) catalytic cracking of the mixed olefinic cracked naphtha in the reactor under olefinic mode or aromatic mode of operation,'}c) recovering spent catalyst from the reactor and feeding the spent catalyst to a regenerator to obtain a regenerated catalyst and recycling the regenerated catalyst to the reactor.2. The process as claimed in claim 1 , wherein the mixed olefinic cracked ...

Подробнее
22-03-2018 дата публикации

METHOD AND APPARATUS FOR TO DETERMINE THE LOCATION OF A WEARABLE DEVICE

Номер: US20180084374A1
Принадлежит:

A mobile station that is wirelessly linked to a wearable device, receives a request to initiate a location session from the wearable device, e.g., which may be in the form of an emergency call. The mobile station determines whether the location of the mobile station may be used as a location of the wearable device, e.g., by determining if the wearable device is proximate to the mobile station. For example, the mobile station may check to see if the mobile station is wirelessly linked to a wearable device through a wireless personal area network (WPAN). The mobile station initiates the location session for the wearable device with a location server. If the location of the mobile station may not be used as a location of the wearable device, the location session for the wearable device does not use position information obtained from the mobile station. 1. A method of location determination for a wearable device , the method comprising:receiving by a mobile station a request to initiate a location session from the wearable device, the mobile station being wirelessly linked with the wearable device;determining by the mobile station that a location fix for the mobile station may not be used as a location fix for the wearable device; andinitiating by the mobile station the location session for the wearable device with a location server, wherein the location session for the wearable device does not use position information from the mobile station.2. The method of claim 1 , further comprising:receiving by the mobile station position information from the wearable device; andtransmitting to the location server by the mobile station the position information from the wearable device.3. The method of claim 2 , wherein the position information from the wearable device comprises Wireless Personal Area Network (WPAN) data claim 2 , Wireless Local Area Network (WLAN) data claim 2 , Wireless Wide Area Network (WWAN) data claim 2 , satellite positioning system (SPS) data claim 2 , ...

Подробнее
29-03-2018 дата публикации

SPUTTERING SHOWERHEAD

Номер: US20180087155A1
Принадлежит:

In one implementation, a sputtering showerhead assembly is provided. The sputtering showerhead assembly comprises a faceplate comprising a sputtering surface comprising a target material and a second surface opposing the sputtering surface, wherein a plurality of gas passages extend from the sputtering surface to the second surface. The sputtering showerhead assembly comprises further comprises a backing plate positioned adjacent to the second surface of the faceplate. The backing plate comprises a first surface and a second surface opposing the first surface. The sputtering showerhead assembly has a plenum defined by the first surface of the backing plate and the second surface of the faceplate. The sputtering showerhead assembly comprises further comprises one or more magnetrons positioned along the second surface of the backing plate. 1. A deposition chamber , comprising:a chamber body; [ a sputtering surface comprising a target material; and', 'a second surface opposing the sputtering surface, wherein a plurality of gas passages extend from the sputtering surface to the second surface;, 'a faceplate comprising, a first surface; and', 'a second surface opposing the first surface, wherein a plenum is defined by the first surface of the backing plate and the second surface of the faceplate;, 'a backing plate positioned adjacent to the second surface of the faceplate and comprising, 'one or more magnetrons positioned along the second surface of the backing plate; and, 'a sputtering showerhead assembly, comprisinga substrate support disposed below the sputtering showerhead assembly, wherein the chamber body and the sputtering showerhead assembly define an interior volume.2. The deposition chamber of claim 1 , wherein the target material is selected from the group consisting of nickel claim 1 , chromium claim 1 , aluminum claim 1 , copper claim 1 , tantalum claim 1 , tantalum nitride claim 1 , tantalum carbide claim 1 , tungsten claim 1 , tungsten nitride claim 1 , ...

Подробнее
05-05-2022 дата публикации

INTERNALLY DIVISIBLE PROCESS CHAMBER USING A SHUTTER DISK ASSEMBLY

Номер: US20220139684A1
Принадлежит:

Apparatus and methods for forming and using internally divisible physical vapor deposition (PVD) process chambers using shutter disks are provided herein. In some embodiments, an internally divisible process chamber may include an upper chamber portion having a conical shield, a conical adaptor, a cover ring, and a target, a lower chamber portion having a substrate support having inner and outer deposition rings, and wherein the substrate support is vertically movable, and a shutter disk assembly configured to internally divide the process chamber and create a separate sealed deposition cavity and a separate sealed oxidation cavity, wherein the shutter disk assembly includes one or more seals disposed along its outer edges and configured to contact at least one of the conical shield, the conical adaptor, or the deposition rings to form the separate sealed deposition and oxidation cavities.

Подробнее
05-05-2022 дата публикации

SYSTEM AND METHOD OF DISTRIBUTION OF ESIM PROFILES TO A PLURALITY OF ENTERPRISE ENDPOINT DEVICES

Номер: US20220141642A1
Принадлежит: DELL PRODUCTS, LP

An information handling system operating an enterprise endpoint embedded subscriber identification module (eSIM) provisioning system may comprise a processor, memory, and network interface device for transceiving data with an endpoint computing device having an embedded universal integrated circuit card (eUICC) capable of programmable selection among networks including at least one network in a 5G New Radio frequency band, the processor executing code of an enterprise client management (ECM) system for management of eSIM profiles for plural endpoint computing devices, the ECM system associating a unique hardware derived device IDentification based on hardware components of the endpoint computing device with a level of wireless service for the endpoint computing device based on enterprise allocation of service for the endpoint computing device via the ECM system, and the network interface device transmitting an eSIM profile to the endpoint computing device for implementation at the eUICC for the assigned level of service.

Подробнее
07-04-2016 дата публикации

Protein films and methods of forming the same

Номер: US20160096935A1
Принадлежит: University of Massachusetts UMass

Various embodiments disclosed relate to protein films and methods of making the same. In various embodiments, the present invention provides a method of making a protein film including placing on a substrate a protein solution, to form a precursor protein film. The protein solution includes one or more proteins. The method includes compressing the precursor protein film to form a protein film.

Подробнее
19-03-2020 дата публикации

USING A B-TREE TO STORE GRAPH INFORMATION IN A DATABASE

Номер: US20200089707A1
Принадлежит:

Techniques to store graph information in a database are disclosed. In various embodiments, each node in a graph may be modeled as a micro b-tree. Node identity, attribute, edge, and edge attribute data may be stored in one or more pages modeled on page formats typically used to store index data for a relational database index. Data associated with a plurality of nodes and edges, each of said edges representing a relationship between two or more of said nodes, may be received. For each node, one or more pages of data may be created, each corresponding to a prescribed page size associated with a storage device in which said one or more pages are to be stored, and each page having a data structure that includes a variable-sized set of fixed length data slots and a variable-sized variable length data region 1. A system , comprising:a storage device organized as a plurality of pages of a prescribed page size; and create for at least one of each node of a plurality of nodes and at least one edge one or more pages of data, each corresponding to said prescribed page size, and each page having a b-tree index data structure that includes a first section having a variable-sized set of fixed width data slots and a second section having a variable-sized variable length data region; and', 'perform at least one of a read, update, and delete transaction operation on the plurality of nodes and edges; and', 'determine traversal of relationships between nodes and edges., 'a processor coupled to the storage device and configured to2. The system of claim 1 , wherein said fixed length data slots are configured to be used to store one or more of node attribute data claim 1 , edge data claim 1 , and edge attribute data associated with the node.3. The system of claim 1 , wherein data values too large to be stored in said fixed length data slots is stored.4. The system of claim 1 , wherein each of said edges representing a relationship between two or more of said nodes claim 1 , wherein each ...

Подробнее
03-07-2014 дата публикации

METHODS OF USING A TRENCH SALICIDE ROUTING LAYER

Номер: US20140183638A1
Принадлежит: GLOBALFOUNDRIES INC.

Methodology enabling selectively connecting fin structures using a segmented trench salicide layer, and the resulting device are disclosed. Embodiments include: providing on a substrate at least one gate structure; providing first and second fin structures in a vertical direction intersecting with the at least one gate structure; and providing a first segment of a salicide layer, the first segment being formed along a horizontal direction and being connected with the second fin structure and separated from the first fin structure. 1. A method comprising:providing on a substrate at least one gate structure;providing first and second fin structures in a vertical direction intersecting with the at least one gate structure; andproviding a first segment of a salicide layer, the first segment being formed along a horizontal direction and being connected with the second fin structure and separated from the first fin structure.2. The method according to claim 1 , comprising:providing a second segment of the salicide layer formed along the horizontal direction and vertically separated from the first segment by the at least one gate structure, the second segment connecting with the first fin structure and separated from the second fin structure.3. The method according to claim 1 , comprising:providing third, fourth, fifth, and sixth fin structures intersecting with the at least one gate structure, the second fin structure separating the first and third fin structures, the fourth fin structure separating the first and fifth fin structures, and the fifth fin structure separating the fourth and sixth fin structures;providing first, second, and third sets of segments of the salicide layer formed along the horizontal direction, each of the first, second, and third sets having different vertical positions, separated from each other by a gate structure of the at least one gate structure, wherein the second set separates the first and third sets, and the first set includes the first ...

Подробнее
10-07-2014 дата публикации

Wafer processing deposition shielding components

Номер: US20140190822A1
Принадлежит: Applied Materials Inc

Embodiments described herein generally relate to components for a semiconductor processing chamber, a process kit for a semiconductor processing chamber, and a semiconductor processing chamber having a process kit. In one embodiment a lower shield for encircling a sputtering target and a substrate support is provided. The lower shield comprises a cylindrical outer band having a first diameter dimensioned to encircle the sputtering surface of the sputtering target and the substrate support, the cylindrical band comprising a top wall that surrounds a sputtering surface of a sputtering target and a bottom wall that surrounds the substrate support, a support ledge comprising a resting surface and extending radially outward from the cylindrical outer band, a base plate extending radially inward from the bottom wall of the cylindrical band, and a cylindrical inner band coupled with the base plate and partially surrounding a peripheral edge of the substrate support.

Подробнее
09-06-2022 дата публикации

CELLULAR SIGNAL DEGRADATION BASED DETECTION OF EVENTS

Номер: US20220182159A1
Автор: Pradeep Anantha K.
Принадлежит:

Methods and apparatus are provided for determining first frequency band signal strengths and second frequency band signal strengths for multiple devices connected to a cellular base station. Variations that fall within a threshold for the first frequency band signal strength and outside the threshold for second frequency band signal strengths may indicate a casual factor attenuating signals more at one frequency than the other. Alerts can be triggered for the causal factor to further verify the causal factor or notify appropriate authorities. 1. A method comprising:determining a first plurality of signal strengths at a first frequency band for a first plurality of devices connected to a first cellular base station;determining a second plurality of signal strengths at a second frequency band for the first plurality of devices connected to the first cellular base station;detecting variation in the first plurality of signal strengths at the first frequency band that falls within a threshold variation;detecting variation in the second plurality of signal strengths at the second frequency band that exceeds the threshold variation;determining a causal factor for the variation in the second plurality of signal strengths at the second frequency band that exceeds the threshold variation while the variation in the first plurality of signal strengths at the first frequency band falls within the threshold variation; andtriggering an alert for the causal factor.2. The method of claim 1 , further comprising generating a signal strength profile at the first frequency band for the first plurality of devices.3. The method of claim 2 , further comprising generating a signal strength profile at the second frequency band for the first plurality of devices.4. The method of claim 1 , wherein the first plurality of devices is a first plurality of mobile devices.5. The method of claim 1 , wherein the first plurality of devices comprise mobile devices and stationary devices.6. The method of ...

Подробнее
04-05-2017 дата публикации

BIASABLE ROTATABLE ELECTROSTATIC CHUCK

Номер: US20170125274A1
Принадлежит:

Embodiments of the present disclosure relate to a rotatable RF coupling device and an electrostatic chuck incorporating the same. In some embodiments, a rotatable RF coupling device includes a conductive plate; a rotatable split cylinder configured to be coupled to a dielectric disk of an electrostatic chuck to provide RF power to one or more RF bias electrodes disposed within the dielectric disk; a plurality of RF input taps coupled to the conductive plate to couple RF power to the conductive plate; a stationary ring coupled to the conductive plate and surrounding the rotatable split cylinder; and a grounded shield surrounding the conductive plate, the stationary ring, and the rotatable split cylinder. 1. A rotatable radio frequency (RF) coupling device , comprising:a conductive plate;a rotatable split cylinder configured to be coupled to a dielectric disk of an electrostatic chuck to provide RF power to one or more RF bias electrodes disposed within the dielectric disk;a plurality of RF input taps coupled to the conductive plate to couple RF power to the conductive plate;a stationary ring coupled to the conductive plate and surrounding the rotatable split cylinder; anda grounded shield surrounding the conductive plate, the stationary ring, and the rotatable split cylinder.2. The rotatable RF coupling device of claim 1 , further comprising:one or more insulators disposed between the grounded shield, the conductive plate, and the stationary ring.3. The rotatable RF coupling device of claim 1 , further comprising:a gap disposed between the stationary ring and the rotatable split cylinder to facilitate capacitive coupling of RF power from the stationary ring to the rotatable split cylinder.4. The rotatable RF coupling device of claim 3 , further comprising:a plurality of electrical taps extending through the rotatable split cylinder and configured to be coupled to at least one chucking electrode.5. The rotatable RF coupling device of claim 4 , wherein the plurality of ...

Подробнее
25-04-2019 дата публикации

WORD REPLACEABILITY THROUGH WORD VECTORS

Номер: US20190121849A1
Принадлежит: MachineVantage, Inc.

Provided are systems, methods, and devices for providing word replaceability information through word vectors. Within a database system, a text document is received, then processed into a number of sub-sentences or sub-segments. The processing involved delimiting one or more sentences within the text document by one or more punctuation marks. Next, a number of n-gram combinations are generated according to co-appearances of n-grams within the sub-sentences. Distance metrics are determined between the n-gram co-appearances for each n-gram combination. Finally, word replaceability information is provided for one or more words or n-grams within the text document, based on the distance metric. 1. A method of producing trained word vectors and providing a model for word replacement data , the method comprising:receiving a text document;tokenizing the text document into a plurality of sub-segments, including delimiting one or more sentences within the text document by one or more punctuation marks;generating a plurality of n-gram combinations according to co-appearances of n-grams within the plurality of sub-segments;determining a distance metric between two n-gram co-appearances for each n-gram combination; andproviding, based at least on the distance metric, word replaceability data for one or more n-grams within the text document.2. A method as recited in further comprising:utilizing a Euclidean distance metric for deriving a language model used to provide word replacement data.3. A method as recited in further comprising:utilizing a cosine distance metric for deriving a language model used to provide word replacement data.4. A method as recited in further comprising:maintaining a count of n-grams in respective sub-segments.5. A method as recited in further comprising:utilizing the count of n-grams to train word vectors.6. A method as recited in further comprising:utilizing the distance metric to train word vectors.7. A system for deriving word replacement data using ...

Подробнее
31-07-2014 дата публикации

Enhancing resolution in lithographic processes using high refractive index fluids

Номер: US20140211175A1
Автор: Lokesh Subramany, Yayi Wei
Принадлежит: Globalfoundries Inc

An approach for enhancing resolution in a lithographic process (e.g., an immersion lithographic process) is provided. Specifically, a material having a high reflexive index (e.g., water) is provided on opposite sides of an objective lens. This allows a set of light rays (high intensity) to be directed/passed from a light source, through a condenser lens, over a mask, through the material positioned on one side of the objective lens, through the objective lens, through the material on the opposite side of the objective lens, and to a wafer that is then patterned. Positioning the material on both sides of the objective lens allows for improved resolution and lithographic patterning of the wafer for both on-axis illumination and off-axis illumination techniques.

Подробнее
02-05-2019 дата публикации

MEDIATION OF TRAUMATIC BRAIN INJURY

Номер: US20190125255A1
Автор: Pradeep Anantha K.
Принадлежит: StimScience Inc.

Provided are systems, methods, and devices for providing mediation of a traumatic brain injury. Systems may include an interface, processing devices, and a controller. The interface is configured to obtain measurements from a brain of a user with a traumatic brain injury. A first processing device is configured to generate multiple brain state parameters characterizing one or more features of a brain state of the user. A second processing device is configured to generate models of the brain of the user based on the plurality of brain state parameters and the plurality of measurements, and determine, using the models and training data comprising one or more mediation data points, a mediation procedure for reducing one or more symptoms of the traumatic brain injury. The mediation procedure is provided to one or more entities, and one or more control signals are generated by the controller based on the mediation procedure. 1. A system comprising:an interface configured to obtain a plurality of measurements from a brain of a user with a traumatic brain injury;a first processing device comprising one or more processors configured to generate a plurality of brain state parameters characterizing one or more features of at least one brain state of the brain of the user; generate one or more models of the brain of the user based, at least in part, on the plurality of brain state parameters and the plurality of measurements,', 'determine, using at least the one or more models of the brain of the user and training data comprising one or more mediation data points, a procedure for mediation configured to reduce one or more symptoms of the traumatic brain injury, and', 'provide the procedure for mediation to one or more entities; and, 'a second processing device comprising one or more processors configured toa controller comprising one or more processors configured to generate one or more control signals based on the procedure for mediation.2. The system of claim 1 , wherein the ...

Подробнее
02-05-2019 дата публикации

Systems, methods, and devices for brain stimulation and monitoring

Номер: US20190126033A1
Автор: Anantha K. Pradeep
Принадлежит: StimScience Inc

Provided are systems, methods, and devices for brain stimulation and monitoring. Brain stimulation may be provided to enhance slow wave and spindle synchrony. Such stimulation may provide increased memory consolidation. Furthermore, brain stimulation modalities may provide enhanced sleep and awakening. For example, transcranial direct current stimulation of the brain stimulation may enhance sleep quality. Moreover, one or more markers characterizing unconsciousness are may be identified based on changes in measured power densities or spectra. Further still, the above described modalities of brain stimulation may be implemented in an open-loop or closed loop manner. Brain state parameters may be generated for building models of the brain based on determined synchrony patterns between slow waves and spindles. The models may be used to determine a mediation procedure for adjusting the intensity of slow wave oscillations to enhance slow wave and spindle synchrony.

Подробнее
21-05-2015 дата публикации

SYSTEMS AND METHODS TO GATHER AND ANALYZE ELECTROENCEPHALOGRAPHIC DATA

Номер: US20150141789A1
Принадлежит:

Example methods are described herein that include obtaining electroencephalographic data from an example device worn by a subject. The device includes a central body portion and a plurality of extensions extending from the central body portion. Respective ones of the extension have ends carrying an electrode. The example device includes an adjustment band disposed along a longitudinal axis of the central body portion to adjust a position of the extensions. The example method also includes analyzing the data to determine a mental state of the subject. 1. A method comprising: a central body portion;', 'a plurality of extensions extending from the central body portion, respective ones of the extensions having ends carrying an electrode; and', 'an adjustment band disposed along a longitudinal axis of the central body portion to adjust respective positions of the extensions; and, 'obtaining electroencephalographic data from a device worn by a subject, the device comprisinganalyzing the data to determine a mental state of the subject.2. The method of claim 1 , wherein the adjustment band is elastic.3. The method of claim 1 , wherein the adjustment band has a circular cross section.4. The method of claim 1 , wherein the adjustment band has a rectangular cross section.5. The method of claim 1 , wherein the adjustment band is slidably disposed along the longitudinal axis.6. The method of claim 1 , wherein the central body portion comprises a first protrusion claim 1 , a second protrusion claim 1 , and a recess between the first protrusion and the second protrusion claim 1 , and the adjustment band is disposed in the recess.7. The method of claim 1 , wherein the central body portion and the extensions comprises one or more of silicone or rubber.8. The method of claim 1 , wherein the device further comprises a flexible printed circuit board encapsulated in the central body portion and extensions.9. The method of claim 1 , wherein each of the extensions is curved in a direction ...

Подробнее
03-06-2021 дата публикации

POLICY-BASED STORAGE STRUCTURE DISTRIBUTION

Номер: US20210165795A1
Принадлежит:

Policy-based storage and retrieval combined with a distribution algorithm results in automatic and even distribution of policy-based storage structures across a set of nodes and dynamic, automated homing or ownership of policy-based storage structures. Large numbers of policy-based storage structures may be distributed without manual administration, allowing for rapid creation and destruction of storage structures. The overall load may be distributed and balanced across the server pool. Multiple entries having the same key value in a database- or table-like structure allow for distribution of policy-based storage and retrieval by key value and for queue semantics to be utilized for microqueues in the large database- or table-like structure. 1. (canceled)2. (canceled)3. (canceled)4. (canceled)5. (canceled)6. (canceled)7. (canceled)8. (canceled)9. (canceled)10. (canceled)11. (canceled)12. A method for distributing policy-based data structures over a system of one or more servers installed on one or more computing devices , the method comprising: identifying at least one data entry in the space;', 'determining a key value of the at least one data entry;', 'mapping the at least one policy-based data structure to one of a node, node cluster, server, and server cluster in the system of one or more servers, the mapping comprising using a distribution algorithm considering the key value., 'determining at least one policy-based data structure from a space, the determining comprising13. The method of claim 12 , wherein the mapping the at least one policy-based data structure comprises distributing at least one microqueue over the system of one or more servers.14. The method of claim 12 , wherein the mapping comprises using a consistent hashing algorithm.15. The method of claim 12 , wherein the mapping comprises:using a consistent hashing algorithm to map nodes in the system of one or more servers to a scale;using the consistent hashing algorithm to map the at least one policy ...

Подробнее
03-06-2021 дата публикации

Plasma Source For Rotating Susceptor

Номер: US20210166923A1
Принадлежит: Applied Materials, Inc.

Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode. 1. A plasma source assembly comprising:a housing having an inner peripheral edge, an outer peripheral edge and a front face, the housing including a gas inlet to form a flow path from the gas inlet to allow a flow of gas to pass through the housing and out an opening in the front face;an RF hot electrode within the housing, the RF hot electrode having an elongate body with an inner peripheral end near the inner peripheral edge of the housing and an outer peripheral end near the outer peripheral edge of the housing and defining a length of the RF hot electrode;a return electrode having an elongate body extending between the inner peripheral edge and the outer peripheral edge of the housing, the return electrode spaced from the RF hot electrode to provide a gap in which a plasma can form; andan RF feed connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode,wherein a substrate moved along an arcuate path while facing the front face experiences a uniform plasma exposure across the substrate.2. The plasma source assembly of claim 1 , wherein the return electrode is the housing.3. The plasma source assembly of claim 1 , wherein the RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 5% of the length of the RF hot electrode.4. The plasma source assembly of claim 1 , further comprising a RF hot electrode cladding positioned so that the RF hot electrode is not exposed.5. The plasma ...

Подробнее
30-04-2020 дата публикации

SIMULTANEOUS LOCALIZATION AND MAPPING (SLAM) COMPENSATION FOR GESTURE RECOGNITION IN VIRTUAL, AUGMENTED, AND MIXED REALITY (xR) APPLICATIONS

Номер: US20200132474A1
Принадлежит: Dell Products, L.P.

Embodiments of systems and methods for Simultaneous Localization and Mapping (SLAM) compensation for gesture recognition in virtual, augmented, and mixed reality (xR) applications are described. In an illustrative, non-limiting embodiment, an Information Handling System (IHS) may include a processor and a memory coupled to the processor, the memory having program instructions stored thereon that, upon execution by the processor, cause the IHS to: receive a first set of images from a SLAM camera, wherein the first set of images captures movement of a Head-Mounted Device (HMD); calculate a transformation matrix based upon the first set of images; receive a second set of images from a gesture camera, wherein the second set of images captures a gesture; and apply the transformation matrix to the second set of images prior to recognizing the gesture. 1. An Information Handling System (IHS) , comprising:a processor; and receive a first set of images from a Simultaneous Localization and Mapping (SLAM) camera, wherein the first set of images captures movement of a Head-Mounted Device (HMD);', 'calculate a transformation matrix based upon the first set of images;', 'receive a second set of images from a gesture camera, wherein the second set of images captures a gesture; and', 'apply the transformation matrix to the second set of images prior to recognizing the gesture., 'a memory coupled to the processor, the memory having program instructions stored thereon that, upon execution by the processor, cause the IHS to2. The IHS of claim 1 , wherein the SLAM camera comprises an infra-red (IR) camera claim 1 , and wherein the gesture camera comprises a visible spectrum camera.3. The IHS of claim 1 , wherein the first and second sets of images are received concurrently.4. The IHS of claim 1 , wherein the transformation matrix comprises at least one of:a translation matrix, a rotation matrix, or a scaling matrix.5. The IHS of claim 1 , wherein to apply the transformation matrix ...

Подробнее
24-05-2018 дата публикации

TWO ZONE FLOW COOLING PLATE DESIGN WITH CONCENTRIC OR SPIRAL CHANNEL FOR EFFICIENT GAS DISTRIBUTION ASSEMBLY COOLING

Номер: US20180142352A1
Принадлежит:

An apparatus and method for cooling a gas distribution assembly with a cooling plate. The cooling plate having a body having a top surface, an outer perimeter, a center, an inner zone and an outer zone. A plurality of channels formed through the top surface. The plurality of channels having a first outer channel having one or more first outer channel segments configured for flowing a first cooling fluid from a cooling fluid inlet to a cooling fluid outlet and a first inner channel disposed between the first outer channel and the center having one or more first inner channel segments configured for flowing a second cooling fluid from a cooling fluid inlet to a cooling fluid outlet wherein flow in adjacent segments is in an opposite direction. 1. A cooling plate comprising:a body having a top surface, an outer perimeter, a center, an inner zone and an outer zone; and a first outer channel having one or more first outer channel segments, a cooling fluid inlet and a cooling fluid outlet disposed proximate the outer perimeter configured for flowing a first cooling fluid from the cooling fluid inlet to the cooling fluid outlet; and', 'a first inner channel disposed between the first outer channel and the center having one or more first inner channel segments, an inner cooling fluid inlet and an inner cooling fluid outlet configured for flowing a second cooling fluid from the cooling fluid inlet to the cooling fluid outlet wherein flow in adjacent segments is in an opposite direction., 'a plurality of channels formed through the top surface and into the body, the plurality of channels comprising2. The cooling plate of claim 1 , wherein a first channel of the plurality of channels comprises:a plurality of grooves; anda plurality of fins separating the grooves.3. The cooling plate of claim 2 , wherein the plurality of grooves include a first groove claim 2 , a second groove and a third groove.4. The cooling plate of claim 3 , wherein the fins extend to the top surface of the ...

Подробнее
25-05-2017 дата публикации

Lateral Plasma/Radical Source

Номер: US20170148626A1
Принадлежит:

Plasma source assemblies comprising a housing with an RF hot electrode and a return electrode are described. The housing includes a gas inlet and a front face defining a flow path. The RF hot electrode includes a first surface oriented substantially parallel to the flow path. The return electrode includes a first surface oriented substantially parallel to the flow path and spaced from the first surface of the RF hot electrode to form a gap. Processing chambers incorporating the plasma source assemblies and methods of using the plasma source assemblies are also described. 1. A plasma source assembly comprising:a housing having a gas inlet and a front face defining a flow path, the gas inlet allowing a flow of gas to move along the flow path to pass through the housing and out the front face;an RF hot electrode within the housing, the RF hot electrode having a first surface oriented substantially parallel to the flow path; anda return electrode within the housing, the return electrode having a first surface oriented substantially parallel to the flow path and spaced from the first surface of the RF hot electrode to form a gap.2. The plasma source assembly of claim 1 , further comprising a second return electrode claim 1 , the second return electrode having a first surface oriented substantially parallel to the flow path and spaced from a second surface of the RF hot electrode to form a second gap claim 1 , the second surface of the RF hot electrode opposite the first surface of the RF hot electrode.3. The plasma source assembly of claim 1 , wherein the return electrode is a ground electrode.4. The plasma source assembly of claim 1 , wherein the return electrode is a powered electrode different from the RF hot electrode.5. The plasma source assembly of claim 1 , wherein the gap between the RF hot electrode and the return electrode is in the range of about 4 mm to about 15 mm.6. The plasma source assembly of claim 1 , wherein the gap between the RF hot electrode and the ...

Подробнее
23-05-2019 дата публикации

SYSTEMS, METHODS, AND DEVICES FOR INTRACRANIAL MEASUREMENT, STIMULATION, AND GENERATION OF BRAIN STATE MODELS

Номер: US20190150768A1
Автор: Pradeep Anantha K.
Принадлежит: StimScience Inc.

Provided are systems, methods, and devices for intracranial measurement, stimulation, and generation of brain state models. Systems include a plurality of intracranial electrodes configured to be coupled to a brain of a user. Systems further include an interface configured to obtain measurements from the plurality of intracranial electrodes. Systems include a first processing device including one or more processors configured to generate a plurality of brain state parameters characterizing one or more features of at least one brain state of the user, and a second processing device including one or more processors configured to generate at least one model of the brain of the user based, at least in part, on the plurality of brain state parameters and the measurements. Systems include a controller including one or more processors configured to generate a control signal based on the plurality of brain state parameters and the at least one model. 1. A system comprising:a plurality of intracranial electrodes configured to be coupled to a brain of a user and configured to obtain a plurality of measurements from the brain of the user;an interface configured to obtain the plurality of measurements from at least the plurality of intracranial electrodes;a first processing device comprising one or more processors configured to generate a plurality of brain state parameters characterizing one or more brain states of the user;a second processing device comprising one or more processors configured to generate at least one model of the brain of the user based, at least in part, on the plurality of brain state parameters and the plurality of measurements; anda controller comprising one or more processors configured to generate a control signal based on the plurality of brain state parameters and the at least one model.2. The system of claim 1 , wherein the plurality of measurements are intracranial measurements claim 1 , and wherein each of the plurality of brain states identifies ...

Подробнее
18-06-2015 дата публикации

ROTATABLE HEATED ELECTROSTATIC CHUCK

Номер: US20150170952A1
Принадлежит:

An electrostatic chuck includes a dielectric disk having a support surface to support a substrate and an opposing second surface, wherein at least one chucking electrode is disposed within the dielectric disk; a radio frequency (RF) bias plate disposed below the dielectric disk; a plurality of lamps disposed below the RF bias plate to heat the dielectric disk; a metallic plate disposed below the lamps to absorb heat generated by the lamps; a shaft coupled to the second surface of the dielectric disk at a first end of the shaft to support the dielectric disk in a spaced apart relation to the RF bias plate and extending away from the dielectric disk and through the RF bias plate and the metallic plate; and a rotation assembly coupled to the shaft to rotate the shaft and the dielectric disk with respect to the RF bias plate, lamps, and metallic plate. 1. An electrostatic chuck , comprising:a dielectric disk having a support surface to support a substrate and an opposing second surface, wherein at least one chucking electrode is disposed within the dielectric disk;a radio frequency (RF) bias plate disposed below the dielectric disk;a plurality of lamps disposed below the RF bias plate to heat the dielectric disk;a metallic plate disposed below the plurality of lamps to absorb heat generated by the plurality of lamps;a shaft coupled to the second surface of the dielectric disk at a first end of the shaft to support the dielectric disk in a spaced apart relation to the RF bias plate and extending away from the dielectric disk and through the RF bias plate and the metallic plate; anda rotation assembly coupled to the shaft to rotate the shaft and the dielectric disk with respect to the RF bias plate, the plurality of lamps, and the metallic plate.2. The electrostatic chuck of claim 1 , wherein the electrostatic chuck is a bipolar electrostatic chuck.3. The electrostatic chuck of claim 1 , further comprising:a housing containing the RF bias plate, the plurality of lamps, ...

Подробнее
22-09-2022 дата публикации

SYSTEMS AND METHODS OF EXECUTING A CHAIN OF TRUST WITH AN EMBEDDED CONTROLLER TO SECURE FUNCTIONALITIES OF AN INTEGRATED SUBSCRIBER IDENTIFICATION MODULE (ISIM)

Номер: US20220303779A1
Принадлежит: DELL PRODUCTS, LP

A method of securing functionalities of an integrated subscriber identification module (iSIM) on an information handling system may include with an embedded controller (EC), detecting a powering-up process at the information handling system and determine a chain of trust access keys during bootup; with the execution of the EC, detecting and activating a wireless wide area network (WWAN) module; with the execution of the EC, detecting and accessing an integrated subscriber identity module (iSIM); with the execution of the EC, authenticating access to iSIM content including authorization information and carrier profile information with the chain of trust access keys generated from encryption keys based on digital signatures; and sending the authorization information and carrier profile information form the iSIM to the WWAN module for authentication, wirelessly, with a switched multimegabit data service (SM-DS) server associated with the carrier profile. 1. A method of securing functionalities of an integrated subscriber identification module (iSIM) on an information handling system , comprising:with an embedded controller (EC), detecting a powering-up process at the information handling system and determine chain of trust access keys during bootup;with the execution of the EC, detecting and activating a wireless wide area network (WWAN) module;with the execution of the EC, detecting and accessing an integrated subscriber identity module (iSIM);with the execution of the EC, authenticating access to iSIM content including authorization information and carrier profile information with the chain of trust access keys from generated encryption keys based on digital signatures; andsending the authorization information and carrier profile information from the iSIM to the WWAN module of a network interface device for authentication, wirelessly, with a switched multimegabit data service (SM-DS) server associated with the carrier profile.2. The method of further comprising: ...

Подробнее
14-06-2018 дата публикации

UHV In-Situ Cryo-Cool Chamber

Номер: US20180163306A1
Принадлежит:

A cooling chamber comprising a support plate connected to a cryo pump and turbo pump, a clamp ring with a plurality of clamp pads on the bottom thereof where each clamp pad has a beveled surface directed downward and a lift plate to move the clamp ring from a clamp position to a loading position are described. Cluster tools incorporating the cooling chamber and methods of using the cooling chamber are also described. 1. A cooling chamber comprising:a support plate connected to a cryo pump and turbo pump, the support plate having a top surface to support a wafer;a clamp ring having a top, bottom, inner diameter, outer diameter and a plurality of clamp pads on the bottom, each clamp pad having a beveled surface directed downward and toward the inner diameter; anda lift plate to move the clamp ring from a clamp position to a loading position.2. The cooling chamber of claim 1 , wherein the cryo pump is configured to cool a wafer on the support plate to a temperature less than about 150 K.3. The cooling chamber of claim 1 , wherein the lift plate comprises a plurality of lift pins.4. The cooling chamber of claim 1 , wherein the lift plate is connected to the clamp ring so that the lift plate moves the clamp ring and the wafer.5. The cooling chamber of claim 1 , wherein the clamp pads are connected to the clamp ring by a removable connection.6. The cooling chamber of claim 3 , wherein the support plate comprises an opening on a side of the support plate to allow the lift pins to extend therethrough.7. The cooling chamber of claim 1 , wherein the support plate comprises at least one channel formed in the top surface to allow a flow of gas to pass beneath a wafer positioned on the top surface.8. The cooling chamber of claim 7 , wherein the support plate further comprises a backside gas line connected to a back surface of the support plate and in fluid communication with the at least one channel.9. The cooling chamber of claim 8 , wherein the backside gas line is connected ...

Подробнее
21-05-2020 дата публикации

NEUROMODULATION METHOD AND SYSTEM FOR SLEEP DISORDERS

Номер: US20200155061A1
Автор: Pradeep Anantha K.
Принадлежит: StimScience Inc.

Provided are systems, methods, and devices for providing mediation or alleviation of sleep disorders and insomnia. Systems may include an interface, processing devices, and a controller. The interface is configured to obtain measurements from a brain of a user with sleeping disorders and insomnia. A first processing device is configured to generate multiple brain state parameters characterizing one or more features of a brain state of the user. A second processing device is configured to generate models of the brain of the user based on the plurality of brain state parameters and the plurality of measurements, and determine, using the models and training data comprising one or more mediation data points, a mediation procedure for reducing one or more symptoms of the sleeping disorder or insomnia. The mediation procedure is provided to one or more entities, and one or more control signals are generated by the controller based on the mediation procedure. 1an interface configured to obtain a plurality of measurements from a brain of a user with a traumatic brain injury;a first processing device comprising one or more processors configured to generate a plurality of brain state parameters characterizing one or more features of at least one brain state of the brain of the user; generate one or more models of the brain of the user based, at least in part, on the plurality of brain state parameters and the plurality of measurements,', 'determine, using at least the one or more models of the brain of the user and training data comprising one or more mediation data points, a procedure for mediation configured to reduce one or more symptoms of the traumatic brain injury, and', 'provide the procedure for mediation to one or more entities; and, 'a second processing device comprising one or more processors configured toa controller comprising one or more processors configured to generate one or more control signals based on the procedure for mediation.. A system comprising: The ...

Подробнее
29-09-2022 дата публикации

HOT SHOWERHEAD

Номер: US20220307131A1
Принадлежит: Applied Materials, Inc.

Exemplary substrate processing systems may include a chamber body defining a transfer region. The systems may include a first lid plate seated on the chamber body. The first lid plate may define a plurality of apertures through the first lid plate. The systems may include a plurality of lid stacks equal to a number of the plurality of apertures. The systems may define a plurality of isolators. An isolator may be positioned between each lid stack and a corresponding aperture of the plurality of apertures. The systems may include a plurality of annular spacers. An annular spacer of the plurality of annular spacers may be positioned between each isolator and a corresponding lid stack of the plurality of lids stacks. The systems may include a plurality of manifolds. A manifold may be seated within an interior of each annular spacer of the plurality of annular spacers. 1. A substrate processing system comprising:a chamber body defining a transfer region;a first lid plate seated on the chamber body along a first surface of the first lid plate, wherein the first lid plate defines a plurality of apertures through the first lid plate;a plurality of lid stacks equal to a number of apertures of the plurality of apertures defined through the first lid plate, wherein the plurality of lid stacks at least partially define a plurality of processing regions vertically offset from the transfer region;a plurality of isolators, wherein an isolator of the plurality of isolators is positioned between each lid stack of the plurality of lid stacks and a corresponding aperture of the plurality of apertures defined through the first lid plate;a plurality of annular spacers, wherein an annular spacer of the plurality of annular spacers is positioned between each isolator of the plurality of isolators and a corresponding lid stack of the plurality of lids stacks; anda plurality of manifolds, wherein a manifold of the plurality of manifolds is seated within an interior of each annular spacer of ...

Подробнее
14-06-2018 дата публикации

Wireless local area network coverage hole detection using mobile communication devices

Номер: US20180167830A1
Принадлежит: Symbol Technologies LLC

Apparatus and method for coverage hole detection in a wireless local area network (WLAN) using a mobile communication device. The method includes a first step of providing a plurality of access points distributed within the WLAN and at least one mobile communication device operable within the WLAN. A next step includes downloading triggers for respective coverage measurement conditions to the at least one associated mobile communication device from an associated access point. A next step includes detecting a coverage measurement condition by the at least one mobile communication device that activates one of the triggers, whereupon a next step includes sending a coverage measurement indicating the triggered condition from the at least one mobile communication device to an associated access point, which forwards a coverage incident report to a Central Report Manager.

Подробнее
11-09-2014 дата публикации

APPLICATION CONGESTION CONTROL

Номер: US20140258382A1
Принадлежит: TIBCO SOFTWARE INC.

Controlling client side application congestion at least in part by using one or more heuristics to predict at a data producer node, such as a server, how much time an application at a data consumer node, such as a client, will require to process a unit of data is disclosed. In various embodiments, a predicted client side processing time associated with a unit of data to be sent to a client is determined. The predicted client side processing time associated with the unit of data is used to determine a time to send a data transmission to the client.

Подробнее
21-05-2020 дата публикации

HEAD-MOUNTED DEVICES (HMDs) DISCOVERY IN CO-LOCATED VIRTUAL, AUGMENTED, AND MIXED REALITY (xR) APPLICATIONS

Номер: US20200162527A1
Принадлежит: Dell Products, L.P.

Methods and systems are provided for collaborating in the discovery of Head-Mounted Devices (HMDs) configured for hosting a co-located virtual, augmented, or mixed reality (xR) session. An HMD issues a request to join a co-located xR session. Participating in a co-located xR session requires a joining HMD to obtain authorization from a host HMD. A joining HMD may be located such that direct communications between the joining HMD and the host HMD are either unreliable, or beyond the capabilities of two HMDs. The joining HMD may collaborate with neighboring HMDs to obtain authorization from a host HMD. The host HMD aggregates information from joining HMDs to determine the authorized HMDs and to determine the most reliable forms of direct communication between each pair of HMDs participating in the xR session. 1. A system for supporting participation of a first HMD (Head-Mounted Device) in a virtual , augmented , or mixed reality (xR) session , the system comprising: receive a first beacon from a host HMD;', 'determine a first signal strength of the first beacon received from the host HMD; and', 'broadcast a second beacon reporting the determined first signal strength of the first beacon; and, 'the first HMD configured to receive the second beacon from the first HMD;', 'determine a second signal strength of the second beacon received from the first HMD;', 'determine aggregated signal strength information for the first HMD based on the determined second signal strength and further based on the first signal strength reported in the second beacon by the first HMD; and', 'authorize participation of the first HMD in the xR session when the aggregated signal strength information indicates the first HMD can participate in the xR session., 'a host HMD configured to2. The system of claim 1 , wherein the second beacon is broadcast via a first transmission mechanism available for the first HMD to communicate directly with the host HMD.3. The system of claim 2 , wherein the second ...

Подробнее
18-09-2014 дата публикации

SPUTTER SOURCE FOR SEMICONDUCTOR PROCESS CHAMBERS

Номер: US20140262767A1
Принадлежит:

Embodiments of a sputter source for semiconductor process chambers are provided herein. In some embodiments, a sputter source for a semiconductor process chamber may include: a target comprising a magnetic material to be deposited on a substrate, the magnetic material including a front surface where material is to be sputtered and an opposing back surface; and an outer magnet disposed proximate a back surface of the target and arranged symmetrically with respect to a central axis of the target, wherein the target has an annular groove formed in the back surface of the target disposed proximate the outer magnet to reduce a magnetic permeability of a region of the target proximate the outer magnet, wherein the groove is an unfilled v-shaped groove having an inner angle greater than 90 degrees. 1. A sputter source for a semiconductor process chamber , comprising:a target comprising a magnetic material to be deposited on a substrate, the magnetic material including a front surface where material is to be sputtered and an opposing back surface; andan outer magnet disposed proximate a back surface of the target and arranged symmetrically with respect to a central axis of the target, wherein the target has an annular groove formed in the back surface of the target disposed proximate the outer magnet to reduce a magnetic permeability of a region of the target proximate the outer magnet, wherein the groove is an unfilled v-shaped groove having an inner angle greater than 90 degrees.2. The sputter source of claim 1 , wherein the outer magnet is disposed proximate the back surface of the target such that at least a portion of a magnetic field formed by the outer magnet has an orientation that is substantially perpendicular to the back surface of the target.3. The sputter source of claim 1 , further comprising:an inner magnet disposed proximate the back surface of the target proximate the center axis of the target.4. The sputter source of claim 3 , wherein the inner magnet is a ...

Подробнее
22-06-2017 дата публикации

Methods and apparatus for processing a substrate

Номер: US20170178877A1
Принадлежит: Applied Materials Inc

Methods and apparatus for processing a substrate are disclosed herein. In some embodiments, a process chamber includes: a chamber body defining an interior volume; a substrate support to support a substrate within the interior volume; a plurality of cathodes coupled to the chamber body and having a corresponding plurality of targets to be sputtered onto the substrate; and a shield rotatably coupled to an upper portion of the chamber body and having at least one hole to expose at least one of the plurality of targets to be sputtered and at least one pocket disposed in a backside of the shield to accommodate and cover at least another one of the plurality of targets not to be sputtered, wherein the shield is configured to rotate about and linearly move along a central axis of the process chamber.

Подробнее
02-07-2015 дата публикации

MIDDLE-OF-THE-LINE CONSTRUCTS USING DIFFUSION CONTACT STRUCTURES

Номер: US20150187702A1
Принадлежит:

An approach for providing MOL constructs using diffusion contact structures is disclosed. Embodiments include: providing a first diffusion region in a substrate; providing, via a first lithography process, a first diffusion contact structure; providing, via a second lithography process, a second diffusion contact structure; and coupling the first diffusion contact structure to the first diffusion region and the second diffusion contact structure. Embodiments include: providing a second diffusion region in the substrate; providing a diffusion gap region between the first and second diffusion regions; providing the diffusion contact structure over the diffusion gap region; and coupling, via the diffusion contact structure, the first and second diffusion regions. 1. A device comprising:a first diffusion region in a substrate; andfirst and second diffusion contact structures, wherein the first diffusion contact structure is provided via a first lithography process, the second diffusion contact structure is provided via a second lithography process, and the first diffusion contact structure is coupled to the first diffusion region and the second diffusion contact structure.2. The device according to claim 1 , wherein the first and second lithography processes are performed at different times.3. The device according to claim 1 , further comprising:a second diffusion region in the substrate; anda third diffusion contact structure, wherein the third diffusion contact structure is provided via the first lithography process, and the third diffusion contact structure is coupled to the second diffusion region and the second diffusion contact structure.4. The device according to claim 1 , wherein the first diffusion contact structure has a first width claim 1 , and the second diffusion contact structure has a second width that is different from the first width.5. The device according to claim 1 , further comprising:a first gate structure over the substrate, wherein the second ...

Подробнее
18-09-2014 дата публикации

IN-SITU TEMPERATURE MEASUREMENT IN A NOISY ENVIRONMENT

Номер: US20140269826A1
Принадлежит:

Disclosed are method and apparatus for treating a substrate. The apparatus is a dual-function process chamber that may perform both a material process and a thermal process on a substrate. The chamber has an annular radiant source disposed between a processing location and a transportation location of the chamber. Lift pins have length sufficient to maintain the substrate at the processing location while the substrate support is lowered below the radiant source plane to afford radiant heating of the substrate. One or more lift pins has a light pipe disposed therein to collect radiation emitted or transmitted by the substrate when the lift pin contacts the substrate surface. 1. A lift pin for a semiconductor processing chamber , the lift pin comprising a light pipe.2. The lift pin of claim 1 , further comprising a swivel coupling disposed in a contact end of the lift pin.3. The lift pin of claim 1 , wherein the swivel coupling has a contact surface with a light trap.4. The lift pin of claim 1 , wherein the light trap comprises a groove in the contact surface.5. The lift pin of claim 1 , further comprising a blind tip covering the light pipe at a contact end of the lift pin.6. A method of measuring thermal state of a substrate claim 1 , comprising:measuring a first radiation signal transmitted by the substrate;measuring a second radiation signal transmitted by the substrate;relating the second radiation signal to a level of thermal noise in the environment of the substrate;subtracting the level of thermal noise from the first radiation signal to give a thermal state signal; andrelating the thermal state signal to the thermal state of the substrate.7. The method of claim 6 , wherein the first radiation signal has a wavelength greater than about 1200 nm and the second radiation signal has a wavelength less than about 1100 nm. This application claims benefit of U.S. Provisional Patent Application Ser. No. 61/789,185, filed Mar. 15, 2013, which is incorporated herein by ...

Подробнее
28-05-2020 дата публикации

Electrolyte composition and method of fabricating same, and energy storage device comprising electrolyte composition

Номер: US20200168948A1
Принадлежит:

An electrolyte composition, a method of fabricating the same, and an energy storage device with the electrolyte composition are provided. The method of fabricating an electrolyte composition has steps of: mixing a modified polyoxyethylene-based material and a siloxane-based material in a solvent to form a mixture in which a tail end of a group of the modified polyoxyethylene-based material has an amine group; and heating the mixture at a temperature ranging from 50 to 60° C. for a time ranging from 3 to 5 hours for obtaining an electrolyte composition which is formed by bonding the amine group of the modified polyoxyethylene-based material to the siloxane-based material. The electrolyte composition enables conductive ions to conduct in an electrolyte easily. 1. A method of fabricating an electrolyte composition , comprising steps of:mixing a modified polyoxyethylene-based material and a siloxane-based material in a solvent to form a mixture, where a tail end of a group of the modified polyoxyethylene-based material has an amine group; andheating the mixture at a temperature ranging from 50 to 60° C. for a time ranging from 3 to 5 hours for obtaining an electrolyte composition, wherein the electrolyte composition is formed by bonding the amine group of the modified polyoxyethylene-based material to the siloxane-based material.2. The method of fabricating the electrolyte composition according to claim 1 , further comprising a step of adding a lithium ion composition to the electrolyte composition after the step of obtaining the electrolyte composition.3. The method of fabricating the electrolyte composition according to claim 1 , wherein the modified polyoxyethylene-based material comprises a block copolymer in a form of polyoxypropylene-polyoxyethylene-polyoxypropylene.4. The method of fabricating the electrolyte composition according to claim 1 , wherein the siloxane-based material comprises at least one of polyhedral oligomeric silsesquioxane and a derivative ...

Подробнее
29-06-2017 дата публикации

ADDITIVE MANUFACTURING WITH LASER AND GAS FLOW

Номер: US20170182556A1
Принадлежит:

An additive manufacturing system includes a platen, a feed material dispenser apparatus configured to deliver a feed material onto the platen, a laser source configured to produce a laser beam during use of the additive manufacturing system, a controller configured to direct the laser beam to locations on the platen specified by a computer aided design program to cause the feed material to fuse, a gas source configured to supply gas, and a nozzle configured to accelerate and direct the gas to substantially the same location on the platen as the laser beam. 1. An additive manufacturing system comprising:a platen;a feed material dispenser apparatus configured to deliver a layer of feed material over the platen;a laser source configured to produce a laser beam;a controller configured to direct the laser beam to fuse the feed material at locations specified by data stored in a computer readable medium;a gas source configured to supply gas; anda nozzle configured to accelerate and direct the gas to impinge substantially the same location on the layer of feed material on the platen as the laser beam.2. The system of claim 1 , wherein the nozzle is configured to accelerate the gas to supersonic speeds.3. The system of claim 2 , wherein the nozzle comprises a de Laval nozzle.4. The system of claim 3 , wherein the laser beam and the gas emerge along a common axis to strike the feed material on the platen.5. The system of claim 1 , comprising a conduit having a first end closer to the laser and a second send closer to the platen claim 1 , and wherein the laser is directed through the conduit claim 1 , the nozzle is positioned on the second end of the conduit claim 1 , and the gas source is configured to inject the gas into the conduit.6. A method of additive manufacturing claim 1 , comprising:dispensing a layer of feed material over a platen;directing a beam of laser to heat the feed material at locations specified by data stored in a computer readable medium; anddirecting a ...

Подробнее
08-07-2021 дата публикации

Symmetric Plasma Source to Generate Pie-Shaped Treatment

Номер: US20210210312A1
Принадлежит:

Plasma source assemblies comprising a housing with an RF hot electrode having a body and a plurality of source electrodes extending vertically from the RF hot electrode toward the opening in a front face of the housing are described. Processing chambers incorporating the plasma source assemblies and methods of using the plasma source assemblies are also described. 1. A plasma source assembly comprising:a housing having a gas inlet and a front face defining a flow path, the gas inlet allowing a flow of gas to move along the flow path to pass through the housing and out an opening in the front face;an RF hot electrode within the housing, the RF hot electrode having a body and a plurality of source electrodes extending from the RF hot electrode toward the opening in the front face;a return electrode spaced a gap distance from the source electrodes; anda ceramic plate within the housing on a side of the RF hot electrode opposite the front face of the housing and forming a plenum between the housing and the ceramic plate, the ceramic plate spaced a distance from the RF hot electrode to form a plenum between the ceramic plate and the RF hot electrode, the ceramic plate including a plurality of passages extending through the ceramic plate to allow a flow of gas to pass from the plenum between the ceramic plate and the housing to the plenum between the ceramic plate and the RF hot electrode.2. The plasma source assembly of claim 1 , wherein the return electrode has a surface oriented substantially parallel to the flow path and perpendicular to the front face of the housing.3. The plasma source assembly of claim 1 , wherein the return electrode is the housing.4. The plasma source assembly of claim 1 , wherein the plenum above the ceramic plate claim 1 , the passages through the ceramic plate and the plenum below the ceramic plate have a width of less than or equal to about 0.5 mm.5. The plasma source assembly of claim 1 , further comprising a quartz plate positioned between ...

Подробнее
13-06-2019 дата публикации

METHODS AND SYSTEMS FOR MITIGATING CONNECTION IMPACT ON SERVICE INFRASTRUCTURE

Номер: US20190182751A1
Принадлежит:

A method in a client device of mitigating connection impact on service infrastructure includes: prior to initiating a connection to a service, generating an impact indicator corresponding to the service, the impact indicator representing a predicted load at the service; determining whether the impact indicator exceeds an impact threshold; when the impact indicator exceeds the impact threshold, incrementing a connection interval according to a backoff interval; and initiating a connection with the service upon expiry of the connection interval. 1. A method in a client device of mitigating connection impact on service infrastructure , comprising:prior to initiating a connection to a service, generating an impact indicator corresponding to the service, the impact indicator representing a predicted load at the service;determining whether the impact indicator exceeds an impact threshold;when the impact indicator exceeds the impact threshold, incrementing a connection interval according to a backoff interval; andinitiating the connection with the service upon expiry of the connection interval.2. The method of claim 1 , wherein generating the impact indicator comprises:detecting a set of events associated with the service; andgenerating a count of the events.3. The method of claim 1 , wherein detecting the set of events associated with the service comprises:generating a first subset of the events responsive to detecting that a condition has been met at the client device.4. The method of claim 3 , wherein detecting the event associated with the service further comprises:receiving a second subset of the events from another client device.5. The method of claim 2 , wherein the threshold defines a number of events.6. The method of claim 3 , further comprising:responsive to generating the first subset of the events, transmitting the first subset of the events to the other client device.7. The method of claim 6 , further comprising transmitting the first subset of the events to ...

Подробнее
11-06-2020 дата публикации

COLLABORATION BETWEEN HEAD-MOUNTED DEVICES (HMDs) IN CO-LOCATED VIRTUAL, AUGMENTED, AND MIXED REALITY (xR) APPLICATIONS

Номер: US20200183631A1
Принадлежит: Dell Products, L.P.

In a collaborative virtual, augmented, and mixed reality (xR) session, different users wearing head-mounted devices HMDs may leave the xR session and new HMD-wearing users may join. The HMD worn by the joining user may be calibrated based on the physical characteristics, such as ambient noise and interference, of physical environment in which the xR session is conducted. An HMD may generate a profile of the noise and interference in the environment that adversely affects the ability for the communicating directly via supported transmission mechanisms. The profile may be provided directly to the joining HMD, allowing the joining HMD to quickly calibrate the transmission mechanisms included in the received profile to the particular sources of noise and interference in the physical environment. 1. A first Head-Mounted Device (HMD) configured for participating in a co-located virtual , augmented , or mixed reality (xR) session in a physical environment , the first HMD comprising:a processor;a plurality of sensors, each sensor supporting one or more transmission mechanisms for participating in the xR session; and select a preferred transmission mechanism for participating in the xR session based on a first profile of the physical environment;', 'determine, via the plurality of sensors, a signal integrity within the physical environment for each transmission mechanism;', 'generate a second profile of the physical environment based on the determined signal integrity for each transmission mechanism; and', 'modify the preferred transmission mechanism for participating in the xR session based on the second profile., 'a memory coupled to the processor, the memory having program instructions stored thereon that, upon execution, cause the first HMD to2. The first HMD of claim 1 , wherein the first profile of the physical environment is received from a second HMD participating in the xR session.3. The first HMD of claim 1 , wherein the signal integrity for each transmission ...

Подробнее
20-06-2019 дата публикации

Shaped Electrodes For Improved Plasma Exposure From Vertical Plasma Source

Номер: US20190189404A1
Принадлежит:

Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode. The RF hot electrode can include a leg and optional triangular portion near the leg that extends at an angle to the body of the RF hot electrode. A cladding material on one or more of the RF hot electrode and the return electrode can be variably spaced or have variable properties along the length of the plasma gap. 1. A plasma source assembly comprising:a housing having an inner peripheral edge, an outer peripheral edge and a front face, the housing including a gas inlet to form a flow path from the gas inlet to allow a flow of gas to pass through the housing and out an opening in the front face;an RF hot electrode within the housing, the RF hot electrode having an elongate body with an inner peripheral end near the inner peripheral edge of the housing and an outer peripheral end near the outer peripheral edge of the housing and defining a length of the RF hot electrode, the RF hot electrode including a leg extending at an angle to the elongate body;a return electrode having an elongate body extending between the inner peripheral edge and the outer peripheral edge of the housing, the return electrode spaced from the RF hot electrode to provide a gap in which a plasma can form; andan RF feed connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less or equal to about 25% of the length of the RF hot electrode.2. The plasma source assembly of claim 1 , wherein the return electrode is the housing.3. The plasma source assembly of claim 1 , wherein the RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of ...

Подробнее
20-06-2019 дата публикации

Dual solder methodologies for ultrahigh density first level interconnections

Номер: US20190189581A1
Принадлежит: Intel Corp

An apparatus, comprising an integrated circuit (IC) package having at least one solder bond pad, a die having at least one solder bond pad, wherein the die is bonded to the IC package by at least one solder joint between the at least one solder bond pad of the die, and the at least one solder bond pad of the IC package, and an underfill material between the IC package and the die, wherein the at least one solder joint is embedded in the underfill material, and wherein the at least one solder joint comprises a first metallurgy and a second metallurgy.

Подробнее
20-07-2017 дата публикации

Layerwise heating, linewise heating, plasma heating and multiple feed materials in additive manufacturing

Номер: US20170203363A1
Принадлежит: Applied Materials Inc

An additive manufacturing system that includes a platen, a feed material delivery system configured to deliver feed material to a location on the platen specified by a computer aided design program and a heat source configured to raise a temperature of the feed material simultaneously across all of the layer or across a region that extends across a width of the platen and scans the region across a length of the platen. The heat source can be an array of heat lamps, or a plasma source.

Подробнее
20-07-2017 дата публикации

ADDITIVE MANUFACTURING WITH LASER AND PLASMA

Номер: US20170203364A1
Принадлежит:

An additive manufacturing system includes a platen, a feed material dispenser apparatus configured to deliver a feed material over the platen, a laser configured to produce a laser beam, a controller configured to direct the laser beam to locations specified by data stored in a computer-readable medium to cause the feed material to fuse, and a plasma source configured to produce ions that are directed to substantially the same location on the platen as the laser beam. 1. An additive manufacturing system comprising:a platen;a feed material dispenser apparatus configured to deliver a layer of feed material over the platen;a laser configured to produce a laser beam;a controller configured to cause the laser beam to fuse the feed material at locations specified by data stored in a computer-readable medium; anda plasma source configured to produce ions that are directed to impinge substantially the same location on the layer of feed material on the platen as the laser beam.2. The system of claim 1 , wherein the laser source and the plasma source are integrated in a coaxial point laser and plasma source configured such that the laser beam and the ions emerge from the coaxial point laser and plasma source along a common axis.3. The system of claim 2 , wherein the coaxial point laser and plasma source is configured such that the laser beam and the ions emerge in an overlapping region.4. The system of claim 1 , further comprising a drive system configured to raster scan the laser beam across the platen claim 1 , wherein the controller is configured to control a power of the laser beam at a location on the platen to determine if the feed material at the location fuses.5. The system of claim 1 , further comprising a voltage source electrically connected to the platen to maintain the platen at a first electrical potential to accelerate ions into the feed material.6. The system of claim 1 , wherein the plasma source comprises a conduit having a first end closer to the laser ...

Подробнее
26-07-2018 дата публикации

EXTENSION OF PVD CHAMBER WITH MULTIPLE REACTION GASES, HIGH BIAS POWER, AND HIGH POWER IMPULSE SOURCE FOR DEPOSITION, IMPLANTATION, AND TREATMENT

Номер: US20180209035A1
Принадлежит:

Embodiments of the present disclosure provide a sputtering chamber with in-situ ion implantation capability. In one embodiment, the sputtering chamber comprises a target, an RF and a DC power supplies coupled to the target, a support body comprising a flat substrate receiving surface, a bias power source coupled to the support body, a pulse controller coupled to the bias power source, wherein the pulse controller applies a pulse control signal to the bias power source such that the bias power is delivered either in a regular pulsed mode having a pulse duration of about 100-200 microseconds and a pulse repetition frequency of about 1-200 Hz, or a high frequency pulsed mode having a pulse duration of about 100-300 microseconds and a pulse repetition frequency of about 200 Hz to about 20 KHz, and an exhaust assembly having a concentric pumping port formed through a bottom of the processing chamber. 1. A processing chamber for processing a substrate , comprising:a target having a first surface disposed in a processing region of the processing chamber and a second surface that is opposite the first surface;an RF power supply coupled to the target;a DC power supply coupled to the target;a substrate support comprising a support body, the support body having a flat substrate receiving surface across the entire diameter of the substrate support;a bias power source coupled to the substrate support;a pulse controller coupled to the bias power source, the pulse controller configured to apply a pulse control signal to the bias power source such that bias power is delivered either in a regular pulsed mode having a pulse duration of about 100 microseconds to about 200 microseconds and a pulse repetition frequency of about 1 Hz to about 200 Hz, or a high frequency pulsed mode having a pulse duration of about 100 microseconds to about 300 microseconds and a pulse repetition frequency of about 200 Hz to about 20 KHz; andan exhaust assembly having a concentric pumping port formed ...

Подробнее
27-07-2017 дата публикации

Symmetric Plasma Source To Generate Pie Shaped Treatment

Номер: US20170213701A1
Принадлежит:

Plasma source assemblies comprising a housing with an RF hot electrode having a body and a plurality of source electrodes extending vertically from the RF hot electrode toward the opening in a front face of the housing are described. Processing chambers incorporating the plasma source assemblies and methods of using the plasma source assemblies are also described. 1. A plasma source assembly comprising:a housing having a gas inlet and a front face defining a flow path, the gas inlet allowing a flow of gas to move along the flow path to pass through the housing and out an opening in the front face; andan RF hot electrode within the housing, the RF hot electrode having a body and a plurality of source electrodes extending vertically from the RF hot electrode toward the opening in the front face.2. The plasma source assembly of claim 1 , further comprising a return electrode within the housing claim 1 , the return electrode having a first surface oriented substantially parallel to the flow path and spaced from the source electrode.3. The plasma source assembly of claim 2 , wherein the return electrode is the housing.4. The plasma source assembly of claim 1 , further comprising a ceramic plate positioned within the housing above the RF hot electrode.5. The plasma source assembly of claim 4 , wherein the ceramic plate is spaced a distance from the RF hot electrode to form a plenum between the ceramic plate and the RF hot electrode.6. The plasma source assembly of claim 5 , wherein the ceramic plate is spaced from the housing to form a plenum above the ceramic plate claim 5 , and the ceramic plate comprises a plurality of passages therethrough to allow a flow of gas to pass from the plenum above the ceramic plate to the plenum below the ceramic plate.7. The plasma source assembly of claim 6 , wherein the plenum above the ceramic plate claim 6 , the passages through the ceramic plate and the plenum below the ceramic plate have a width of less than or equal to about 0.5 mm. ...

Подробнее