Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 3946. Отображено 200.
27-01-2005 дата публикации

СПОСОБ И УСТРОЙСТВО ГЕНЕРИРОВАНИЯ СВЕТА В КРАЙНЕМ УЛЬТРАФИОЛЕТОВОМ ДИАПАЗОНЕ, В ЧАСТНОСТИ, ДЛЯ ЛИТОГРАФИИ

Номер: RU2003133464A
Принадлежит:

... 1. Способ генерирования света (30) в крайнем ультрафиолетовом диапазоне путем формирования плазмы при взаимодействии луча (24) лазера с целью, отличающийся тем, что используют цель, состоящую из плотного тумана (20), включающего микрокапли жидкости, представляющей собой сжиженный благородный газ, в частности, сжиженный ксенон, при этом жидкость получают путем сжижения благородного газа, жидкость сжимают путем подачи этого благородного газа, до давления в диапазоне от 5·105 до 50·105 Па, в случае ксенона, и температуру жидкого ксенона поддерживают в диапазоне от -70 до -20°С, причем давление и температуру газа, кроме того, выбирают так, что благородный газ находится в жидком состоянии, получаемую в результате жидкость под давлением инжектируют в сопло (4), минимальный внутренний диаметр которого выбирают в диапазоне от 60 до 600 мкм, причем это сопло выходит в область, где давление равно 10-1 Па или ниже, и в области выходного отверстия сопла образуется плотный и направленный туман из капель ...

Подробнее
20-07-2008 дата публикации

УСТРОЙСТВО ДЛЯ ГЕНЕРИРОВАНИЯ ЖЕСТКОГО УЛЬТРАФИОЛЕТОВОГО СВЕТА И ЕГО ПРИЛОЖЕНИЯ К ИСТОЧНИКУ ЖЕСТКОЙ УЛЬТРАФИОЛЕТОВОЙ РАДИАЦИИ ДЛЯ ЛИТОГРАФИИ

Номер: RU2006143322A
Принадлежит:

... 1. Устройство для генерирования излучения в крайней ультрафиолетовой области (EUV), содержащее:a) группу источников лазерного излучения высокой мощности для испускания лазерных пучков (12);b) фокусирующие средства (11; 111) для фокусирования лазерных пучков (12) с формированием сфокусированных лазерных пучков (1);c) средства для создания откачанного объема с давлением менее 1 Па, по меньшей мере, в области фокусировки указанных сфокусированных лазерных пучков (1);d) элемент (2) для формирования плотной мишени (4) в области фокусировки указанных сфокусированных лазерных пучков (1), причем плотная мишень (4) способна взаимодействовать со сфокусированными лазерными пучками (1) с испусканием плазмы, по меньшей мере, одна из линий спектра испускания которой находится в крайней ультрафиолетовой области;e) принимающий элемент (3) для приема мишени (4) после ее взаимодействия со сфокусированными лазерными пучками (1);f) по меньшей мере, один первый компонент (10; 110) для сбора EUV-излучения, испускаемого ...

Подробнее
02-04-2020 дата публикации

Vorrichtung und Verfahren zur Strahlwinkelmessung eines von einer Strahlführungsoptik geführten Lichtstrahls

Номер: DE102018124342A1
Принадлежит:

Die Erfindung betrifft eine Vorrichtung und ein Verfahren zur Strahlwinkelmessung eines von einer Strahlführungsoptik geführten Lichtstrahls. Eine erfindungsgemäße Vorrichtung weist eine Lichtintensitätssensor-Anordnung (20, 30, 40, 50, 60), eine Fokussiereinheit (21, 31, 41) zum Fokussieren des Lichtstrahls auf einen vorgegebenen Ort auf der Lichtintensitätssensor-Anordnung und eine Nachführeinheit (22, 32, 42) auf, welche bei Änderung des bei Eintritt in die Vorrichtung vorliegenden Strahlwinkels eine Relativposition des Intensitätsschwerpunkts des Lichtstrahls in Bezug auf einen vorgegebenen Ort auf der Lichtintensitätssensor-Anordnung nachführt.

Подробнее
10-04-2003 дата публикации

Synchronizing target time sequence and laser stimulation pulses incident on them for plasma emission stimulation involves controling target and/or pulse generation with target sensor signal

Номер: DE0010149654A1
Принадлежит:

The method involves optically sensing the path of motion of the target at least at a fixed detection point, generating a sensor signal superimposed with the passage of the target by the detection point and controling target generation and/or pulse generation using the sensor signal if synchronization is required. AN Independent claim is also included for the following: an arrangement for synchronizing time sequence of targets and laser stimulation pulses incident on them for emission stimulation of plasma.

Подробнее
05-11-2008 дата публикации

Lazer driven light source

Номер: GB0000817788D0
Автор:
Принадлежит:

Подробнее
10-02-1982 дата публикации

PLASMA X-RAY LASER

Номер: GB0002013967B
Автор:
Принадлежит: MARIE G R P

Подробнее
07-04-2020 дата публикации

Method for monitoring flow parameters

Номер: CN0110967941A
Автор:
Принадлежит:

Подробнее
25-10-2002 дата публикации

Generating extreme ultraviolet radiation in particular for lithography involves interacting a laser beam with a dense mist of micro-droplets of a liquefied rare gas, especially xenon

Номер: FR0002823949A1
Принадлежит:

Procédé et dispositif de génération de lumière dans l'extrême ultraviolet notamment pour la lithographie. Selon l'invention, on fait interagir un faisceau laser (24) et un brouillard dense (20) de micro-gouttelettes d'un liquide. Ce liquide est un gaz rare liquéfié. On utilise en particulier le xénon liquide (6), on produit ce dernier par liquéfaction de xénon gazeux (10) avec lequel on pressurise le xénon liquide à une pression de 5x105 Pa à 50x105 Pa, et l'on maintient ce xénon liquide à une température de -70°C à -20°C, on injecte le xénon liquide pressurisé dans une buse (4) dont le diamètre intérieur minimal va de 60 µm à 600 µm, cette buse débouchant dans une zone où la pression est égale ou inférieure à 10-1 Pa.

Подробнее
23-12-2013 дата публикации

METHOD FOR LPP DRIVE LASER OUTPUT DURING EUV NON-OUTPUT PERIODS

Номер: KR1020130139879A
Автор:
Принадлежит:

Подробнее
20-06-2018 дата публикации

레이저 생성 플라즈마 광원을 위한 액적 생성

Номер: KR1020180067709A
Принадлежит:

... 본 개시는 액체 타겟 재료를 분배하기 위한 노즐; 하나 이상의 중간 챔버(들)를 구비하는 디바이스에 관한 것으로, 각각의 중간 챔버는 타겟 재료를 수용하도록 배치되며 레이저 생성 플라즈마(LPP) 챔버에서의 하류 조사를 위해 타겟 재료를 출력하기 위한 출구 어퍼쳐를 가지고 형성된다. 개시된 몇몇 실시형태에서, 디바이스의 중간 챔버(들) 중 하나, 몇몇 또는 모두에서 가스 온도, 가스 압력 및 가스 조성 중 하나 이상을 제어하기 위한 제어 시스템이 포함된다. 하나의 실시형태에서, 조정 가능한 길이를 갖는 중간 챔버가 개시된다.

Подробнее
27-06-2018 дата публикации

원통형-대칭 요소 상에 코팅된 타겟 물질을 가진 플라즈마-기반 광원

Номер: KR1020180071403A
Принадлежит:

... 본 개시는 원통형-대칭 요소(예를 들어, 드럼)의 외부 표면 상에 코팅된 제논과 같은 타겟 물질을 갖는 레이저 생성 플라즈마 광원에 관한 것이다. 실시 예는 드럼에 대한 조사 손상을 감소시키도록 최적화될 수 있는 사전-펄싱 구성 및 드럼에 대한 조사 손상을 감소시키는 데 사용될 수 있는 펄스 트리밍 유닛을 포함한다. 또한, 원통형-대칭 요소의 표면이 1mm보다 큰 그루브 깊이를 가진 복수의 그루브로 형성되고 집속 유닛이 레이저 빔을 집속하고 타겟 물질로부터 플라즈마를 생성하기 위한 조사 위치를 설정하며, 조사 위치는 그루브 표면 부분으로부터 이격되어 표면 부분을 조사 손상으로부터 보호하는 실시 예가 개시되어 있다.

Подробнее
28-11-2003 дата публикации

METHOD AND DEVICE FOR GENERATING EXTREME ULTRAVIOLET RADIATION IN PARTICULAR FOR LITHOGRAPHY

Номер: KR20030090745A
Принадлежит:

The invention concerns a method which consists in causing a laser beam (24) to interact with a dense mist (20) of micro-droplets of a liquefied rare gas. In particular liquid xenon (6) is used, the latter being produced by liquefying a gaseous xenon (10) whereby the liquid xenon is pressurised to a pressure of 5x105 Pa to 50x105 Pa, and said liquid xenon is maintained at a temperature between -70 °C and -20 °C, said pressurised liquid xenon is injected into a nozzle (4) whereof the minimum internal diameter ranges between 60 μm and 600 μm, said nozzle emerging into a zone where the pressure is not less than 10-1 Pa. © KIPO & WIPO 2007 ...

Подробнее
08-04-2014 дата публикации

Method and arrangement for generating a jet of fluid, method and system for transforming the jet into plasma, and uses of said system

Номер: KR1020140043114A
Автор:
Принадлежит:

Подробнее
06-11-2019 дата публикации

Apparatus for delivering gas and illumination source for generating harmonic radiation

Номер: KR1020190125467A
Автор:
Принадлежит:

Подробнее
27-08-2018 дата публикации

메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법

Номер: KR1020180095679A
Принадлежит:

... 하이브리드 메트롤로지 장치(1000, 1100, 1200, 1300, 1400)는 리소그래피에 의해 제조된 구조물(T)을 측정한다. EUV 메트롤로지 장치(244, IL1/DET1)는 구조물에 EUV 방사선을 조사하고 구조물로부터 제 1 스펙트럼을 검출한다. 다른 메트롤로지 장치(240, IL2/DET2)는 EUV 방사선 또는 장파장 방사선을 포함하는 제 2 방사선으로 구조물을 조사하고 제 2 스펙트럼을 검출한다. 검출된 제 1 스펙트럼 및 검출된 제 2 스펙트럼을 함께 사용하여, 프로세서(MPU)는 구조물의 특성(CD/OV)을 결정한다. 스펙트럼은 다양한 방법으로 조합될 수 있다. 예를 들어, 제 1 검출 스펙트럼은 제 2 스펙트럼을 캡쳐하는데 사용되는 하나 이상의 조명 및/또는 검출 파라미터를 제어하는데 사용될 수 있고, 또는 그 역도 가능하다. 제 1 스펙트럼은 구조물 내 다른 층(T1, T2)의 특성을 구별하는데 사용될 수 있다. 제 1 및 제 2 방사선 소스(SRC1, SRC2)는 공통 구동 레이저(LAS)를 공유할 수 있다.

Подробнее
16-04-2019 дата публикации

A method of controlling an extreme ultraviolet (EUV) radiation source

Номер: TW0201916751A
Принадлежит:

A method of controlling an extreme ultraviolet (EUV) radiation source includes irradiating a target droplet with light from a droplet illumination module and detecting light reflected and/or scattered by the target droplet. The method includes determining whether an intensity of the detected light is within an acceptable range. In response to determining that the intensity of the detected light is not within the acceptable range, a parameter of the droplet illumination module is automatically adjusted to set the intensity of the detected light within the acceptable range.

Подробнее
01-09-2020 дата публикации

Monitoring light emissions

Номер: TW0202032278A
Принадлежит:

Provided is a system that includes a vacuum chamber with an interior region that is configured to receive a target and a light beam. The target material emits extreme ultraviolet (EUV) light when in a plasma state. The system also includes a detection system configured to image the interior region by detecting light emission from atoms, ions, or molecules in the interior region and producing a representation of a spatial distribution of the light emission in the interior region. A control system is coupled to the detection system. The control system is configured to analyze the representation of the spatial distribution to determine a spatial distribution of the light emission from atoms, ions, or molecules in the interior region, and determine whether to adjust a property of the light beam and/or a property of the vacuum chamber based on the spatial distribution of the light emission.

Подробнее
24-01-2008 дата публикации

CORRECTION OF SPATIAL INSTABILITY OF AN EUV SOURCE BY LASER BEAM STEERING

Номер: WO000002008010710A2
Принадлежит:

A method to align a discharge axis of a discharge radiation source with respect to optics of the lithographic apparatus includes creating a discharge in a substance in a discharge space between an anode and a cathode to form a plasma so as to generate electromagnetic radiation. The discharge is triggered by irradiating an area on a surface proximate the discharge space with an energetic beam. The position of the area is controlled in response to a property of the radiation in the lithographic apparatus and/or the temperature of a collector of the lithographic apparatus. Controlling the position of the area which is irradiated improves alignment of the discharge axis with the different lithographic modules, such as the contamination barrier, the illumination system, the substrate table and/or the projection system.

Подробнее
16-10-2014 дата публикации

DEBRIS PROTECTION SYSTEM FOR REFLECTIVE OPTIC UTILIZING GAS FLOW

Номер: WO2014169071A1
Принадлежит:

The present disclosure is directed to a system for protecting a reflective optic and/or any other surface in a plasma-based illumination system from debris by actively flowing gas against the debris flow direction. According to various embodiments, a vacuum chamber is configured to contain a target material, wherein a laser or discharge produced plasma is generated in response to an excitation of the target material. One or more outlets within the chamber are configured to receive gas flowing from a fluidically coupled gas source and further configured to actively flow the gas towards a source of debris and away from the reflective optic or any other protected surface at a controlled flow rate.

Подробнее
30-08-2012 дата публикации

OPTICAL DEVICE, LASER APPARATUS, AND EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM

Номер: WO2012114178A2
Принадлежит:

An optical device may include: an optical module disposed in a beam delivery path of a laser beam; a beam adjusting unit disposed in the beam delivery path for adjusting the beam delivery path of the laser beam; a measuring unit disposed in the beam delivery path for detecting the beam delivery path; and a control unit for controlling the beam adjusting unit based on a detection result of the beam delivery path of the laser beam detected by the measuring unit.

Подробнее
27-12-2013 дата публикации

RADIATION SOURCE AND LITHOGRAPHIC APPARATUS.

Номер: WO2013189827A2
Принадлежит:

A radiation source comprising a fuel source configured to deliver fuel to a location from which the fuel emits EUV radiation. The radiation source further comprises an immobile fuel debris receiving surface provided with a plurality of grooves. The grooves have orientations which are arranged to direct the flow of liquid fuel under the influence of gravity in one or more desired directions.

Подробнее
07-01-2010 дата публикации

LASER-DRIVEN LIGHT SOURCE

Номер: WO2010002766A2
Принадлежит:

An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light.

Подробнее
19-04-2012 дата публикации

METHOD FOR LPP DRIVE LASER OUTPUT DURING EUV NON-OUTPUT PERIODS

Номер: WO2012050685A1
Принадлежит:

A device is disclosed, herein which may comprise a droplet generator producing droplets of target material; a sensor providing an. intercept time signal when a droplet reaches a preselected location; a delay circuit coupled with said sensor, the delay circuit generating a trigger signal delayed from the. intercept time signal; a. laser source responsive to a trigger signal to produce a laser pulse-; and a system controlling said delay circuit to provide a. trigger signal delayed from the intercept time by a first delay time to generate a light pulse that is focused on a droplet and a trigger signal delayed from the intercept time by a second delay time to generate a light -pulse which is not focused on a droplet.

Подробнее
29-12-2011 дата публикации

MASTER OSCILLATOR-POWER AMPLIFIER DRIVE LASER WITH PRE-PULSE FOR EUV LIGHT SOURCE

Номер: WO2011162903A1
Принадлежит:

A device is described herein which may comprise an optical amplifier having a gain band including wavelengths λ1 and λ2, with λ1≠ λ2; a pre-pulse seed laser having a tuning module for tuning a pre-pulse output to wavelength λ1; a main pulse seed laser generating a laser output having wavelength, λ2; and a beam combiner for directing the pre-pulse output and the main pulse output on a common path through the optical amplifier.

Подробнее
11-04-2013 дата публикации

RADIATION SOURCE

Номер: WO2013050212A1
Принадлежит:

A radiation source suitable for providing a beam of radiation to an illuminator of a lithographic apparatus. The radiation source comprises a nozzle configured to direct a stream of fuel droplets along a trajectory towards a plasma formation location. The radiation source is configured to receive a first amount of radiation such that, in use, the first amount of radiation is incident on a fuel droplet at the plasma formation location, and such that, in use, the first amount of radiation transfers energy to the fuel droplet to generate a radiation generating plasma that emits a second amount of radiation. The radiation source further comprises a first sensor arrangement configured to measure a property of the first amount of radiation that is indicative of a focus position of the first amount of radiation; and a second sensor arrangement configured to measure a property of a fuel droplet that is indicative of a position of the fuel droplet.

Подробнее
16-09-2004 дата публикации

ULTRABRIGHT TUNABLE COHERENT MULTIKILOVOLT X-RAY SOURCE

Номер: WO2004079763A2
Принадлежит:

The generation of ultrabright, multikilovolt coherent tunable x-radiation resulting from amplification on hollow atom transition arrays is described. Amplification has been demonstrated by physical evidence including (a) the observation of selected spectral components of several Xeq+ hollow atom transition arrays (q = 30, 31, 32, 34, 35, 36, 37) radiated axially from confined plasma channels, (b) the measurement of line narrowing that is spectrally correlated with the amplified transitions, (c) evidence for spectral hole-burning in the spontaneous emission, a manifestation of saturated amplification, that corresponds spectrally with the amplified lines, and (d) the detection of an intense narrow (δθx ~ 0.2 mr) directed beam of radiation in the far field of the source.

Подробнее
28-06-2001 дата публикации

"X-RAY MICROSCOPE HAVING AN X-RAY SOURCE FOR SOFT X-RAYS

Номер: WO0000146962A1
Автор: BUIJSSE, Bart
Принадлежит:

Soft X-rays are very suitable for the examination of biological samples by means of an X-ray microscope. It is known to generate such soft X-rays by means of a laser excited plasma in a fluid jet. According to the invention the X-rays are generated by focusing an electron beam 6 onto a fluid jet 2, thus producing a very small electron focus on the jet and hence a very small monochromatic X-ray spot 8. The electron spot 8 can be obtained by means of a standard electron microscope (a SEM) or by means of a standard electron gun for a cathode ray tube (a CRT gun). The imaging optical elements 18, 34, 40 in the X-ray microscope may be Fresnel zone plates.

Подробнее
10-03-2016 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM

Номер: US20160073487A1
Принадлежит: GIGAPHOTON INC.

An extreme ultraviolet light generation system includes a chamber; a target generation unit configured to output a target toward a plasma generation region in the chamber; a laser system configured to generate a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam so that the target is irradiated with the first pre-pulse laser beam, the second pre-pulse laser beam, and the main pulse laser beam in this order; and a controller configured to control the laser system so that a fluence of the second pre-pulse laser beam is equal to or higher than 1 J/cm2 and equal to or lower than a fluence of the main pulse laser beam.

Подробнее
13-11-2012 дата публикации

Laser-driven light source

Номер: US0008309943B2

An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light.

Подробнее
04-08-2015 дата публикации

Target supply apparatus and target supply method

Номер: US0009097434B2
Принадлежит: GIGAPHOTON INC., GIGAPHOTON INC

A target supply apparatus used in an extreme ultraviolet light apparatus that generates extreme ultraviolet light by irradiating a target with a laser beam may include a tank, a nozzle that includes a through-hole and is disposed so that the through-hole communicates with the interior of the tank, a first heater disposed along a wall of the tank, a second heater disposed along a wall of the tank in a position that is further from the nozzle than the first heater, and a control unit configured to control the first heater and the second heater so that a temperature of the first heater is greater than a temperature of the second heater.

Подробнее
10-06-2014 дата публикации

Laser produced plasma EUV light source

Номер: US0008748854B2

Methods and apparatus for producing EUV from plasma are disclosed. The apparatus includes a plasma generating system comprising a source of target material droplets and a laser producing a beam irradiating the droplets at an irradiation region. The plasma produces EUV radiation, wherein the droplet source comprises a nozzle having an orifice configured for ejecting a fluid and a sub-system having an electro-actuable element producing a disturbance in the fluid to cause at least some of the droplets to coalesce prior to being irradiated. The electro-actuable element is coupled to nozzle using an adhesive that has a high modulus at the nozzle operating temperature. Improvements also include tuning the nozzle assembly to more closely match the modulation waveform frequency with one of the resonance frequencies of the nozzle assembly by optimizing one of a mass, a shape, or material composition of at least one component in the nozzle assembly.

Подробнее
25-08-2020 дата публикации

Extreme ultraviolet (EUV) exposure apparatus and method of manufacturing semiconductor device using the same

Номер: US0010754254B1
Принадлежит: Samsung Electronics Co., Ltd.

An extreme ultraviolet (EUV) exposure apparatus includes a chamber, an EUV source in the chamber and configured to generate an EUV beam, an optical system above the EUV source and configured to provide the EUV beam to a substrate, a substrate stage in the chamber and configured to receive the substrate, a reticle stage in the chamber and configured to hold a reticle that is configured to project the EUV beam onto the substrate, and a plasma source configured to provide plasma to the reticle to electrically neutralize the reticle charged by the EUV beam.

Подробнее
22-09-2020 дата публикации

Generating extreme ultraviolet radiation with nanoscale antennas

Номер: US0010785859B2

A method for generating extreme ultraviolet (EUV) radiation employs an EUV apparatus, which comprises one or more sets of nanoscale antennas, designed for electromagnetic field enhancement. The one or more sets comprise, each, at least one pair of opposite antennas separated by a feedgap volume. First cations of same molecular entities are allowed to reach the feedgap volumes and the antennas are energized so as to perform one or more EUV radiation emission cycles, during which the first cations are further ionized via electromagnetic field intensities achieved in the feedgap volumes by optically exciting corresponding pairs of opposite antennas. Second cations are thus obtained, which have a higher charge state than the first cations, and are forced to radiatively decay, by electrically stimulating antenna pairs, whereby EUV radiation is generated and third cations are obtained, which have a lower charge state than the second cations.

Подробнее
07-05-2013 дата публикации

Extreme ultraviolet light source apparatus

Номер: US0008436328B2

In an EUV light source apparatus, a collector mirror is protected from debris damaging a mirror coating. The EUV light source apparatus includes: a chamber in which extreme ultraviolet light is generated; a target supply unit for supplying a target material into the chamber; a plasma generation laser unit for irradiating the target material within the chamber with a plasma generation laser beam to generate plasma; an ionization laser unit for irradiating neutral particles produced at plasma generation with an ionization laser beam to convert the neutral particles into ions; a collector mirror for collecting the extreme ultraviolet light radiated from the plasma; and a magnetic field or electric field forming unit for forming a magnetic field or an electric field within the chamber so as to trap the ions.

Подробнее
15-08-2006 дата публикации

EUV, XUV, and X-ray wavelength sources created from laser plasma produced from liquid metal solutions

Номер: US0007092488B2

Metallic solutions at room temperature used a laser point source target droplets. Using the target metallic solutions results in damage free use to surrounding optical components since no debris are formed. The metallic solutions can produce plasma emissions in the X-rays, XUV, and EUV(extreme ultra violet) spectral ranges of approximately 11.7 nm and 13 nm. The metallic solutions can include molecular liquids or mixtures of elemental and molecular liquids, such as metallic chloride solutions, metallic bromide solutions, metallic sulphate solutions, metallic nitrate solutions, and organo-metallic solutions. The metallic solutions do not need to be heated since they are in a solution form at room temperatures.

Подробнее
11-09-2018 дата публикации

Target supply device and EUV light generation apparatus

Номер: US0010073353B2
Принадлежит: Gigaphoton Inc.

A target supply device may include a tank for storing a target material, a nozzle which is connected to the tank and outputs the target material, and a gas supply section for supplying the tank with gas. The gas supply section may include a booster which is connected to a gas line, boosts the gas supplied from the gas line, and outputs the boosted gas to the tank, a pressure sensor for measuring the pressure inside the tank, and a pressure controller which adjusts the pressure of the gas to be supplied to the tank on the basis of a measurement result from the pressure sensor.

Подробнее
05-03-2019 дата публикации

Extreme ultraviolet light generating apparatus

Номер: US10225918B2
Принадлежит: GIGAPHOTON INC, GIGAPHOTON INC.

An extreme ultraviolet light generating apparatus moves a generation position of extreme ultraviolet light based on an instruction from an external device and includes a chamber in which a target fed therein is irradiated with laser light so that the extreme ultraviolet light is generated from the target; a target feeder configured to output and feed the target into the chamber; a condensing mirror configured to condense the laser light on the target fed into the chamber; a stage configured to regulate a position of the target feeder; a manipulator configured to regulate a position of the condensing mirror; and a control unit configured to be able to control at least one of the stage, the manipulator, and a radiation timing of the laser light to the target, in a feedforward method, when the generation position is moved during generation of the extreme ultraviolet light.

Подробнее
16-08-2016 дата публикации

System and method for producing an exclusionary buffer gas flow in an EUV light source

Номер: US0009420678B2
Принадлежит: KLA-Tencor Corporation, KLA-TENCOR CORP

A system for producing an exclusionary buffer gas flow in an EUV light source, comprising a vacuum chamber, a light path, a plasma generation region, at least one shield, at least one through-bore arranged in the at least one shield, at least one buffer gas injector arranged within the at least one through-bore to inject a buffer gas into the light path substantially towards the plasma generation region to prevent a flow of a target material into the light path, and a vacuum pump arranged to remove the buffer gas and the target material from the vacuum chamber.

Подробнее
02-04-2020 дата публикации

DROPLET GENERATOR ASSEMBLY AND METHOD FOR USING THE SAME AND RADIATION SOURCE APPARATUS

Номер: US20200107427A1

A droplet generator assembly includes a storage tank, a refill system, a droplet generator, and a temperature control system. The storage tank is configured to store a target material. The refill system is connected to the storage tank. The droplet generator includes a reservoir and a nozzle connected to the reservoir, in which the droplet generator is connected to the refill system, and the refill system is configured to deliver the target material to the reservoir. The temperature control system is adjacent to the refill system or the reservoir.

Подробнее
21-06-2018 дата публикации

INTEGRATED ROTARY STRUCTURE AND FABRICATION METHOD THEREOF

Номер: US20180172967A1
Автор: QIANG WU, LIWAN YUE
Принадлежит:

Integrated rotary structure and fabrication method thereof are provided. An integrated rotary structure includes a cylinder material. The cylinder material includes a circular side wall, a third surface at one end of the circular side wall and a fourth surface at another end of the circular side wall opposing to the third surface. The third surface of the cylinder material is machined to form an elliptical reflective surface. The circular side wall of the cylinder material is machined to form a fifth surface and a sixth surface. A central symmetrical axis of the fifth surface and the sixth surface coincides with a first optical axis of the elliptical reflective surface. By using the fifth surface and the sixth surface as holding planes, the third surface is machined to form a curved non-reflective surface surrounding the elliptical reflective surface.

Подробнее
10-09-2015 дата публикации

BEAM POSITION CONTROL FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: US20150257246A1
Принадлежит:

A system for an extreme ultraviolet light source includes one or more optical elements positioned to receive a reflected amplified light beam and to direct the reflected amplified light beam into first, second, and third channels, the reflected amplified light beam including a reflection of at least a portion of an irradiating amplified light beam that interacts with a target material; a first sensor that senses light from the first channel; a second sensor that senses light from the second channel and the third channel, the second sensor having a lower acquisition rate than the first sensor; and an electronic processor coupled to a computer-readable storage medium, the medium storing instructions that, when executed, cause the processor to: receive data from the first sensor and the second sensor, and determine, based on the received data, a location of the irradiating amplified light beam relative to the target material in more than one dimension.

Подробнее
07-11-2002 дата публикации

High output extreme ultraviolet source

Номер: US2002162975A1
Автор:
Принадлежит:

An EUV source (82) that delivers a laser beam (94, 96) asymmetrical relative to first collection optics (88). The first collection optics (88) has an opening (90, 92) for the laser beam (94, 96) that is positioned so that the laser beam (94, 96) is directed towards the plasma off-axis relative to the collection optics (88). Thus, the strongest EUV radiation (98, 100) is not blocked by the target production hardware (84).

Подробнее
18-05-2021 дата публикации

Droplet discharge apparatus and calculation method

Номер: US0011007778B2
Принадлежит: Gigaphoton Inc., GIGAPHOTON INC

A droplet discharge apparatus may include a droplet discharge unit configured to discharge droplets of a target substance stored in a tank at intervals through an opening of a nozzle connected to the tank, a speed sensor configured to measure the speed of a droplet discharged from the droplet discharge unit, and a calculation unit configured to calculate the volume of the target substance consumed per unit time, based on cross-sectional area of the opening of the nozzle and the speed of the droplet.

Подробнее
28-05-2019 дата публикации

Droplet dispensing device, method for providing droplets, and light source for providing UV or X-ray light

Номер: US0010306742B2
Принадлежит: ETH Zurich, ETH ZUERICH

The invention further relates to a UV or X-ray light source, comprising a droplet dispensing device (4) according to the invention, and a method for providing a stream, in particular a monodisperse stream, of droplets by means of the droplet dispensing device (4).

Подробнее
18-04-2019 дата публикации

OPTICAL ELEMENT ANGLE ADJUSTMENT DEVICE AND EXTREME ULTRAVIOLET LIGHT GENERATION DEVICE

Номер: US20190113765A1
Принадлежит: GIGAPHOTON INC.

An optical element angle adjustment device includes a first hinge that is an elastic hinge configured to connect a first plate and a second plate with each other, an optical element holding part attached to at least one of the first plate and the second plate, and a first adjusting screw configured to apply force in a direction of closing the first hinge to adjust a tilt angle of at least one of the first plate and the second plate. An end in an axis direction of the first adjusting screw is provided with a first press member configured to slidably abut on one of the first plate and the second plate. At least one of a first press member side abutting portion and a first hinge side abutting portion on which the first press member abuts has a curved surface in a curved surface shape.

Подробнее
24-08-2023 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20230269857A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation apparatus includes a chamber; a housing extending from an internal space of the chamber to outside of the chamber, surrounding a plasma generation region except on a trajectory of a droplet target and on an optical path of laser light, and including a first opening through which extreme ultraviolet light generated from the plasma passes; a light concentrating mirror arranged in a first space outside the housing at the internal space and reflecting the extreme ultraviolet light having passed through the first opening in a direction different from an incident direction of the extreme ultraviolet light; and a gas supply port provided in the chamber; and a gas exhaust port provided at the housing outside the chamber. An optical axis of the laser light when being radiated to the droplet target is along a direction in which the gas flows in the plasma generation region.

Подробнее
03-12-2003 дата публикации

Linear filament array sheet for EUV production

Номер: EP0001367445A1
Принадлежит:

An EUV radiation source (12) that generates a sheet (36) of a liquid target material that has a width that matches the desired laser spot size (28) for good conversion efficiency and a thickness that matches the laser beam/target interaction depth. The EUV source (12) includes a reservoir (10) containing a pressurized cryogenic liquid target material, such as liquid Xenon. The reservoir (10) also includes an array (14) of closely spaced orifices (16). The liquid target material is forced through the orifices (16) into a vacuum chamber as separated liquid stream filaments (20) of the target material that define the sheet (36). The liquid streams freeze to form an array of frozen target filaments (20). A laser beam (24) is directed to a target area (28) in the vacuum chamber where it irradiates the stream of filaments (20) to create a plasma (30) that emits EUV radiation (32).

Подробнее
13-11-2008 дата публикации

OPTICAL ELEMENT CONTAMINATION PREVENTING METHOD AND OPTICAL ELEMENT CONTAMINATION PREVENTING DEVICE FOR EXTREME ULTRAVIOLET LIGHT SOURCE DEVICE

Номер: JP2008277522A
Принадлежит:

PROBLEM TO BE SOLVED: To elongate the useful life of an optical element by preventing debris, discharged from plasma produced by exciting a target in a chamber by laser beam together with EUV (extreme ultra violet) light, from adhering to an optical element provided in the chamber and forming a metal film, in an EUV light source device. SOLUTION: The target is made of solid-state tin (Sn) and the exciting source of the target is specified so as to be CO2 laser while the size of the debris, discharged out of plasma by exciting the solid-state tin by laser beam outputted from the CO2 laser, is specified so as to be not higher than nano size and, further, an action that will not arrive at the optical element is given to the discharged debris, having a size not higher than nano size. COPYRIGHT: (C)2009,JPO&INPIT ...

Подробнее
29-10-2009 дата публикации

EXTREME-ULTRAVIOLET LIGHT SOURCE APPARATUS

Номер: JP2009253032A
Принадлежит:

PROBLEM TO BE SOLVED: To provide an extreme-ultraviolet light source apparatus capable preventing the reflectance or transmittance decrease of an optical element such as an EUV collector mirror due to debris moving in a chamber, and generating extreme-ultraviolet light stably for a long period of time. SOLUTION: The extreme-ultraviolet light source apparatus includes a chamber for generating extreme-ultraviolet light; a target supply device for supplying a target to a predetermined position in the chamber; a driver laser for irradiating laser light to the target to generate first plasma; an EUV collector mirror disposed in the chamber for collecting and emitting extreme-ultraviolet light radiated from first plasma; a gas supply device for supplying gas into the chamber; an excitation device for exciting gas and generating second plasma around a region where the first plasma is generated; and an exhaust device for exhausting air inside the chamber and debris discharged from the first plasma ...

Подробнее
20-10-2013 дата публикации

УСТРОЙСТВО И СПОСОБ ДЛЯ ГЕНЕРАЦИИ ИЗЛУЧЕНИЯ ИЗ РАЗРЯДНОЙ ПЛАЗМЫ

Номер: RU2496282C1

Группа изобретений относится к устройству и способу для генерации мощного оптического излучения, в частности, в области экстремального УФ (ЭУФ) или мягкого рентгеновского излучения в диапазоне длин волн примерно от 1 нм до 30 нм. Область применения включает ЭУФ - литографию при производстве интегральных схем или метрологию. Технический результат-повышение мощности пучка оптического излучения. В устройстве и способе для генерации излучения из разрядной плазмы осуществляют лазерно-инициируемый разряд между первым и вторым электродами с вводом энергии импульсного источника питания в плазму разряда и генерацией из плазмы разряда излучения наряду с побочным продуктом в виде нейтральных и заряженных загрязняющих частиц (debris), при этом за счет выбора места облучения электрода лазерным лучом, геометрии электродов и разрядного контура формируют асимметричный разряд преимущественно изогнутой/бананообразной формы, собственное магнитное поле которого непосредственно вблизи разряда имеет градиент ...

Подробнее
04-02-2010 дата публикации

EUV-Quelle

Номер: DE602004024754D1
Принадлежит: ALCATEL LUCENT

Подробнее
16-03-2006 дата публикации

Vorrichtung zur Bereitstellung eines reproduzierbaren Targetstromes für die energiestrahlinduzierte Erzeugung kurzwelliger elektromagnetischer Strahlung

Номер: DE102004042501A1
Принадлежит:

Die Erfindung betrifft eine Vorrichtung zur Bereitstellung eines reproduzierbaren Targetstroms für die energiestrahlinduzierte Erzeugung kurzwelliger elektromagnetischer Strahlung. DOLLAR A Die Aufgabe, eine neue Möglichkeit zur Bereitstellung eines reproduzierbar abgegebenen Targetstroms (21) für die Erzeugung eines kurzwellige Strahlung (52) emittierenden Plasmas (5) zu finden, die für beliebige Targetmaterialien unter den jeweiligen Prozessbedingungen eine hohe Richtungsstabilität des Targetstroms (21) über eine große Anzahl von einzelnen Plasmaerzeugungsprozessen gewährleistet, wird erfindungsgemäß gelöst, indem in der Wechselwirkungskammer (1) eine Düsenschutzeinrichtung (4) zwischen der Targetdüse (2) und dem Wechselwirkungsort (23) zur Erzeugung des Plasmas (5) vorhanden ist und die Düsenschutzeinrichtung (4) eine Gasdruckkammer (41) aufweist, wobei die Gasdruckkammer (41) entlang der Targetbahn (22) eine Apertur (42) zum ungehinderten Durchlassen des Targetstroms (21) aufweist und ...

Подробнее
28-07-2011 дата публикации

Anordnung zur kontinuierlichen Erzeugung von flüssigem Zinn als Emittermaterial in EUV-Strahlungsquellen

Номер: DE102009020776B4
Принадлежит: XTREME TECH GMBH, XTREME TECHNOLOGIES GMBH

Anordnung zur Erzeugung von EUV-Strahlung auf Basis eines heißen Plasmas unter Verwendung von flüssigem Emittermaterial, mit einer Emittermaterial-Bereitstellungseinheit, die mindestens einen Vorratsbehälter für Emittermaterial enthält, einer evakuierten Wechselwirkungskammer, in der ein fokussierter gepulster Energiestrahl auf einen Wechselwirkungspunkt gerichtet ist, sowie einer Injektionseinrichtung zum reproduzierbaren Bereitstellen von Tropfen des Emittermaterials im Wechselwirkungspunkt synchronisiert zum gepulsten Energiestrahl, um die Tropfen in heißes Plasma zur EUV-Emission zu konvertieren, dadurch gekennzeichnet, dass die Emittermaterial-Bereitstellungseinheit (4) zwischen dem Vorratsbehälter (41) und der Injektionseinrichtung (5) mindestens einen ersten und einen zweiten Druckbehälter (44, 44') zur Erzeugung eines hohen Emittermaterialdruckes für die Injektionseinheit (5) aufweist, die Druckbehälter (44, 44') von einem Hochdruck-Gassystem (73) mit einem Gasdruck (74) im Megapascal-Bereich ...

Подробнее
05-12-2019 дата публикации

Blende zur Anordnung in einer Engstelle eines EUV-Beleuchtungsbündels

Номер: DE102018208710A1
Принадлежит:

Eine Blende (5a) dient zur Anordnung in einer Engstelle eines EUV-Beleuchtungslichtbündels (3) zwischen einer EUV-Lichtquelle für EUV-Beleuchtungslicht und einer EUV-Beleuchtungsoptik. Die Blende (5a) hat einen Bündel-Einlaufabschnitt (27), einen Bündel-Auslaufabschnitt (32) und einen zwischenliegenden Bündel-Rohrabschnitt (30). Der Einlaufabschnitt (27) hat einen sich in Propagationsrichtung (P) des EUV-Beleuchtungslichtbündels (3) verringernden Querschnitt. Der Querschnitt des Auslaufabschnitts (32) vergrößert sich in der Propagationsrichtung (P). Der Querschnitt des Rohrabschnitts (30) ist gleichbleibend. Eine Innenwand (31) des Bündel-Rohrabschnitts (30) ist reflektierend für das EUV-Beleuchtungslicht ausgeführt. Es resultiert eine Blende mit definierter Vorgabe des Beleuchtungslichtbündels bei guter thermischer Belastbarkeit der Blende.

Подробнее
25-02-2016 дата публикации

Anordnung zum Handhaben eines flüssigen Metalls zur Kühlung von umlaufenden Komponenten einer Strahlungsquelle auf Basis eines strahlungsemittierenden Plasmas

Номер: DE102013103668B4

Anordnung zum Handhaben eines flüssigen Metalls zur Kühlung einer umlaufenden Komponente einer plasmabasierten Strahlungsquelle, bei der ein Gefäß zur Bereitstellung des flüssigen Metalls über zwei Rohre mit einem Quellenmodul, in dem strahlungsemittierendes Plasma erzeugt wird, in Verbindung steht, um das Metall in einem Kreislauf durch das Quellenmodul zu führen, wobei das Gefäß teilweise mit dem Metall gefüllt ist, sodass ein Reservoir von flüssigem Metall ausgebildet ist, um im Quellenmodul verbrauchtes Metall zu ersetzen, und eine Temperierungseinheit für das Metall vorhanden ist, die zur Einstellung der Temperatur des Gefäßes auf einen Temperaturbereich moderat oberhalb des Schmelzpunktes des Metalls vorgesehen ist, dadurch gekennzeichnet, dass eine Pumpeinheit (23) zum Bewegen des flüssigen Metalls (21) im Kreislauf vorhanden ist, wobei ein Transport des Metalls (21) von einer Handhabungseinrichtung (2) für das Metall (21), die das Reservoir (221) und die Pumpeinheit (23) enthält ...

Подробнее
23-01-2014 дата публикации

EUV-Lichtquelle

Номер: DE102012212830A1
Принадлежит:

Eine EUV-Lichtquelle (2) für eine Projektionsbelichtungsanlage (1) für die EUV-Projektionslithographie hat eine erste Elektronenstrahl-Einrichtung in Form einer Elektronenstrahl-Versorgungseinrichtung (2a). Zur Lichtquelle (2) gehört weiterhin eine EUV-Generationseinrichtung (2b), die über die Elektronenstrahl-Versorgungseinrichtung (2a) mit einem Elektronenstrahl (14) versorgt wird. Weiterhin hat die Lichtquelle (2) eine zweite Elektronenstrahl-Einrichtung in Form einer Elektronenstrahl-Entsorgungseinrichtung (2c), die einen Elektronenstrahl (15) im Strahlengang nach der EUV-Generationseinrichtung (2b) entsorgt. Zumindest eine der Elektronenstrahl-Einrichtungen (2a, 2c) einerseits und die EUV-Generationseinrichtung (2b) andererseits sind in übereinanderliegenden Räumen (16, 17) angeordnet, die durch eine Gebäudedecke (18) voneinander getrennt sind. In der Gebäudedecke (18) ist mindestens eine Elektronenstrahl-Durchführung (19a, 19b) angeordnet. Es resultiert eine elektronenstrahlbasierte ...

Подробнее
14-06-2017 дата публикации

Verfahren zur Erzeugung von extremer Ultraviolett- und/ oder weicher Röntgenstrahlung

Номер: DE102015224534B4

Die vorliegende Erfindung betrifft ein Verfahren zur Erzeugung von extremer Ultraviolett- und/oder weicher Röntgenstrahlung, bei dem ein Targetmaterial durch Bestrahlung mit energetischer Strahlung und/oder durch eine Hochstromentladung in einen Plasmazustand versetzt wird, in dem angeregte Elemente des Targetmaterials die extreme Ultraviolett- und/oder weiche Röntgenstrahlung emittieren. Das Verfahren zeichnet sich dadurch aus, dass ein spezielles Targetmaterial eingesetzt wird, bei dem die emittierenden Elemente wenigstens Aluminium oder Magnesium in einer Legierung sind, die einen Schmelzpunkt von ≤ 600° C aufweist. Auf diese Weise lässt sich EUV-Strahlung im Wellenlängenbereich um 6–7 nm mit geringerem technischen Aufwand erzeugen.

Подробнее
10-12-2008 дата публикации

Laser driven light source

Номер: GB0002450045A
Принадлежит:

An apparatus for producing light (100) includes a chamber (128) and an ignition source (140) that ionizes a gas within the chamber. The apparatus also includes at least one laser (104) that provides energy to the ionized gas within the chamber to produce a high brightness light (136). The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light.

Подробнее
15-12-2011 дата публикации

RADIATION SOURCE AND PROCEDURE FOR THE RADIATION GENERATION

Номер: AT0000536567T
Принадлежит:

Подробнее
15-01-2010 дата публикации

EUV SOURCE

Номер: AT0000453309T
Принадлежит:

Подробнее
28-09-2004 дата публикации

ULTRABRIGHT TUNABLE COHERENT MULTIKILOVOLT X-RAY SOURCE

Номер: AU2003303962A1
Принадлежит:

Подробнее
19-03-2020 дата публикации

Methods for 2-color radiography with laser-compton x-ray sources

Номер: AU2015255868B2
Принадлежит: Houlihan² Pty Ltd

High-contrast, subtraction, x-ray images of an object are produced via scanned illumination by a laser-Compton x-ray source. The spectral-angle correlation of the laser-Compton scattering process and a specially designed aperture and/or detector are utilized to produce/record a narrow beam of x-rays whose spectral content consists of an on-axis region of high-energy x-rays surrounded by a region of slightly lower-energy x-rays. The end point energy of the laser-Compton source is set so that the high-energy x-ray region contains photons that are above the k-shell absorption edge (k-edge) of a specific contrast agent or specific material within the object to be imaged while the outer region consists of photons whose energy is below the k-edge of the same contrast agent or specific material. Scanning the illumination and of the object by this beam will simultaneously record and map the above k-edge and below k-edge absorption response of the object.

Подробнее
13-02-2003 дата публикации

METHOD AND APPARATUS FOR GENERATING X-RAYS

Номер: CA0002452815A1
Принадлежит:

A method and an apparatus for generating X-rays in which continuous X-rays can be generated by irradiating a condensed laser in the air using a liquid as a target thereby generating plasma. A high concentration electrolytic aqueous solution of CsCl or RbCl is circulated by means of a pump (2) and jetted from a glass nozzle (3) to form a film of high concentration electrolytic aqueous solution and then the surface thereof is irradiated with a femto second laser pulse (6) condensed through an objective lens (7) thus generating pulse X-rays.

Подробнее
11-08-2010 дата публикации

Lithographic apparatus and device manufacturing method

Номер: CN0101802716A
Принадлежит:

A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate is disclosed. The lithographic apparatus includes an illumination system (IL) and an outlet connected to a pumping system (78) to pump away gas from between an inner wall (64) and outer wall (62) of the illumination system (IL) or, if a radiation source (SO) is present, between the inner wall (64) of the illumination system (IL) and an inner wall (62) of the radiation source (SO).

Подробнее
21-08-2018 дата публикации

DROPLET GENERATION FOR A LASER PRODUCED PLASMA LIGHT SOURCE

Номер: CN0108432349A
Принадлежит:

Подробнее
08-03-2017 дата публикации

Calibration of photoelectromagnetic sensor in a laser source

Номер: CN0106488826A
Принадлежит:

Подробнее
17-08-2016 дата публикации

Radiation source and its control method, lithographic apparatus and device manufacturing method

Номер: CN0102890424B
Автор:
Принадлежит:

Подробнее
14-12-2012 дата публикации

PROCESS AND FITTING TO GENERATE A JET OF FLUID, PROCESS AND SYSTEM OF TRANSFORMATION OF THE JET INTO A PLASMA AND APPLICATIONS OF THIS SYSTEM

Номер: FR0002976440A1
Принадлежит: ECOLE POLYTECHNIQUE

La présente invention concerne un procédé et agencement pour engendrer un jet de fluide, procédé et système de transformation du jet en un plasma et applications de ce système. Selon l'invention, le procédé est caractérisé en ce qu'il comprend l'opération de créer à l'aide d'une électrovanne rapide haute pression (5), suivie d'une tuyère (7) montée sur l'ouverture de sortie de l'électrovanne, un jet de fluide pulsé (F) de dimensions submillimétriques et d'une densité atomique supérieure à 1020cm-3. L'invention trouve application dans le domaine des générateurs de plasma.

Подробнее
29-06-2018 дата публикации

EUV 광원용 시드 보호를 하는 오실레이터­증폭기 드라이브 레이저

Номер: KR0101872750B1

... 본문에 개시된 바와 같이, 제 1 측면에서, 장치는: 빔 경로 상에서 광 출력을 산출하는 오실레이터; 조사 위치에서 상기 빔 경로 상의 광과 상호작용하기 위한 타겟 재료; 빔 폴딩 광학 배열을 가지고, 상기 광학 경로 상에 있는 빔 지연부; 및 상기 오실레이터와 상기 빔 지연부 사이에 개재되고 상기 빔 경로를 따라 배치된 스위치;를 포함하고, 상기 스위치는 상기 빔 경로로부터 상기 빔 경로 상의 광의 적어도 일부를 우회시키기 위해 폐쇄가능하고(closable), 상기 스위치는 폐쇄 시간 t1을 가지고, 상기 빔 경로는 상기 스위치로부터 상기 조사 위치까지의 경로를 따라 길이 L1을 가지고; 오실레이터를 보호하도록 t1 < L1/c이고, 여기서 c는 상기 경로 상의 광 속도이다.

Подробнее
17-08-2017 дата публикации

레이저 시스템에서 이득 요소를 격리시키기 위한 시스템 및 방법

Номер: KR1020170094260A
Принадлежит:

... 레이저 발생 플라즈마(LPP) 극자외선(EUV) 광 시스템에서 시드 레이저를 보호하기 위한 방법 및 장치가 개시된다. 광학 경로 상에 위치되어 있는 격리 스테이지가 LPP EUV 광 시스템에 있는 다른 구성품으로부터 반사된 광이 시드 레이저에 도달하지 못하도록 진로 변경시킨다. 격리 스테이지는 지연 라인에 의해 분리되어 있는 2개의 AOM을 포함한다. AOM은 개방되면 광을 광학 경로 상으로 향하게 하고, 폐쇄되면, 광을 광학 경로로부터 벗어나게 한다. 지연 라인에 의해 도입되는 지연은, AOM의 개폐 시간이 정방향 이동 펄스를 광학 경로 상으로 향하게 하고 또한 바른 때에는 반사 광을 진로 변경시키기 위해 정해질 수 있도록 결정된다. 격리 스테이지는 이득 요소 사이에 위치되어, 증폭된 반사 광이 시드 레이저에 도달하는 것을 방지하고 또한 해로울 수 있는 다른 영향을 방지할 수 있다.

Подробнее
06-03-2013 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: KR1020130022404A
Автор:
Принадлежит:

Подробнее
07-09-2020 дата публикации

TARGET FOR LASER PRODUCED PLASMA EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: KR1020200105546A
Автор:
Принадлежит:

Подробнее
30-03-2016 дата публикации

극자외선 광의 발생을 위한 시스템 및 방법

Номер: KR1020160034970A
Принадлежит:

EUV 광원은 플라즈마 형성 타겟 재료로 코팅된 표면을 갖는 회전형 원통형 대칭 요소, 플라즈마 형성 타겟 재료의 여기에 의한 플라즈마의 형성을 통해 EUV 광을 발생하는 데 충분한 하나 이상의 레이저 펄스를 발생하도록 구성된 구동 레이저 소스, 회전형 원통형 대칭 요소의 표면 상에 하나 이상의 레이저 펄스를 포커싱하도록 구성된 포커싱 광학 기기의 세트, 발생된 플라즈마로부터 나오는 EUV 광을 수용하도록 구성되고 또한 조명을 중간 초점으로 유도하도록 구성된 집광 광학 기기의 세트, 및 회전형 원통형 대칭 요소의 표면에 플라즈마 형성 타겟 재료를 공급하도록 구성된 가스 공급 서브시스템을 포함하는 가스 관리 시스템을 포함한다.

Подробнее
17-03-2023 дата публикации

전자빔 기반 극자외선 광원 장치

Номер: KR20230037961A
Автор: 박규창, 유승태
Принадлежит:

... 본 발명의 일 실시예에 따른 광원 장치는 전자빔을 기반으로 극자외선 광원을 출력하는 광원 장치로서, 챔버; 캐소드 전극과, 탄소계 물질을 포함하여 상기 캐소드 전극 상에 이격 배치된 복수의 에미터를 각각 구비하며, 상기 챔버의 내부에서 전자빔을 생성하는 전자빔 방출부; 및 상기 챔버의 내부에 위치하되 상기 전자빔 방출부로부터 이격되게 위치하고, 상기 전자빔이 입사되면서 이온화되어 플라즈마를 발생시키는 애노드 전극;을 포함하며, 상기 플라즈마에서 극자외선이 생성된다.

Подробнее
16-08-2015 дата публикации

Radiation source

Номер: TW0201532481A
Принадлежит:

A faceted reflector for receiving an incident radiation beam and directing a reflected radiation beam at a target. The faceted reflector comprises a plurality of facets, each of the plurality of facets comprising a reflective surface. The reflective surfaces of each of a first subset of the plurality of facets define respective parts of a first continuous surface and are arranged to reflect respective first portions of the incident radiation beam in a first direction to provide a first portion of the reflected radiation beam. The reflective surfaces of each of a second subset of the plurality of facets define respective parts of a second continuous surface and are arranged to reflect respective second portions of the incident radiation beam in a second direction to provide a second portion of the reflected radiation beam.

Подробнее
16-02-2013 дата публикации

Radiation source

Номер: TW0201308020A
Принадлежит:

A radiation source includes: a nozzle configured to direct a stream of fuel droplets along a trajectory towards a plasma formation location; a laser configured to output laser radiation, the laser radiation directed at the fuel droplets at the plasma formation location to generate, in use, a radiation generating plasma; and a catch configured to catch fuel droplets that pass the plasma formation location, the catch including: a container configured to contain a fluid; a driver configured to drive the fluid, to cause the fluid to move; the catch being configured such that the fuel droplets are incident on that moving fluid.

Подробнее
01-10-2012 дата публикации

Light source

Номер: TWI373775B
Принадлежит: CANON KK, CANON KABUSHIKI KAISHA

Подробнее
23-05-2013 дата публикации

RADIATION SOURCE DEVICE, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: WO2013072165A2
Автор: COENEN, Martinus
Принадлежит:

In a discharge-produced plasma source, a pair of electrodes is charged using a transmission line. In an embodiment, a pair of transmission lines may be used, connected symmetrically to the electrodes. The impedance of the transmission lines, or the total impedance of the transmission lines, is equal to that of the discharge in an embodiment. Use of a transmission line provides longer discharge pulses with more consistent potential difference.

Подробнее
13-10-2011 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: WO2011126947A1
Принадлежит:

An apparatus includes a light source having a gain medium for producing an amplified light beam of a source wavelength along a beam path to irradiate a target material in a chamber and to generate extreme ultraviolet light; and a subsystem overlying at least a portion of an internal surface of the chamber and configured to reduce a flow of light at the source wavelength from the internal surface back along the beam path.

Подробнее
03-10-2013 дата публикации

LASER APPARATUS

Номер: WO2013144677A1
Принадлежит:

A laser apparatus may include a master oscillator (310) configured to output a laser beam, at least one amplifier (320) provided in a beam path of the laser beam, at least one saturable absorber gas cell (330) provided downstream from the at least one amplifier (321) and configured to contain a saturable absorber gas for absorbing a part of the laser beam, the part having a beam intensity equal to or lower than a predetermined beam intensity, and a cooling unit (3304,3305) for cooling the saturable absorber gas. The saturable absorber gas cell (330) includes a chamber (3301) filled with saturable absorber gas (3308) and delimited by input/output windows (3302,3303). Furthermore, the chamber comprises a pair of cooling plates (3304,3305). The cooling plates have flow channels (3306,3307) for water cooling.

Подробнее
07-09-2012 дата публикации

SYSTEMS AND METHODS FOR OPTICS CLEANING IN AN EUV LIGHT SOURCE

Номер: WO2012118644A1
Принадлежит:

An extreme-ultraviolet (EUV) light source h described herein comprising an optic; a primary EUV light radiator generating an EUV light emitting plasma and producing, a deposit on said optic; and a cleaning system comprising a gas and a secondary light radiator, the secondary light radiator generating a laser produced plasma and producing a cleaning species with the gas.

Подробнее
18-04-2013 дата публикации

ALIGNMENT SYSTEM AND EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM

Номер: WO2013054163A1
Принадлежит:

An alignment system for a laser apparatus includes a guide laser device outputting a guide laser beam, an adjusting mechanism adjusting travel directions of the guide laser beam and a laser beam from the laser apparatus, a beam path combiner controlling travel directions of the laser beam and the guide laser beam to substantially coincide with each other, a first optical detection unit provided from the beam path combiner detecting the laser and guide laser beams, a first controller controlling the adjusting mechanism based on a first optical detection unit detection result, a beam steering unit downstream from the beam path combiner controlling travel directions of the laser and guide laser beams, a second optical detection unit downstream from the beam steering unit detecting the guide laser beam, and a second controller controlling the beam steering unit based on a second optical detection unit detection result.

Подробнее
05-01-2006 дата публикации

EUV LIGHT SOURCE, EUV EXPOSURE EQUIPMENT AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер: WO2006001459A1
Принадлежит:

Dans un réservoir chauffé (4), on stocke un liquide ayant de fines particules solides Sn dispersées dans une résine. La résine pressurisée par une pompe de pressurisation conduit à une buse (1), et la résine à l’état liquide est projetée d’un bord d’attaque de la buse (1) prévu dans une chambre à vide (7). Le liquide à l’état liquide projeté de la buse (1) constitue une forme sphérique par tension superficielle, refroidie sous vide pour être solidifiée, et devient une cible solide (2). La chambre à vide (7) est pourvue d’une fenêtre d’injection de laser (10) pour injecter des faisceaux laser. Les faisceaux laser émis d’une source de lumière laser (8) disposés à l’extérieur de la chambre à vide (7) sont recueillis par une lentille (9), injectés dans la chambre à vide (7) pour avoir la cible à l’état de plasma et de la lumière EUV est générée.

Подробнее
26-04-2012 дата публикации

Extreme ultraviolet light source apparatus

Номер: US20120097869A1
Принадлежит: GIGAPHOTON INC

In an extreme ultraviolet light source apparatus generating an extreme ultraviolet light from a plasma generated by irradiating a target, which is a droplet D of molten Sn, with a laser light, and controlling the flow direction of ion generated at the generation of the extreme ultraviolet light by a magnetic field or an electric field, an ion collection cylinder 20 is arranged for collecting the ion, and ion collision surfaces Sa and Sb of the ion collection cylinder 20 are provided with or coated with Si, which is a metal whose sputtering rate with respect to the ion is less than one atom/ion.

Подробнее
17-05-2012 дата публикации

Extreme ultraviolet light source apparatus

Номер: US20120119116A1
Принадлежит: GIGAPHOTON INC

An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.

Подробнее
08-11-2012 дата публикации

Euv radiation source and lithographic apparatus

Номер: US20120280148A1
Принадлежит: ASML Netherlands BV

An EUV radiation source that includes a fuel supply configured to supply fuel to a plasma formation location. The fuel supply includes a reservoir configured to hold fuel at a temperature that is sufficiently high to maintain the fuel in liquid form, and a pressure vessel configured to contain the reservoir, the pressure vessel being at least partially thermally isolated from the reservoir. The EUV radiation source also includes a laser radiation source configured to irradiate fuel supplied by the fuel supply at the plasma formation location.

Подробнее
22-11-2012 дата публикации

Filter for Material Supply Apparatus

Номер: US20120292527A1
Принадлежит: Cymer Inc

A filter is used in a target material supply apparatus and includes a sheet having a first flat surface and a second opposing flat surface, and a plurality of through holes. The first flat surface is in fluid communication with a reservoir that holds a target mixture that includes a target material and non-target particles. The through holes extend from the second flat surface and are fluidly coupled at the second flat surface to an orifice of a nozzle. The sheet has a surface area that is exposed to the target mixture, the exposed surface area being at least a factor of one hundred less than an exposed surface area of a sintered filter having an equivalent transverse extent to that of the sheet.

Подробнее
06-12-2012 дата публикации

Apparatus and method for generating extreme ultraviolet light

Номер: US20120305809A1
Принадлежит: GIGAPHOTON INC

An apparatus for generating extreme ultraviolet light is used with a first laser device for outputting a first laser beam. The apparatus includes a second laser device for outputting a second laser beam, a beam adjusting unit for causing beam axes of the first and second laser beams to substantially coincide with each other, a chamber, a target supply unit for supplying target materials into the chamber, a laser beam focusing optical system for focusing the first laser beam on the target material for plasma generation, an optical detection system for detecting the second laser beam and light from plasma, a focus position correction mechanism for correcting a first laser beam focusing position, and a target supply position correction mechanism for correcting a target material supplying position, and a controller for the focus position correction mechanism and the target supply position correction mechanism based on the optical detection system's detection.

Подробнее
13-12-2012 дата публикации

Systems and methods for buffer gas flow stabilization in a laser produced plasma light source

Номер: US20120313016A1
Принадлежит: Cymer Inc

An extreme-ultraviolet (EUV) light source comprising an optic, a target material, and a laser beam passing through said optic along a beam path to irradiate said target material. The EUV light source further includes a system generating a gas flow directed toward said target material along said beam path, said system having a tapering member surrounding a volume and a plurality of gas lines, each gas line outputting a gas stream into said volume.

Подробнее
07-02-2013 дата публикации

Laser apparatus and extreme ultraviolet light generation system including the laser apparatus

Номер: US20130032735A1
Принадлежит: GIGAPHOTON INC

A laser apparatus may include: a master oscillator configured to output a pulsed laser beam at a repetition rate; at least one amplifier disposed on a beam path of the pulsed laser beam; at least one optical shutter disposed on the beam path of the pulsed laser beam; and a controller configured to switch the at least one optical shutter.

Подробнее
28-03-2013 дата публикации

Radiation Source

Номер: US20130077069A1
Принадлежит: ASML Netherlands BV

A radiation source is disclosed that comprises a reservoir that retains a volume of fuel, a nozzle configured to direct a stream of fuel towards a plasma formation location, a laser configured to generate a radiation generating plasma, and a fuel contamination control arrangement. The contamination control arrangement comprises a magnetic field generation element for generating a magnetic field; an electric field generation element for generating an electric field, the magnetic field generation element and the electric field generation element together configured to ensure that the magnetic field and the electric field overlap at a location of contamination within the fuel, and to ensure that lines of flux of the magnetic field and electric field are non-parallel at that location to control movement of the contamination.

Подробнее
25-07-2013 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE DEVICE AND CONTROL METHOD FOR EXTREME ULTRAVIOLET LIGHT SOURCE DEVICE

Номер: US20130187065A1
Принадлежит: Gigaphoton Inc.

A guide laser beam that has an optical axis and a beam diameter substantially equivalent to those of a driver pulsed laser beam is introduced into an amplification system that amplifies a laser beam that is output from a driver laser oscillator. The guide laser beam is output from a laser device as a continuous light, and is introduced into a light path of the driver pulsed laser beam via a guide laser beam introduction mirror. A sensor detects an angle (a direction) of a laser beam and a variation of a curvature of a wave front. A wave front correction controller outputs a signal to a wave front correction part based on a measured result of a sensor. The wave front correction part corrects a wave front of a laser beam to be a predetermined wave front according to an instruction from the wave front correction controller. 1. An extreme ultraviolet light source device that generates an extreme ultraviolet light by irradiating a target material with a driver pulsed laser beam for turning the target material into plasma , comprising:a target material supply part that supplies the target material into a chamber;a driver laser device that outputs the driver pulsed laser beam;an optical system that irradiates the target material in the chamber with the driver pulsed laser beam that is output from the driver laser device;a guide laser device that outputs a guide laser beam;a guide laser beam introduction part that introduces the guide laser beam into the optical system along a light path of the driver pulsed laser beam;a guide laser beam detection part that detects an optical performance of the guide laser beam that is introduced into the optical system;a correction part that is disposed in the optical system and that corrects the optical performance of the guide laser beam; anda correction control part that controls the correction part in such a manner that the optical performance that is detected by the guide laser beam detection part is in a predetermined value.228-. ( ...

Подробнее
02-01-2014 дата публикации

Chamber apparatus and extreme ultraviolet light generation system

Номер: US20140001369A1
Принадлежит: GIGAPHOTON INC

A chamber apparatus used with an external apparatus having an obscuration region may include: a chamber in which extreme ultraviolet light is generated; a collector mirror provided in the chamber for collecting the extreme ultraviolet light; a support for securing the collector mirror to the chamber; and an output port provided to the chamber for allowing the extreme ultraviolet light collected by the collector mirror to be introduced therethrough into the external apparatus.

Подробнее
09-01-2014 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS

Номер: US20140008554A1
Принадлежит: GIGAPHOTON INC

An extreme ultraviolet light source apparatus generating an extreme ultraviolet light from plasma generated by irradiating a target material with a laser light within a chamber, and controlling a flow of ions generated together with the extreme ultraviolet light using a magnetic field or an electric field, the extreme ultraviolet light source apparatus comprises an ion collector device collecting the ion via an aperture arranged at a side of the chamber, and an interrupting mechanism interrupting movement of a sputtered particle in a direction toward the aperture, the sputtered particle generated at an ion collision surface collided with the ion in the ion collector device. 117-. (canceled)18. An extreme ultraviolet light source apparatus generating an extreme ultraviolet light from plasma generated by irradiating a target material with a laser light within a chamber , and controlling a flow of ions generated together with the extreme ultraviolet light , the extreme ultraviolet light source apparatus comprising:an ion collector device collecting the ion; anda suppression mechanism suppressing movement of a sputtered particle in a direction toward the ion collector device, the sputtered particle generated at an ion collision surface collided with the ion in the ion collector device.19. The apparatus according to claim 18 , further comprising:a field generator configured for generating a magnetic field or an electric field for controlling the flow of ions generated together with the extreme ultraviolet light.20. The apparatus according to claim 18 , whereinthe suppression mechanism suppresses the movement of the sputtered particle toward the ion collector device by making the ion collision surface tilt with respect to a direction of the movement of the ion.21. The apparatus according to claim 18 , whereinthe ion collector device collects the ions via an aperture arranged at a side of the chamber.22. The apparatus according to claim 21 , whereinthe suppression ...

Подробнее
06-02-2014 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20140034852A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation apparatus may include: a laser apparatus; a chamber provided with an inlet for introducing a laser beam outputted from the laser apparatus to the inside thereof; a target supply unit provided to the chamber for supplying a target material to a predetermined region inside the chamber; a collector mirror disposed in the chamber for collecting extreme ultraviolet light generated when the target material is irradiated with the laser beam in the chamber; an extreme ultraviolet light detection unit for detecting energy of the extreme ultraviolet light; and an energy control unit for controlling energy of the extreme ultraviolet light. 1. An extreme ultraviolet light generation apparatus , comprising:a laser apparatus;a chamber provided with an inlet for introducing a laser beam outputted from the laser apparatus to the inside thereof;a target supply unit provided to the chamber for supplying a target material to a predetermined region inside the chamber;a collector mirror disposed in the chamber for collecting extreme ultraviolet light generated when the target material is irradiated with the laser beam in the chamber;an extreme ultraviolet light detection unit for detecting energy of the extreme ultraviolet light; andan energy control unit for controlling energy of the extreme ultraviolet light.221-. (canceled) The present application claims priority from Japanese Patent Application No. 2010-055153 filed Mar. 11, 2010, and Japanese Patent Application No. 2011-018748 filed Jan. 31, 2011, the disclosure of each of which is incorporated herein by reference in its entirety.1. Technical FieldThis disclosure relates to an apparatus for generating extreme ultraviolet (EUV) light.2. Related ArtWith recent increase in integration of semiconductor process, transfer patterns for use in photolithography of the semiconductor process have rapidly become finer. In the next generation, microfabrication at 70 to 45 nm, and further, microfabrication ...

Подробнее
06-03-2014 дата публикации

TARGET SUPPLY DEVICE AND EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20140061512A1
Автор: Umeda Hiroshi
Принадлежит: Gigaphoton Inc.

A target supply device may include a receptacle for holding a liquid target material, a first electrode disposed within the receptacle, a nozzle portion provided in the receptacle, a second electrode provided with a first path and disposed facing the nozzle portion, a third electrode provided with a second path that, along with the first path, defines a trajectory of the liquid target material released from the nozzle portion, a first power source that applies a first potential that is higher than a common potential to the first electrode, a second power source that applies a second potential that is lower than the common potential to the third electrode, and a third power source that applies a third potential that is no greater than the first potential and is no less than the second potential to the second electrode. 1. A target supply device comprising:a receptacle configured to hold a liquid target material inside the receptacle;a first electrode disposed within the receptacle;a nozzle portion provided in the receptacle;a second electrode provided with a first path and disposed facing the nozzle portion;a third electrode provided with a second path that, along with the first path, defines a trajectory of the liquid target material released from the nozzle portion;a first power source that is configured to take a common potential as a reference potential and apply a first potential that is higher than the common potential to the first electrode;a second power source that is configured to take the common potential as a reference potential and apply a second potential that is lower than the common potential to the third electrode; anda third power source that is configured to take the common potential as a reference potential and apply a third potential that is no greater than the first potential and is no less than the second potential to the second electrode.2. The target supply device according to claim 1 , further comprising:an intermediate electrode that is ...

Подробнее
20-03-2014 дата публикации

DRIVE LASER DELIVERY SYSTEMS FOR EUV LIGHT SOURCE

Номер: US20140077104A1
Автор: Ershov Alexander I.
Принадлежит: CYMER, LLC

An EUV light source is disclosed herein which may comprise a droplet generator producing a stream of target material droplets, a first optical gain medium amplifying light on a first beam path without a seed laser providing a seed laser output to the first beam path, a second optical gain medium amplifying light on a second beam path without a seed laser providing a seed laser output to the second beam path, and a beam combiner combining light from the first beam path and the second beam path for interaction with a target material droplet to produce EUV light emitting plasma. 1. An EUV light source comprising;a droplet generator producing a stream of target material droplets;a first optical gain medium amplifying light on a first beam path without a seed laser providing a seed laser output to the first beam path;a second optical gain medium amplifying light on a second beam path without a seed laser providing a seed laser output to the second beam path; anda beam combiner combining light from said first beam path and said second beam path for interaction with a target material droplet to produce EUV light emitting plasma.3. A method for generating EUV light , said method comprising the steps of:amplifying light on a first beam path with a first optical gain medium;amplifying light on a second beam path with a second optical gain medium;diverting a portion of light from the first beam path to a second beam path and through the second optical gain medium; andcombining light on said first beam path and said second beam path to irradiate a target material and generate EUV light emitting plasma. The present application is a continuation of U.S. patent application Ser. No. 13/050,198, filed on Mar. 17, 2011, entitled DRIVE LASER DELIVERY SYSTEMS FOR EUV LIGHT SOURCE, Attorney Docket No. 2011-0002-01, the entire contents of which is incorporated by reference herein.The present application is also related to U.S. patent application Ser. No. 11/580,414, filed on Oct. 13, ...

Подробнее
27-03-2014 дата публикации

EUV-Strahlungserzeugungsvorrichtung und Betriebsverfahren Dafuer

Номер: US20140084186A1
Принадлежит: TRUMPF LASER- UND SYSTEMTECHNIK GMBH

The invention relates to extreme ultraviolet “EUV” radiation generating systems that include a vacuum chamber where a target material can be positioned at a target position for generation of EUV radiation, and a beam guiding chamber for guiding a laser beam from a driver laser device towards the target position. The EUV radiation generating apparatus includes an intermediate chamber which is arranged between the vacuum chamber and the beam guiding chamber, a first window which seals the intermediate chamber in a gas-tight manner for entry of the laser beam from the beam guiding chamber and a second window which seals the intermediate chamber in a gas-tight manner for exit of the laser beam into the vacuum chamber. The invention also relates to a method for operating the EUV radiation generating apparatus. 1. An extreme ultraviolet (EUV) radiation generating apparatus comprising:a laser source to generate a laser beam;a vacuum chamber;a laser beam guiding chamber arranged to guide a laser beam from the laser source to a target position within the vacuum chamber where a target material can be positioned for generation of EUV radiation; and a first window arranged for entry of the laser beam from the laser beam guiding chamber into the intermediate chamber; and', 'a second window arranged for exit of the laser beam from the intermediate chamber into the vacuum chamber,', 'wherein the first and second windows provide a gas-tight seal for the intermediate chamber., 'an intermediate chamber arranged between the vacuum chamber and the beam guiding chamber, wherein the intermediate chamber comprises'}2. The EUV radiation generating apparatus according to claim 1 , wherein pressure (p) within the beam guiding chamber is higher than pressure outside the EUV radiation generating apparatus.3. The EUV radiation generating apparatus according to claim 1 , further comprising:a feeding device arranged to supply a test gas to the intermediate chamber; anda leakage monitoring device ...

Подробнее
02-01-2020 дата публикации

Method and apparatus for controlling exhaust pressure for an extreme ultraviolet generation chamber

Номер: US20200003414A1

An apparatus coupled to a chamber for processing extreme ultraviolet radiation includes a gas inlet configured to direct exhaust gases from the chamber into a combustion zone. The combustion zone is configured to flamelessly ignite the exhaust gases. An air inlet is configured to direct a mixture of air and a fuel into the combustion zone. A control valve is configured to change a volume of fluid exhausted from the combustion zone. A controller configured to control the control valve so as to prevent a pressure inside the combustion zone from exceeding a preset pressure value is provided.

Подробнее
03-01-2019 дата публикации

Illumination Source for an Inspection Apparatus, Inspection Apparatus and Inspection Method

Номер: US20190003981A1
Принадлежит: ASML Netherlands B.V.

Disclosed is an inspection apparatus and associated method for measuring a target structure on a substrate. The inspection apparatus comprises an illumination source for generating measurement radiation; an optical arrangement for focusing the measurement radiation onto said target structure; and a compensatory optical device. The compensatory optical device may comprise an SLM operable to spatially modulate the wavefront of the measurement radiation so as to compensate for a non-uniform manufacturing defect in said optical arrangement. In alternative embodiments, the compensatory optical device may be located in the beam of measurement radiation, or in the beam of pump radiation used to generate high harmonic radiation in a HHG source. Where located in the beam of pump radiation, the compensatory optical device may be used to correct pointing errors, or impart a desired profile or varying illumination pattern in a beam of the measurement radiation. 1. An illumination source for generating high harmonic radiation , comprising:a high harmonic generation medium;a pump radiation source configured to emit a beam of pump radiation to excite the high harmonic generation medium to generate high harmonic radiation; anda compensatory optical device operable to spatially modulate a wavefront of the beam of pump radiation prior to it exciting the high harmonic generation medium.2. The illumination source of claim 1 , wherein the compensatory optical device is operable to spatially modulate the wavefront of the beam of pump radiation so as to correct a position error of a beam of the high harmonic radiation.3. The illumination source of claim 2 , wherein the illumination source is configured to:receive a position signal describing the position of a focused point of a beam wherein the beam is the beam of pump radiation or the beam of the high harmonic radiation;determine a correction for the position of the focused point of the beam relative to a desired position in terms of a ...

Подробнее
02-01-2020 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20200004159A1
Принадлежит:

In a method of diagnosing an RF generator of a laser produced plasma extreme ultra violet (LPP EUV) radiation source apparatus, a testing system is connected to the RF generator of the LPP EUV radiation source apparatus. An output power is measured by the testing system with changing an input power of the RF generator. Using a computer system, the measured output power is analyzed. Based on the analyzed measured output power, whether the RF generator is operating properly is determined. 1. A method of diagnosing an RF generator of a laser produced plasma extreme ultra violet (LPP EUV) radiation source apparatus , the method comprising:connecting a testing system to the RF generator of the LPP EUV radiation source apparatus;measuring an output power by the testing system while changing an input power of the RF generator;analyzing, using a computer system, the measured output power;determining, based on the analyzed measured output power, whether the RF generator is operating properly.2. The method of claim 1 , wherein the connecting comprises operating a switch disposed between the testing system and the RF generator.3. The method of claim 1 , wherein the testing system comprises a dummy load claim 1 , a power meter and a sensor head coupled to the dummy load and the power meter.4. The method of claim 1 , wherein multiple values of the output power are measured.5. The method of claim 4 , wherein the analyzing comprises calculating deviations of the multiple values of the output power from ideal output power values.6. The method of claim 4 , wherein the analyzing comprises performing a linear approximation of the multiple values of the output power.7. The method of claim 6 , wherein the determining comprises determining that the RF generator is operating properly when a slope of the linear approximation is within a predetermined range.8. The method of claim 6 , wherein the determining comprises determining that the RF generator is operating properly when a squared ...

Подробнее
02-01-2020 дата публикации

DROPLET GENERATOR AND METHOD OF SEVICING EXTREME ULTRAVIOLET RADIATION SOURCE APPARATUS

Номер: US20200004168A1
Принадлежит:

An extreme ultraviolet radiation source apparatus includes a chamber including at least a droplet generator, a nozzle of the droplet generator, and a dry ice blasting assembly. The droplet generator includes a reservoir for a molten metal, and the nozzle has a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. The dry ice blasting assembly includes a blasting nozzle, a blasting air inlet and a blaster carbon dioxide (CO) inlet. The blasting nozzle is disposed inside the chamber. The blasting nozzle is arranged to direct a pressurized air stream and dry ice particles at the nozzle of the droplet generator. 1. A method of cleaning an extreme ultra violet (EUV) radiation source apparatus , wherein the EUV radiation source apparatus comprises:a target droplet generator for generating a metal droplet within a chamber; anda dry ice blasting assembly having a blasting nozzle disposed inside the chamber and a dry ice supporting member, forming pressurized dry ice particles including dry ice particles and a pressurized air stream from the dry ice supporting member of the dry ice blasting assembly;', 'ejecting the pressurized dry ice particles through the blasting nozzle toward residual material at a nozzle of the target droplet generator;', 'removing the residual material from the target droplet generator; and', 'collecting the residual material and sublimated gaseous carbon dioxide from the pressurized dry ice particles., 'the method comprising2. The cleaning method of claim 1 , further comprising positioning the blasting nozzle with respect to the residual material by an extendable positioner.3. The cleaning method of claim 1 , further comprising oscillating the pressure of the pressurized dry ice particles.4. The cleaning method of claim 1 , further comprising:monitoring the residual material in the droplet generator;adjusting valves of the blasting pump when an amount of the residual material in the droplet ...

Подробнее
13-01-2022 дата публикации

Target control in extreme ultraviolet lithography systems using aberration of reflection image

Номер: US20220011675A1

A method of controlling an extreme ultraviolet (EUV) lithography system is disclosed. The method includes irradiating a target droplet with EUV radiation, detecting EUV radiation reflected by the target droplet, determining aberration of the detected EUV radiation, determining a Zernike polynomial corresponding to the aberration, and performing a corrective action to reduce a shift in Zernike coefficients of the Zernike polynomial.

Подробнее
13-01-2022 дата публикации

TARGET SUPPLY DEVICE, EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS, AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20220015218A1
Принадлежит: Gigaphoton Inc.

A target supply device may include a first containing member configured to contain a target substance; a second containing member configured to contain the target substance flowing from the first containing member; a ring-shaped sealing portion which is formed integrally with one of the first containing member and the second containing member, and is brought into close contact with the other containing member; and a fastening member which fastens the first containing member and the second containing member to each other so that the first containing member communicates with the second containing member through the communication portion, and presses the sealing portion against the other containing member. Here, the sealing portion being plastically deformed by being pressed against the other containing member by the fastening member to seal a gap between the first containing member and the second containing member around the communication portion due to the plastic deformation. 1. A target supply device , comprising:a first containing member configured to contain a target substance;a second containing member configured to contain the target substance flowing from the first containing member;a ring-shaped sealing portion which is formed integrally with one of the first containing member and the second containing member, extends from the one containing member toward the other containing member, surrounds entire circumference of a communication portion between the first containing member and the second containing member, and is brought into close contact with the other containing member; anda fastening member which fastens the first containing member and the second containing member to each other so that the first containing member communicates with the second containing member through the communication portion, and presses the sealing portion against the other containing member,the sealing portion being plastically deformed by being pressed against the other containing ...

Подробнее
08-01-2015 дата публикации

SYSTEM AND METHOD FOR PRODUCING AN EXCLUSIONARY BUFFER GAS FLOW IN AN EUV LIGHT SOURCE

Номер: US20150008335A1
Принадлежит:

A system for producing an exclusionary buffer gas flow in an EUV light source, comprising a vacuum chamber, a light path, a plasma generation region, at least one shield, at least one through-bore arranged in the at least one shield, at least one buffer gas injector arranged within the at least one through-bore to inject a buffer gas into the light path substantially towards the plasma generation region to prevent a flow of a target material into the light path, and a vacuum pump arranged to remove the buffer gas and the target material from the vacuum chamber. 1. A system for producing an exclusionary buffer gas flow in an EUV light source , comprising:a vacuum chamber;a light path;a plasma generation region;at least one shield;at least one through-bore arranged in the at least one shield;at least one buffer gas injector arranged within the at least one through-bore to inject a buffer gas into the light path substantially towards the plasma generation region to prevent a flow of a target material into the light path; and,a vacuum pump arranged to remove the buffer gas and the target material from the vacuum chamber.2. The system of claim 1 , further comprising:a collector;at least one collector through-bore arranged in the collector; and,at least one collector buffer gas injector arranged within the at least one collector through-bore to inject the buffer gas into the light path substantially towards the vacuum pump to prevent the flow of the target material into the light path.3. The system of claim 1 , wherein the buffer gas is selected from the group consisting of argon claim 1 , hydrogen claim 1 , and helium.4. The system of claim 1 , wherein the at least one shield is arranged to prevent the target material from entering the light path.5. The system of claim 1 , wherein at least one of the at least one buffer gas injector comprises a plurality of buffer gas injection nozzles.6. The system of claim 5 , wherein a first buffer gas injection nozzle in the ...

Подробнее
08-01-2015 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20150008345A1
Принадлежит:

An apparatus for generating extreme ultraviolet light used with a laser apparatus and connected to an external device so as to supply the extreme ultraviolet light thereto includes a chamber provided with at least one inlet through which a laser beam is introduced into the chamber; a target supply unit provided on the chamber configured to supply a target material to a predetermined region inside the chamber; a discharge pump connected to the chamber; at least one optical element provided inside the chamber; an etching gas introduction unit provided on the chamber through which an etching gas passes; and at least one temperature control mechanism for controlling a temperature of the at least one optical element. 1a chamber provided with at least one inlet through which a laser beam is introduced into the chamber;a target supply unit provided on the chamber configured to supply a target material to a predetermined region inside the chamber;a discharge pump connected to the chamber;at least one optical element provided inside the chamber;an etching gas introduction unit provided on the chamber configured to allow an etching gas to pass through; andat least one temperature control mechanism configured to control a temperature of the at least one optical element.. An apparatus for generating extreme ultraviolet light comprising: The present application is a Continuation Application of U.S. Ser. No. 13,474,100 filed May 17, 2012, which is a Continuation-in-Part Application of U.S. Ser. No. 13/048,454 filed Mar. 15, 2011, now U.S. Pat. No. 8,624,208, which claims priority from Japanese Patent Application No. 2010-063358 filed Mar. 18, 2010, Japanese Patent Application No. 2011-017252 filed Jan. 28, 2011, and Japanese Patent Application No. 2011-049687 filed Mar. 7, 2011. This application further claims priority from Japanese Patent Application No. 2011-135566 filed Jun. 17, 2011.1. Technical FieldThis disclosure relates to an extreme ultraviolet (EUV) light generation ...

Подробнее
07-01-2016 дата публикации

EXTREME ULTRAVIOLET SOURCE WITH MAGNETIC CUSP PLASMA CONTROL

Номер: US20160007433A1
Автор: McGeoch Malcolm W.
Принадлежит: PLEX LLC

A laser-produced plasma extreme ultraviolet source has a buffer gas to slow ions down and thermalize them in a low temperature plasma. The plasma is initially trapped in a symmetrical cusp magnetic field configuration with a low magnetic field barrier to radial motion. Plasma overflows in a full range of radial directions and is conducted by radial field lines to a large area annular array of beam dumps. 1. An extreme ultraviolet light source comprising: a chamber; a source of droplet targets; one or more lasers focused onto the droplets in an interaction region; a flowing buffer gas; one or more reflective collector elements to redirect extreme ultraviolet light to a point on the common collector optical axis which is an exit port of the chamber; an annular array of plasma beam dumps disposed around the collector optical axis; a magnetic field provided by two sets of opposed , symmetrical field coils that carry equal but oppositely directed currents to create a symmetrical magnetic cusp , wherein the laser-plasma interaction takes place at or near the zero magnetic field point of the cusp and heat is removed via radial plasma flow in a 360 degree angle range perpendicular to the optical axis toward the annular array of plasma beam dumps.2. An extreme ultraviolet light source as in in which a buffer gas chosen from the set hydrogen claim 1 , helium and argon is flowed through the chamber at a density sufficient to slow down fast ions from the laser-plasma interaction claim 1 , but not absorb more than 50% of the extreme ultraviolet light as it passes from the plasma region to an exit port of the chamber.3. An extreme ultraviolet light source as in in which an argon buffer is provided in the density range between 1×10and 4×10atoms cm.4. An extreme ultraviolet light source as in in which the minimum cusp confinement magnetic field has a value in the range 0.01-1.0 Tesla.5. An extreme ultraviolet light source as in in which the minimum cusp confinement magnetic field ...

Подробнее
07-01-2016 дата публикации

Extreme ultraviolet light source

Номер: US20160007434A1
Принадлежит: ASML Netherlands BV

An initial pulse of radiation is generated; a section of the initial pulse of radiation is extracted to form a modified pulse of radiation, the modified pulse of radiation including a first portion and a second portion, the first portion being temporally connected to the second portion, and the first portion having a maximum energy that is less than a maximum energy of the second portion; the first portion of the modified pulse of radiation is interacted with a target material to form a modified target; and the second portion of the modified pulse of radiation is interacted with the modified target to generate plasma that emits extreme ultraviolet (EUV) light.

Подробнее
07-01-2016 дата публикации

SYSTEMS AND METHODS FOR SYNCHRONOUS OPERATION OF DEBRIS-MITIGATION DEVICES

Номер: US20160007435A1
Принадлежит: Media Lario S.R.L.

Systems and methods for synchronous operation of debris-mitigation devices (DMDs) in an EUV radiation source that emits EUV radiation and debris particles are disclosed. The methods include establishing a select relative angular orientation between the first and second DMDs that provides a maximum amount of transmission of EUV radiation between respective first and second rotatable vanes of the first and second DMDs. The methods also include rotating the first and second sets of vanes to capture at least some of the debris particles while substantially maintaining the select relative angular orientation. The systems employ DMD drive units, and an optical-based encoder disc in one of the DMD drive units measures and controls the rotational speed of the rotatable DMD vanes. Systems and methods for optimally aligning the DMDs are also disclosed. 1. A method of operating first and second debris-mitigation devices (DMDs) in an extreme-ultraviolet (EUV) radiation source that emits EUV radiation and debris particles , comprising:establishing a select relative angular orientation between the first and second DMDs that provides a maximum amount of transmission of EUV radiation between respective first and second rotatable vanes of the first and second DMDs; androtating the first and second sets of vanes to capture at least some of the debris particles while substantially maintaining the select relative angular orientation.2. The method according to claim 1 , wherein a variation from the select relative angular orientation defines a phase error claim 1 , and wherein maintaining the select relative angular orientation is based on a measurement of the phase error.3. The method according to claim 2 , further including:rotating the first set of vanes at a first speed that is substantially constant; androtating the second set of vanes at a second speed that is adjustable to reduce the phase error.4. The method according to claim 3 , further comprising using the phase error in a ...

Подробнее
04-01-2018 дата публикации

CHAMBER DEVICE, TARGET GENERATION METHOD, AND EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM

Номер: US20180007770A1
Принадлежит: Gigaphoton Inc.

A chamber device may include a chamber, and a target generation device assembled into the chamber and configured to supply a target material into the chamber, the target generation device including a tank configured to store the target material, a temperature variable device configured to vary temperature of the target material in the tank, and a nozzle section in which a nozzle hole configured to output the target material in a liquid form is formed, and the chamber device may further include a gas nozzle having an inlet port facing the nozzle section and configured to introduce gas into the chamber, a gas supply source configured to supply gas containing hydrogen to the gas nozzle to supply the gas containing the hydrogen to at least periphery of the nozzle section, and a moisture remover configured to remove moisture at least in the periphery of the nozzle section in the chamber. 1. A chamber device comprising:a chamber; a tank configured to store the target material;', 'a temperature variable device configured to vary temperature of the target material in the tank, the temperature variable device including a heater configured to heat the target material in the tank and a heater power source configured to supply electric current to the heater; and', 'a nozzle section in which a nozzle hole configured to output the target material in a liquid state is formed;, 'a target generation device assembled into the chamber, the target generation device being configured to supply a target material to a predetermined region in the chamber, the target generation device includinga gas nozzle having an inlet port facing the nozzle section, the inlet port of the gas nozzle being configured to introduce gas into the chamber;a gas supply source configured to supply gas containing hydrogen to the gas nozzle such that the gas containing the hydrogen is supplied to at least periphery of the nozzle section; anda moisture remover configured to remove moisture at least in the periphery ...

Подробнее
04-01-2018 дата публикации

DROPLET DETECTOR AND EXTREME ULTRAVIOLET LIGHT GENERATING APPARATUS

Номер: US20180007771A1
Принадлежит: Gigaphoton Inc.

The stability of operations of an EUV light generating apparatus is improved. A droplet detector may include: a light source unit configured to emit illuminating light onto a droplet, which is output into a chamber and generate extreme ultraviolet light when irradiated with a laser beam; a light receiving unit configured to receive the illuminating light and to detect changes in light intensities; and a timing determining circuit configured to output a droplet detection signal that indicates that the droplet has been detected at a predetermined position within the chamber, based on a first timing at which the light intensity of the illuminating light decreases due to the droplet being irradiated therewith and a second timing at which the light intensity of the illuminating light increases. 1. A droplet detector , comprising:a light source unit configured to emit illuminating light onto a droplet, which is output into a chamber and generate extreme ultraviolet light when irradiated with a laser beam;a light receiving unit configured to receive the illuminating light and to detect changes in light intensities; anda timing determining circuit configured to output a droplet detection signal that indicates that the droplet has been detected at a predetermined position within the chamber, based on a first timing at which the light intensity of the illuminating light decreases due to the droplet being irradiated therewith and a second timing at which the light intensity of the illuminating light increases.2. The droplet detector as defined in claim 1 , wherein:the timing determining circuit:specifies the first timing by detecting a timing at which the light intensity becomes less than a predetermined threshold value and specifies the second timing by detecting a timing at which the light intensity becomes greater than the threshold value; andoutputs the droplet detection signal at a timing which is delayed for a predetermined amount of time from a point of time between the ...

Подробнее
03-01-2019 дата публикации

TANK, TARGET GENERATION DEVICE, AND EXTREME-UV-LIGHT GENERATION DEVICE

Номер: US20190008026A1
Принадлежит: Gigaphoton Inc.

A tank may include: a tank main body having a space and an opening; a lid body covering the opening and a peripheral portion of the opening; a bolt for fixing the tank main body and the lid body in the peripheral portion; a first support portion arranged to surround the opening in a region on a side of the opening with respect to the bolt to support the lid body; a second support portion arranged to surround the opening in a region on the opening side with respect to the first support portion and having a height lower than a height of the first support portion; and a sealing member arranged to surround the opening in the region on the opening side with respect to the first support portion. 1. A tank comprising:a tank main body having a space inside and having an opening in communication with the space;a lid body covering the opening of the tank main body and a peripheral portion of the opening;a bolt for fixing the tank main body and the lid body in the peripheral portion;a first support portion arranged to surround the opening in a region on a side of the opening with respect to the bolt to support the lid body;a second support portion arranged to surround the opening in a region on the opening side with respect to the first support portion between the tank main body and the lid body and having a height lower than a height of the first support portion; anda sealing member arranged to surround the opening in the region on the opening side with respect to the first support portion between the tank main body and the lid body.2. The tank according to claim 1 , wherein the sealing member is arranged in the region on the opening side with respect to the second support portion.3. The tank according to claim 2 , wherein the first support portion is made integrally with the tank main body.4. The tank according to claim 3 , wherein the second support portion is made separately from the tank main body.5. The tank according to claim 4 , wherein the sealing member is an O-ring ...

Подробнее
02-01-2020 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20200008290A1
Принадлежит:

An extreme ultra violet (EUV) radiation source apparatus includes a collector mirror, a target droplet generator for generating a tin (Sn) droplet, a rotatable debris collection device, one or more coils for generating an inductively coupled plasma (ICP), a gas inlet for providing a source gas for the ICP, and a chamber enclosing at least the collector mirror and the rotatable debris collection device. The gas inlet and the one or more coils are configured such that the ICP is spaced apart from the collector mirror. 1. An extreme ultra violet (EUV) radiation source apparatus , comprising:a collector mirror;a target droplet generator for generating a tin (Sn) droplet;a rotatable debris collection device;one or more coils for generating an inductively coupled plasma (ICP);a gas inlet for providing a source gas for the ICP; anda chamber enclosing at least the collector mirror and the rotatable debris collection device,wherein the gas inlet and the one or more coils are configured such that the ICP is spaced apart from the collector mirror.2. The EUV radiation source apparatus of claim 1 , wherein the source gas includes one or more of He claim 1 , Ar claim 1 , Xe claim 1 , Cl claim 1 , H claim 1 , O claim 1 , SiH claim 1 , SiCland SiHCl.3. The EUV radiation source apparatus of claim 1 , wherein the source gas is a Cl containing gas.4. The EUV radiation source apparatus of claim 1 , further comprising a lower cone provided between the rotatable debris collection device and an EUV output port claim 1 ,wherein at least one coil surrounds or covers the lower cone.5. The EUV radiation source apparatus of claim 4 , wherein the gas inlet for providing the source gas is located at a position between the lower cone and the EUV output port.6. The EUV radiation source apparatus of claim 1 , wherein at least one coil surrounds or covers the rotatable debris collection device.7. The EUV radiation source apparatus of claim 6 , wherein the gas inlet for providing the source gas is ...

Подробнее
14-01-2016 дата публикации

CALIBRATION OF PHOTOELECTROMAGNETIC SENSOR IN A LASER SOURCE

Номер: US20160011056A1
Принадлежит:

In a laser-produced plasma (LPP) extreme ultraviolet (EUV) system, laser pulses are used to produce EUV light. To determine the energy of individual laser pulses, a photoelectromagnetic (PEM) detector is calibrated to a power meter using a calibration coefficient. When measuring a unitary laser beam comprising pulses of a single wavelength, the calibration coefficient is calculated based on a burst of the pulses. A combined laser beam has main pulses of a first wavelength alternating with pre-pulses pulses of a second wavelength. To calculate the energy of the main pulses in the combined laser beam, the calibration coefficient calculated for a unitary laser beam of the main pulses is used. To calculate the energy of the pre-pulses in the combined laser beam, a new calibration coefficient is calculated. When the calculated energy values drift beyond a pre-defined threshold, the calibration coefficients are recalculated. 1. A system comprising: a power meter configured to measure an average power of the laser pulses over a defined period of time, and', 'a photoelectomagentic (PEM) detector configured to provide a first voltage signal indicative of a temporal profile of the burst of the laser pulses over at least a portion of the defined period of time;, 'an energy monitor within a laser source of a laser-produced plasma (LPP) extreme ultraviolet (EUV) system, the energy monitor configured to measure laser pulses having a same wavelength and occurring in a burst, the energy monitor comprisinga calibration module configured to determine a calibration coefficient based on the average power and the first voltage signal, the calibration coefficient being a ratio of an energy of the burst of the laser pulses determined from the average power and an integral of the first voltage signal; anda single pulse energy calculation (SPEC) module configured to determine an energy of a subsequent pulse of the series of the laser pulses based on the calibration coefficient and a pulse ...

Подробнее
14-01-2016 дата публикации

Calibration of photoelectromagnetic sensor in a laser source

Номер: US20160011057A1
Принадлежит: ASML Netherlands BV

In a laser-produced plasma (LPP) extreme ultraviolet (EUV) system, laser pulses are used to produce EUV light. To determine the energy of individual laser pulses, a photoelectromagnetic (PEM) detector is calibrated to a power meter using a calibration coefficient. When measuring a unitary laser beam comprising pulses of a single wavelength, the calibration coefficient is calculated based on a burst of the pulses. A combined laser beam has main pulses of a first wavelength alternating with pre-pulses pulses of a second wavelength. To calculate the energy of the main pulses in the combined laser beam, the calibration coefficient calculated for a unitary laser beam of the main pulses is used. To calculate the energy of the pre-pulses in the combined laser beam, a new calibration coefficient is calculated. When the calculated energy values drift beyond a pre-defined threshold, the calibration coefficients are recalculated.

Подробнее
27-01-2022 дата публикации

Soft x-ray light source

Номер: US20220030692A1
Принадлежит: Raycan Technology Co Ltd

A soft X-ray light source, including a vacuum target chamber, a refrigeration cavity, and a nozzle. The refrigeration cavity and the nozzle are contained in the vacuum target chamber. The nozzle ( 36 ) is arranged in the refrigeration cavity. The vacuum target chamber has a t-branch tube and a multi-channel tube. The t-branch tube has a first outlet and a second outlet opposed to each other and a third outlet, wherein the first outlet is connected to a mounting plate through which a refrigerant inlet pipe, a refrigerant outlet pipe, and a working gas pipe respectively pass and are connected to the refrigeration cavity, and wherein the third outlet is connected to a vacuum extraction device. The multi-channel tube has a top opening and a bottom opening opposed to each other, wherein the top opening is connected to the second outlet, wherein a vacuum outlet is provided at the bottom opening.

Подробнее
14-01-2016 дата публикации

Radiation Source-Collector and Method for Manufacture

Номер: US20160012929A1
Принадлежит: ASML Netherlands B.V.

A method of manufacturing a multi-layer mirror comprising a multi-layer stack of pairs of alternating layers of a first material and silicon, the method comprising depositing a stack of pairs of alternating layers of the first material and layers of silicon, the stack being supported by a substrate and doping at least a first layer of the first material with a dopant material. 1. A method of manufacturing a multi-layer mirror , comprising a multi-layer stack of pairs of alternating layers of a first material and silicon , the method comprising:disposing, on a substrate, a stack of pairs of alternating layers of the first material and layers of silicon; anddoping at least a first layer of the first material with a dopant material.2. (canceled)3. The method according to claim 1 , wherein the dopant material is hydrogen.4. The method according to claim 3 , wherein doping the first layer of the first material comprises incorporating at least 20 atomic percent hydrogen into the first layer of the first material.5. The method according to claim 1 , wherein the dopant material is a noble gas.6. (canceled)7. The method according to claim 5 , wherein doping the first layer of the first material comprises incorporating up to 10 atomic percent of the dopant material into the first layer of the first material.8. The method according to claim 1 , wherein doping comprises incorporating the dopant material into the first layer of the first material during the deposition of the first layer of the first material.9. The method according to claim 8 , wherein doping comprises depositing the first layer of the first material in the presence of a gas phase dopant material.10. The method according to claim 1 , wherein the first layer of the first material is the layer of the first material that is disposed furthest from the substrate.11. The method according to claim 1 , further comprising doping at least a first silicon layer with hydrogen.12. The method according to claim 11 , wherein ...

Подробнее
14-01-2016 дата публикации

Compact, All-Optical Generation of Coherent X-Rays

Номер: US20160014874A1
Принадлежит: US Department of Navy

A method for generating coherent, polarized, and tunable X-rays using a single laser pulse is provided. An ultrashort laser pulse is fired into a plasma. As the laser beam travels through the plasma, some of its photons are backscattered, e.g., through Raman backscattering, to generate a counter-propagating photon beam that is co-linear with the original laser beam. When the backscattered photons interact with high-energy accelerated periodic electron bunches, coherent X-rays are generated through Compton backscattering of the photons off of the electrons. The energy of the backscattered X-rays can be tuned by tuning one or more characteristics of the laser pulse and/or the plasma.

Подробнее
09-01-2020 дата публикации

METHOD AND APPARATUS FOR PURIFYING TARGET MATERIAL FOR EUV LIGHT SOURCE

Номер: US20200015343A1
Принадлежит:

A deoxidation system for purifying target material for an EUV light source includes a furnace having a central region and a heater for heating the central region in a uniform manner. A vessel is inserted in the central region of the furnace, and a crucible is disposed within the vessel. A closure device covers an open end of the vessel to form a seal having vacuum and pressure capability. The system also includes a gas input tube, a gas exhaust tube, and a vacuum port. A gas supply network is coupled in flow communication with an end of the gas input tube and a gas supply network is coupled in flow communication with an end of the gas exhaust tube. A vacuum network is coupled in flow communication with one end of the vacuum port. A method and apparatus for purifying target material also are described. 19-. (canceled)10. A method , comprising:loading a target material into a crucible, the target material to be used in a droplet generator of an extreme ultraviolet (EUV) light source;inserting the loaded crucible into a vessel and sealing the vessel;melting the target material in the loaded crucible;flowing a gas containing hydrogen over a free surface of the molten target material;allowing gas to exit the vessel while measuring a concentration of water vapor in the gas exiting the vessel; andafter the measured concentration of water vapor in the gas exiting the vessel reaches a target condition, allowing the molten target material to cool.11. The method of claim 10 , wherein the target condition comprises the measured water vapor concentration in the gas exiting the vessel stabilizing at a minimum level12. The method of claim 10 , wherein the target condition indicates a predetermined concentration of oxygen in the target material.13. The method of claim 10 , wherein the target condition indicates a predetermined concentration of oxygen in the target material that is less than 100 times the solubility limit of oxygen in the molten target material.14. The method of ...

Подробнее
03-02-2022 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20220035249A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation system may include a laser system emitting first prepulse laser light, second prepulse laser light, and main pulse laser light in this order; a chamber including at least one window for introducing, into the chamber, the first prepulse laser light, the second prepulse laser light, and the main pulse laser light; a target supply unit supplying a target to a predetermined region in the chamber; and a processor controlling the laser system to irradiate the target with the first prepulse laser light, irradiate the target, having been irradiated with the first prepulse laser light, with the second prepulse laser light having a pulse time width longer than a pulse time width of the main pulse laser light, and irradiate the target, having been irradiated with the second prepulse laser light, with the main pulse laser light temporally separated from the second prepulse laser light. 1. An extreme ultraviolet light generation system , comprising:a laser system configured to emit first prepulse laser light, second prepulse laser light, and main pulse laser light in this order;a chamber including at least one window for introducing, into the chamber, the first prepulse laser light, the second prepulse laser light, and the main pulse laser light;a target supply unit configured to supply a target to a predetermined region in the chamber; anda processor configured to control the laser system so as to irradiate the target with the first prepulse laser light, irradiate the target, having been irradiated with the first prepulse laser light, with the second prepulse laser light having a pulse time width longer than a pulse time width of the main pulse laser light, and irradiate the target, having been irradiated with the second prepulse laser light, with the main pulse laser light temporally separated from the second prepulse laser light.2. The extreme ultraviolet light generation system according to claim 1 ,wherein the pulse time width of the ...

Подробнее
21-01-2021 дата публикации

LITHOGRAPHY SYSTEM AND METHOD THEREOF

Номер: US20210018845A1
Принадлежит:

A method includes generating a plasma that emits a first EUV radiation in a vessel at a first gas exhaust rate of the vessel; directing the first EUV radiation to a first substrate using a collector in the vessel; halting the generating of the first EUV radiation; and ejecting a gas past the collector at a second gas exhaust rate of the vessel, in which the second gas exhaust rate is greater than the first gas exhaust rate after the halting. 1. A method , comprising:generating a plasma that emits a first EUV radiation in a vessel while the vessel is exhausted at a first gas exhaust rate;directing the first EUV radiation to a first substrate using a collector in the vessel, wherein the first EUV radiation passes through a vane structure that laterally surrounds the collector and is connected to an upper end surface of the collector, the vane structure comprises an inner sidewall, an outer sidewall laterally surrounding the inner sidewall and shorter than the inner sidewall, and a topmost surface sloped along a direction to form an acute angle with a center axis of the collector, and an interior of the acute angle that extends away from a vertex of the acute angle formed by the center axis of the collector and the topmost surface overlaps the collector;monitoring an intensity of the first EUV radiation; andexhausting the vessel at a second gas exhaust rate in response to the monitored intensity of the first EUV radiation, wherein the second gas exhaust rate is greater than the first gas exhaust rate.2. The method of claim 1 , further comprising calibrating a second substrate during exhausting the vessel at the second gas exhaust rate claim 1 , wherein the second substrate is exposed to a second EUV radiation directed through the collector in the vessel after exhausting the vessel at the second gas exhaust rate is complete.3. The method of claim 1 , further comprising calibrating an EUV photomask during exhausting the vessel at the second gas exhaust rate claim 1 , ...

Подробнее
19-01-2017 дата публикации

Novel solution for euv power increment at wafer level

Номер: US20170019981A1
Автор: En-Chao Shen, Yiming Chiu

The present disclosure relates to a photolithography radiation source having an angled primary laser, and an associated method of formation. In some embodiments, the photolithography radiation source has a fuel droplet generator that provides fuel droplets to a source vessel along a first trajectory. A primary laser is configured to generate a primary laser beam along a second trajectory that intersects the first trajectory. The primary laser beam is configured to ignite a plasma from the plurality of fuel droplets that emits radiation. A collector mirror is configured to focus the radiation to an exit aperture of the source vessel. The primary laser beam does not intersect the exit aperture.

Подробнее
19-01-2017 дата публикации

METHOD, APPARATUS AND SYSTEM FOR PROVIDING MULTIPLE EUV BEAMS FOR SEMICONDUCTOR PROCESSING

Номер: US20170019982A1
Принадлежит: GLOBALFOUNDRIES INC.

At least one method, apparatus and system for providing a plurality of optical beams, such as EUV beams. A first electron beam is received. The first electron beam is converted into at least a second electron beam and a third electron beam. The second and third second and third electron beams to an undulator. Using the undulator for generating a plurality of output beams using the at least second and third electron beams. The output beams respectively comprises a plurality of optical beam components and a plurality of electron beam component. A first optical beam component of the plurality of optical beam components is provided to a first processing tool. 1. A method comprising:receiving a first electron beam;converting said first electron beam into at least a second electron beam and a third electron beam;providing said at least second and third electron beams to an undulator;generating, by said undulator, a plurality of output beams using said at least second and third electron beams, said output beams respectively comprising a plurality of optical beam components and a plurality of electron beam components; andproviding a first optical beam component from said plurality of optical beam components to a first processing tool.2. The method of claim 1 , further comprising:providing a second optical beam component from said plurality of optical beam components to a second processing tool; andproviding a third optical beam component from said plurality of optical beam components to a metrology tool3. The method of claim 2 , wherein:providing said first optical beam component comprises providing a first extreme-ultraviolet (EUV) beam;providing said second optical beam component comprises providing a second EUV beam; andproviding said third optical beam component comprises providing a third EUV beam.4. The method of claim 1 , wherein receiving a first electron beam comprises receiving said first electron beam from at least one of a linear electron accelerator or a multi- ...

Подробнее
19-01-2017 дата публикации

SYSTEM AND METHOD FOR GENERATING EXTREME ULTRAVIOLET LIGHT

Номер: US20170019983A1
Принадлежит: Gigaphoton Inc.

A system includes a chamber, a laser beam apparatus configured to generate a laser beam to be introduced into the chamber, a laser controller for the laser beam apparatus to control at least a beam intensity and an output timing of the laser beam, and a target supply unit configured to supply a target material into the chamber, the target material being irradiated with the laser beam for generating extreme ultraviolet light. 1. A system comprising:a laser system configured to generate a pre-pulse laser beam with a pulse duration of less than 1 ns, and a main pulse laser beam;a target supply unit configured to supply a target material to be irradiated with the pre-pulse laser beam and the main pulse laser beam for generating extreme ultraviolet light; anda laser controller configured to control the laser system such that a fluence of the pre-pulse laser beam is lower than a fluence of the main pulse laser beam and a beam intensity of the pre-pulse laser beam is higher than a beam intensity of the main pulse laser beam.2. The system according to claim 1 , wherein the controller is configured to control the laser system such that a pulse energy of the pre-pulse laser beam is 0.25 mJ or higher and 2 mJ or lower.3. The system according to claim 1 , wherein the controller is configured to control the laser system such that a delay time from irradiation of the target material with the pre-pulse laser beam to irradiation of the target material with the main pulse laser beam is 0.5 μs or longer and 1.8 μs or shorter.4. The system according to claim 1 , wherein the controller is configured to control the laser system such that a delay time from irradiation of the target material with the pre-pulse laser beam to irradiation of the target material with the main pulse laser beam is 0.7 μs or longer and 1.6 μs or shorter.5. The system according to claim 1 , wherein the controller is configured to control the laser system such that a delay time from irradiation of the target ...

Подробнее
18-01-2018 дата публикации

EUV LIGHT SOURCE WITH SUBSYSTEM(S) FOR MAINTAINING LPP DRIVE LASER OUTPUT DURING EUV NON-OUTPUT PERIODS

Номер: US20180020532A1
Принадлежит:

A device is disclosed herein which may comprise a droplet generator producing droplets of target material; a sensor providing an intercept time signal when a droplet reaches a preselected location; a delay circuit coupled with said sensor, the delay circuit generating a trigger signal delayed from the intercept time signal; a laser source responsive to a trigger signal to produce a laser pulse; and a system controlling said delay circuit to provide a trigger signal delayed from the intercept time by a first delay time to generate a light pulse that is focused on a droplet and a trigger signal delayed from the intercept time by a second delay time to generate a light pulse which is not focused on a droplet. 1. An Extreme Ultraviolet (EUV) light source producing EUV pulses in at least two burst periods , the at least two burst periods separated by an intervening period , the EUV light source comprising:a droplet generator producing droplets of target material during each of the at least two burst periods and during the intervening period;a laser source producing laser pulses during the each of the at least two burst periods and during the intervening period; anda system operable to reconfigure the EUV light source from a first configuration in which the laser pulses generated during the each of the at least two burst periods interact with the droplets of target material to produce a plasma having first characteristics and a second configuration in which laser pulses generated during the intervening period do not produce the plasma having the first characteristics.2. The EUV light source of wherein the laser pulses generated during the intervening period produces plasma having second characteristics different from the first characteristics.3. The EUV light source of wherein the laser pulses generated during the intervening period do not produce plasma.4. The EUV light source of wherein the target material is subject to a first disturbance during the each of the at ...

Подробнее
22-01-2015 дата публикации

POWER SUPPLY FOR A DISCHARGE PRODUCED PLASMA EUV SOURCE

Номер: US20150022795A1
Принадлежит:

A power supply for providing HV power to a lithography illumination source comprising a HV power source arranged to provide the HV power, a HV power transmission line arranged to transmit the HV energy from the HV power source and one or more RF terminations provided on one or more of an input end of the transmission line or an output end of the transmission line. The one or more RF terminations terminate the transmission line to reduce reflection of RF energy at the end of the transmission line. 1. A power supply for providing power to a lithography illumination source , the power supply comprising:a voltage source configured to provide the power;a transmission line configured to transmit the power from the voltage source; anda RF termination provided on an input end of the transmission line or an output end of the transmission line, the RF termination being configured to terminate the transmission line to reduce reflection of RF energy at the end of the transmission line.2. The power supply of claim 1 , wherein the transmission line is a coaxial cable and wherein the RF termination is provided in series with a core of the coaxial cable on the input end or the output end of the coaxial cable.3. The power supply of claim 2 , further comprising a second RF termination provided in series with a shield of the coaxial cable on the input end or the output end of the coaxial cable.4. The power supply of claim 2 , further comprising a common mode choke in series with the coaxial cable claim 2 , the common mode choke being configured to pass equal and opposite currents on the core and a shield of the coaxial cable claim 2 , respectively.5. The power supply of claim 1 , wherein the RF termination is configured to terminate the transmission line so that the impedance of the RF termination claim 1 , as seen by the transmission line claim 1 , corresponds to the characteristic impedance of the transmission line.6. The power supply of claim 1 , wherein the RF termination ...

Подробнее
16-01-2020 дата публикации

RADIAL LITHOGRAPHIC SOURCE HOMOGENIZER

Номер: US20200020458A1
Принадлежит:

A method includes identifying a contamination region of a collector in a light source, positioning a subset of a plurality of movable light-blocking elements around a periphery of a circular aperture of the light source to compensate for the contamination region, and transmitting light from the light source through the circular aperture. 1. A method , comprising:identifying a contamination region of a collector in a light source;positioning a subset of a plurality of movable light-blocking elements around a periphery of a circular aperture of the light source to compensate for the contamination region; andtransmitting light from the light source through the circular aperture.2. The method of claim 1 , wherein the light source comprises a laser-driven plasma source claim 1 , and the contamination region includes a metal deposited on the collector by the laser-driven plasma source.3. The method of claim 2 , wherein the metal comprises tin.4. The method of claim 1 , wherein each of the plurality of movable light-blocking elements has a triangular shape.5. The method of claim 1 , wherein positioning the subset of the plurality of movable light-blocking elements comprises moving a selected movable light-blocking element in the subset along a radial axis.6. The method of claim 1 , wherein positioning the subset of the plurality of movable light-blocking elements comprises rotating a selected movable light-blocking element in the subset about an axis proximate an end thereof.7. The method of claim 1 , wherein transmitting light from the light source comprises transmitting light having a wavelength shorter than 124 nm.8. The method of claim 1 , further comprising:capturing an image of the collector;generating a contamination map of the collector based on the image; andidentifying the contamination region based on the contamination map.9. The method of claim 1 , wherein positioning the subset of a plurality of movable light-blocking elements comprises positioning the subset ...

Подробнее
17-01-2019 дата публикации

LASER-DRIVEN LIGHT SOURCE DEVICE

Номер: US20190021158A1
Автор: NOZAKI Shinichiro
Принадлежит: USHIO DENKI KABUSHIKI KAISHA

A laser-driven light source device includes a laser oscillation unit configured to emit laser light, and a plasma vessel configured to contain and seal a discharge medium therein. The laser-driven light source device also includes an optical system configured to condense the laser light emitted from the laser oscillation unit, and direct the laser light to an inside of the plasma vessel to generate a plasma. The laser oscillation unit includes a control unit configured to perform an on/off control on the generation of the laser light to modulate an output of the laser light such that the laser light is generated during an on-time of several μsec to several msec and the laser light is not generated during an off-time. The off-time is decided such that the plasma in the plasma vessel does not disappear. 1. A laser-driven light source device comprising:a laser oscillation unit configured to emit laser light;a plasma vessel configured to contain and seal a discharge medium therein; and,an optical system configured to condense the laser light emitted from the laser oscillation unit, and direct the laser light to an inside of the plasma vessel to generate a plasma,the laser oscillation unit including a control unit configured to perform an on/off control on generation of the laser light to modulate an output of the laser light such that the laser light is generated during an on-time of several μsec to several msec and the laser light is not generated during an off-time, the off-time being decided to avoid disappearing of the plasma in the plasma vessel.2. The laser-driven light source device according to claim 1 , wherein the laser oscillation unit includes:a laser resonator that contains a laser medium therein;a pumping device configured to excite the laser medium; andan electricity feeding device configured to feed a power to the pumping device, andthe control unit is configured to perform the on/off control on the electricity feeding device such that the on-time ...

Подробнее
17-01-2019 дата публикации

EXTREME ULTRAVIOLET LIGHT SENSOR UNIT AND EXTREME ULTRAVIOLET LIGHT GENERATION DEVICE

Номер: US20190021159A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light sensor unit according to one aspect of the present disclosure includes a mirror configured to reflect extreme ultraviolet light, a filter configured to transmit the extreme ultraviolet light reflected by the mirror, an optical sensor configured to detect the extreme ultraviolet light having passed through the filter, a purge gas supply unit disposed to supply purge gas to a space between the mirror and the filter, and a pipe part configured to allow plasma light including the extreme ultraviolet light entering from an opening to pass therethrough toward the mirror and allow the purge gas flowing to the space between the mirror and the filter to flow out of the opening. 1. An extreme ultraviolet light sensor unit comprising:a mirror configured to reflect extreme ultraviolet light;a filter configured to transmit the extreme ultraviolet light reflected by the mirror;an optical sensor configured to detect the extreme ultraviolet light having passed through the filter;a purge gas supply unit disposed to supply purge gas to a space between the mirror and the filter; anda pipe part configured to allow plasma light and the purge gas to pass therethrough, the plasma light including the extreme ultraviolet light to be made incident on the mirror, the purge gas supplied from the purge gas supply unit, the pipe part having an opening serving as a light entry port of the plasma light, the pipe part allowing the plasma light entering from the opening to pass therethrough toward the mirror and allowing the purge gas flowing to the space between the mirror and the filter to flow out of the opening.2. The extreme ultraviolet light sensor unit according to claim 1 , whereinthe purge gas supply unit has a gas outflow port from which the purge gas is ejected toward the space between the mirror and the filter.3. The extreme ultraviolet light sensor unit according to claim 1 , whereinthe gas outflow port of the purge gas supply unit is open to the mirror.4. ...

Подробнее
21-01-2021 дата публикации

High Efficiency Laser-Sustained Plasma Light Source

Номер: US20210022233A1
Принадлежит:

A system for generating laser sustained broadband light includes a pump source configured to generate a pumping beam, a gas containment structure for containing a gas and a multi-pass optical assembly. The multi-pass optical assembly includes one or more optical elements configured to perform a plurality of passes of the pumping beam through a portion of the gas to sustain a broadband-light-emitting plasma. The one or more optical elements are arranged to collect an unabsorbed portion of the pumping beam transmitted through the plasma and direct the collected unabsorbed portion of the pumping beam back into the portion of the gas. 1. A system comprising:pump source configured to generate a pumping beam;a gas containment structure for containing a gas; andan optical assembly, wherein the optical sub-system includes one or more optical elements configured to perform one or more passes of the pumping beam through a portion of the gas to sustain a broadband-light-emitting plasma; anda collection assembly including one or more collection optics, wherein the one or more collection optics are configured to direct at least a portion of broadband radiation emitted by the plasma to one or more downstream optical elements, wherein the one or more collection optical elements are configured to collect and direct at least a portion of broadband radiation emitted by the plasma back to the plasma.2. The system of claim 1 , wherein the collection assembly is further configured to combine two or more images of the plasma.3. The system of claim 2 , wherein the collection assembly is further configured to combine two or more images of the plasma such that an output portion of the broadband radiation has a brightness larger than a brightness of a single image of the plasma.4. A system comprising:an illumination source configured to generate a pumping beam;a reflector assembly configured to contain a gas, wherein the reflector assembly includes one or more entrance apertures for ...

Подробнее
28-01-2016 дата публикации

Radiation Collector, Radiation Source and Lithographic Apparatus

Номер: US20160026091A1
Принадлежит:

A radiation collector comprising a first collector segment comprising a plurality of grazing incidence reflector shells configured to direct radiation to converge in a first location at a distance from the radiation collector, a second collector segment comprising a plurality of grazing incidence reflector shells configured to direct radiation to converge in a second location at said distance from the radiation collector, wherein the first location and the second location are separated from one another. 1. A radiation collector comprising:a first collector segment comprising a plurality of grazing incidence reflector shells configured to direct radiation to substantially converge in a first location at a distance from the radiation collector; anda second collector segment comprising a plurality of grazing incidence reflector shells configured to direct radiation to substantially converge in a second location at said distance from the radiation collector;wherein the first location and the second location are separated from one another.2. The radiation collector of further comprising at least one additional collector segment claim 1 , wherein each additional collector segment comprises a plurality of grazing incidence reflector shells configured to direct radiation to substantially converge in a location at said distance from the radiation collector claim 1 , wherein the location of each additional collector segment is separate from the respective locations of the other collector segments.3. The radiation collector of claim 1 , wherein the collector segments are disposed around an optical axis of the radiation collector.4. The radiation collector of claim 3 , wherein each collector segment comprises a respective angular portion of the radiation collector.5. The radiation collector of claim 3 , wherein the radiation collector extends substantially circumferentially around the optical axis.6. The radiation collector according to claim 1 , wherein the radiation directed ...

Подробнее
24-04-2014 дата публикации

SYSTEMS AND METHODS FOR OPTICS CLEANING IN AN EUV LIGHT SOURCE

Номер: US20140110609A1
Принадлежит: CYMER, LLC

An extreme-ultraviolet (EUV) light source is described herein comprising an optic; a primary EUV light radiator generating an EUV light emitting plasma and producing a deposit on said optic; and a cleaning system comprising a gas and a secondary light radiator, the secondary light radiator generating a laser produced plasma and producing a cleaning species with the gas. 1. An extreme-ultraviolet (EUV) light source comprising;an optic;a primary EUV light radiator generating an EUV light emitting plasma and producing a deposit on said optic; anda cleaning system comprising a gas and a secondary light radiator, said secondary light radiator generating a laser produced plasma and producing a cleaning species with the gas.2. A method comprising the steps of:providing an optic;generating an EUV light emitting plasma for substrate exposure during a burst period, the EUV light emitting plasma producing a deposit on said optic; andproviding a gas and generating a laser produced plasma to produce a cleaning species with the gas during an intervening period. The present application is a continuation of U.S. patent application Ser. No. 13/088,166, filed on Apr. 15, 2011, entitled “SYSTEMS AND METHODS FOR OPTICS CLEANING IN AN EUV LIGHT SOURCE”, Attorney Docket No. 2010-0007-02, the entire contents of which is incorporated by reference herein.This application also claims priority to U.S. Provisional Patent Application Ser. No. 61/464,278, filed on Mar. 2, 2011, entitled “SYSTEMS AND METHODS FOR OPTICS CLEANING IN AN EUV LIGHT SOURCE”, Attorney Docket No. 2010-0007-01, the entire contents of which are hereby incorporated by reference herein.The present application relates to extreme ultraviolet (“EUV”) light sources and their methods of operation. These light sources provide EUV light by creating plasma from a source material. In one application, the EUV light may be collected and used in a photolithography process to produce semiconductor integrated circuits.A patterned beam of ...

Подробнее
29-01-2015 дата публикации

LASER APPARATUS

Номер: US20150028231A1
Принадлежит:

A laser apparatus may include a master oscillator configured to output a laser beam, at least one amplifier provided in a beam path of the laser beam, at least one saturable absorber gas cell provided downstream from the at least one amplifier and configured to contain a saturable absorber gas for absorbing a part of the laser beam, the part of the laser beam having a beam intensity equal to or lower than a predetermined beam intensity, a fan provided in the saturable absorber gas cell and configured to cause the saturable absorber gas to circulate, and a heat exchanger provided in the saturable absorber gas cell and configured to cool the saturable absorber gas. 1. A laser apparatus , comprising:a master oscillator configured to output a laser beam;at least one amplifier provided in a beam path of the laser beam;at least one saturable absorber gas cell provided downstream from the at least one amplifier and configured to contain a saturable absorber gas for absorbing a part of the laser beam, the part of the laser beam having a beam intensity equal to or lower than a predetermined beam intensity;a fan provided in the saturable absorber gas cell and configured to cause the saturable absorber gas to circulate; anda heat exchanger provided in the saturable absorber gas cell and configured to cool the saturable absorber gas.2. The laser apparatus according to claim 1 , wherein the fan is provided such that a rotation shaft thereof is substantially parallel to a beam path of the laser beam and such that a circulation flow of the saturable absorber gas generated by the fan is contained in the beam path of the laser beam.3. The laser apparatus according to claim 2 , wherein the heat exchanger extends substantially parallel to the beam path of the laser beam and is arranged in the circulation flow of the saturable absorber gas generated by the fan.4. The laser apparatus according to claim 3 , wherein the fan is a cross flow fan.5. The laser apparatus according to claim 1 , ...

Подробнее
24-04-2014 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20140111635A1
Принадлежит: Gigaphoton Inc.

In an extreme ultraviolet light generation apparatus, a target detection section may include a light source, a transfer optical system, an image sensor configured to output image data of an image that has been formed by irradiating a target outputted from a target supply device with light outputted from the light source on a light-receiving unit of the image sensor by the transfer optical system, and a processing unit, connected to the image sensor, configured to receive the image data, obtain a first optical intensity distribution along a first line that intersects with a trajectory of the target and a second optical intensity distribution along a second line that intersects with the trajectory, calculate a center of gravity position in the first optical intensity distribution and a center of gravity position in the second optical intensity distribution, and calculate an actual path of the target based on the calculated positions. 1. An extreme ultraviolet light generation apparatus that irradiates a target with a pulse laser beam at a plasma generation region , the apparatus comprising:a chamber provided with a through-hole;a conducting optical system configured to conduct the pulse laser beam to the plasma generation region in the chamber via the through-hole;a target supply device configured to output the target toward the plasma generation region; anda target detection section, the target detection section including:a light source;a transfer optical system;an image sensor configured to output image data of an image that has been formed by irradiating the target outputted from the target supply device with light outputted from the light source and that has then been formed on a light-receiving unit of the image sensor transferred by the transfer optical system; anda processing unit, connected to the image sensor, configured to receive the outputted image data, obtain a first optical intensity distribution along a first line that intersects with a trajectory of ...

Подробнее
26-01-2017 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM

Номер: US20170027047A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light (EUV) generation system is configured to improve conversion efficiency of energy of a laser system to EUV energy by improving the efficiency of plasma generation. The EUV generation system includes a target generation unit configured to output a target toward a plasma generation region in a chamber. The laser system is configured to generate a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam so that the target is irradiated with the first pre-pulse laser beam, the second pre-pulse laser beam, and the main pulse laser beam in this order. In addition, the EUV generation system includes a controller configured to control the laser system so that a fluence of the second pre-pulse laser beam is equal to or higher than 1 J/cmand equal to or lower than a fluence of the main pulse laser beam. 1. An extreme ultraviolet light generation system comprising:a chamber;a target generation unit configured to output a target toward a plasma generation region in the chamber;a laser system configured to generate a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam so that the target is irradiated with the first pre-pulse laser beam, the second pre-pulse laser beam, and the main pulse laser beam in this order; anda controller configured to control the laser system so that time lag between a timing of irradiation with the first pre-pulse laser beam and a timing of irradiation with the main pulse laser beam is 0.5 μs or longer and 1.6 μs or shorter, and that time lag between a timing of irradiation with the second pre-pulse laser beam and the timing of irradiation with the main pulse laser beam is 0.03 μs or longer and 0.37 μs or shorter.2. The extreme ultraviolet light generation system according to claim 1 , wherein the controller is configured to control the laser system so that the time lag between the timing of irradiation with the first pre-pulse laser beam and the timing of ...

Подробнее
28-01-2016 дата публикации

EUV LIGHT SOURCE APPARATUS

Номер: US20160029470A1
Принадлежит:

A target supply apparatus includes a tank for storing a liquid target material, a nozzle for outputting the liquid target material in the tank, and a gas supply source for supplying gas into the tank, and controls a gas pressure inside the tank with a pressure of the gas supplied from the gas supply source which is provided with a pressure regulator. The target supply apparatus also includes a pressure-decrease gas passage of which one end is connected to the tank and the other end forms an exhaust port, a pressure-decrease valve provided on the pressure-decrease gas passage, and a controller for controlling open/close of the pressure-decrease valve. The controller, when the target material is caused not to output from the nozzle, opens the pressure-decrease valve and decreases the pressure inside the tank. 1. An extreme ultraviolet light source apparatus comprising: a tank for storing a liquid target material;', 'a nozzle for outputting the liquid target material in the tank; and', 'a gas supply source for supplying gas into the tank, and the target supply apparatus controlling a gas pressure inside the tank with a pressure of the gas supplied from the gas supply source provided with a pressure regulator;, 'a target supply apparatus includinga chamber in which an extreme ultraviolet light is generated, by irradiating the liquid target material outputted from the nozzle with a laser beam;a collector mirror provided in the chamber and configured to collect the generated extreme ultraviolet light;a first gas passage connected to the tank, the gas from the tank being exhausted through the first gas passage;a first valve provided on the first gas passage;a second gas passage connected to the first gas passage between the tank and the first valve;a second valve provided on the second gas passage; anda controller configured to control open/close of the first valve and the second value, wherein the controller closes the first valve and opens the second valve such that the ...

Подробнее
28-01-2016 дата публикации

TARGET FOR EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: US20160029471A1
Принадлежит:

Techniques for forming a target and for producing extreme ultraviolet light include releasing an initial target material toward a target location, the target material including a material that emits extreme ultraviolet (EUV) light when converted to plasma; directing a first amplified light beam toward the initial target material, the first amplified light beam having an energy sufficient to form a collection of pieces of target material from the initial target material, each of the pieces being smaller than the initial target material and being spatially distributed throughout a hemisphere shaped volume; and directing a second amplified light beam toward the collection of pieces to convert the pieces of target material to plasma that emits EUV light. 1. (canceled)2. An extreme ultraviolet (EUV) light source comprising:a solid state laser configured to produce pulses of radiation, the pulses of radiation produced by the solid state laser comprising at least a first pulse of radiation;a second optical source configured to produce pulses of radiation, the pulses of radiation produced by the second optical source comprising at least a second pulse of radiation, the second pulse of radiation having a greater intensity than the first pulse of radiation;a vacuum chamber configured to receive a target material in an interior of the vacuum chamber, the target material comprising a material that emits EUV light when converted to plasma; andan optical element configured to direct the first pulse of radiation and the second pulse of radiation toward the interior of the vacuum chamber to, respectively, a first location in the interior of the vacuum chamber and a second, different location in the interior of the vacuum chamber, the first and second locations in the interior of the vacuum chamber being along a direction that is different from a direction of propagation of the first pulse of radiation and the second pulse of radiation in the interior of the vacuum chamber.3. The ...

Подробнее
23-01-2020 дата публикации

Euv radiation modification methods and systems

Номер: US20200026179A1

A method and system for generating EUV light includes providing a laser beam having a Gaussian distribution. This laser beam can be then modified from a Gaussian distribution to a ring-like distribution. The modified laser beam is provided through an aperture in a collector and interfaces with a moving droplet target, which generates an extreme ultraviolet (EUV) wavelength light. The generated EUV wavelength light is provided to the collector away from the aperture. In some embodiments, a mask element may also be used to modify the laser beam to a shape.

Подробнее
28-01-2021 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20210026254A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation system includes: a chamber; a target generation unit; a laser system configured to output a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam so that fluence of the first pre-pulse laser beam is 1.5 J/cmto 16 J/cminclusive at a position where a target is irradiated with the first pre-pulse laser beam; and a control unit configured to control the laser system so that a first delay time from a timing of irradiation of the target with the first pre-pulse laser beam to a timing of irradiation with the second pre-pulse laser beam and a second delay time from the timing of irradiation of the target with the second pre-pulse laser beam to a timing of irradiation with the main pulse laser beam have a following relation: 1. An extreme ultraviolet light generation system comprising:a chamber;a target generation unit configured to output a target toward a predetermined region in the chamber;{'sup': 2', '2, 'a laser system configured to output a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam to irradiate the target with the first pre-pulse laser beam, the second pre-pulse laser beam, and the main pulse laser beam in this order so that fluence of the first pre-pulse laser beam is 1.5 J/cmto 16 J/cminclusive at a position where the target is irradiated with the first pre-pulse laser beam; and'} {'br': None, 'the first delay time Подробнее

25-01-2018 дата публикации

APPARATUS FOR AND METHOD OF SOURCE MATERIAL DELIVERY IN A LASER PRODUCED PLASMA EUV LIGHT SOURCE

Номер: US20180027642A1
Принадлежит:

A device and method are disclosed in which a source material delivery system can be reoriented so that the path of the source material is not directly towards an irradiation region in operating conditions in which the path is expected to be unpredictable. A shroud provided to protect the flow of source material from being disrupted is segmented so with one part of the shroud being movable with respect to another part of the shroud so that the movable part can avoid interfering with the path of the source material when it is not directly towards the irradiation region. 1. A shroud for use in a system for generating radiation , the shroud having a first portion and a second portion which is movable with respect to the first portion between a first position in which the second portion engages the first portion to form a seal between the first portion and the second portion and a second position in which the second portion is at least partially spaced away from the first portion.2. A device comprising:a chamber;a source material delivery system configured to deliver source material to within the chamber; anda shroud arranged between the source material delivery system and an irradiation region within the chamber, the shroud having a first portion and a second portion which is movable with respect to the first portion between a first position in which the second portion engages the first portion to form a seal between the first portion and the second portion and a second position in which the second portion is at least partially spaced away from the first portion.3. A device as claimed in wherein the second portion is mechanically coupled with the source material delivery system so that the second portion moves with the source material delivery system.4. A device comprising:a chamber;a collector mirror arranged in the chamber;a source material delivery system configured to deliver source material to within the chamber, the source material delivery system having a first ...

Подробнее
28-01-2021 дата публикации

EUV CHAMBER APPARATUS, EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM, AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20210029811A1
Принадлежит: Gigaphoton Inc.

An EUV chamber apparatus includes: a chamber; a target generation unit configured to output a target toward a predetermined region inside the chamber; a gas nozzle through which gas is supplied into the chamber; and a shroud including a first flow path through which a first cooling medium circulates and surrounding at least part of the trajectory of the target inside the chamber. 1. An EUV chamber apparatus comprising:a chamber;a target generation unit configured to output a target toward a predetermined region inside the chamber;a gas nozzle through which gas is supplied into the chamber; anda shroud including a first flow path through which a first cooling medium circulates and surrounding at least part of a trajectory of the target inside the chamber.2. The EUV chamber apparatus according to claim 1 , wherein the gas contains hydrogen gas.3. The EUV chamber apparatus according to claim 1 , further comprising a heat shield disposed between the predetermined region and the chamber inside the chamber claim 1 , wherein the shroud is fixed to the heat shield.4. The EUV chamber apparatus according to claim 3 , wherein the heat shield includes a through-hole through which the shroud penetrates claim 3 , part of the shroud is positioned outside the heat shield and fixed to an outer surface of the heat shield claim 3 , and another part of the shroud is positioned inside the heat shield.5. The EUV chamber apparatus according to claim 1 , further comprising:a temperature sensor configured to measure a temperature of the shroud;a first temperature adjustment device configured to adjust a temperature of the first cooling medium; anda control unit configured to control the first temperature adjustment device based on an output from the temperature sensor.6. The EUV chamber apparatus according to claim 1 , wherein the shroud is maintained at a temperature lower than 40° C.7. The EUV chamber apparatus according to claim 1 , wherein the shroud is maintained at a temperature lower ...

Подробнее
05-02-2015 дата публикации

Method and System for Controlling Convection within a Plasma Cell

Номер: US20150034838A1
Принадлежит: KLA Tencor Corp

A plasma cell for controlling convection includes a transmission element configured to receive illumination from an illumination source in order to generate a plasma within a plasma generation region of the volume of gas. The plasma cell also includes a top flow control element disposed above the plasma generation, which includes an internal channel configured to direct a plume of the plasma upward, and a bottom flow control element disposed below the plasma generation region, which includes an internal channel configured to direct gas upward toward the plasma generation region. The top flow control element and the bottom flow control element are arranged within the transmission element to form one or more gas return channels for transferring gas from a region above the plasma generation region to a region below the plasma generation region.

Подробнее
05-02-2015 дата публикации

SYSTEM AND METHOD FOR REDUCING CONTAMINATION IN EXTREME ULTRAVIOLET LITHOGRAPHY LIGHT SOURCE

Номер: US20150034844A1
Автор: SHU EMILY

Various embodiments provide systems and methods for extreme ultraviolet (EUV) lithography light source. An exemplary system can include a laser radiation apparatus configured to provide laser radiation. The system can further include an EUV light excitation source material configured to receive the laser radiation to generate an EUV light. The laser radiation can generate droplets from the EUV light excitation source material. The system can further include a collector configured to collect the EUV light. The collector can include a plurality of reflective mirrors surrounding the EUV light excitation source material. The plurality of reflective mirrors can be movable. The collector can further include a mirror control system synchronized with the laser radiation apparatus and configured to set the plurality of reflective mirrors to be in one of a reflective state for reflecting the EUV light and a non-reflective state for preventing contamination by the droplets. 1. A system for extreme ultraviolet (EUV) lithography light source , comprising:a pulsed laser radiation apparatus configured to provide laser radiation;an EUV light excitation source material configured to receive the laser radiation to generate an EUV light, wherein the laser radiation generates droplets from the EUV light excitation source material; 'a plurality of reflective mirrors surrounding the EUV light excitation source material, wherein the plurality of reflective mirrors are movable; and', 'a collector configured to collect the EUV light, comprisinga mirror control system synchronized with the pulsed laser radiation apparatus and configured to set the plurality of reflective mirrors to be in a reflective state for reflecting the EUV light and a non-reflective state for preventing contamination by the droplets.2. The system according to claim 1 , wherein:when the plurality of reflective mirrors are in the reflective state, the plurality of reflective mirrors are configured to reflect the EUV ...

Подробнее
05-02-2015 дата публикации

Euvl light source system and method

Номер: US20150034845A1
Автор: Emily Yixie Shu

EUVL light source systems and methods are provided. A laser or a high-voltage-discharge device is used to excite EUV light source material to generate EUV light along with droplets flying out of the EUV light source material. A collector is positioned to guide the EUV light into a desired direction. A cooling assembly is configured to wrap around the collector along the EUV light in the desired direction. At least a first portion of the plurality of molten droplets reaches and condenses on a surface of the cooling assembly.

Подробнее
01-02-2018 дата публикации

LASER APPARATUS AND EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20180034228A1
Принадлежит: Mitsubishi Electric Corporation

Kinematic mounts have three points having zero degree of freedom, one degree of freedom, and two degrees of freedom, respectively. As viewed from a direction perpendicular to a plane containing the three points, an extension of an entrance optical axis of a laser beam to an amplifying apparatus or an extension of an exit optical axis from the amplifying apparatus is oriented to the point with the zero degree of freedom. A translational direction of the point with the one degree of freedom is oriented to the point with the zero degree of freedom. One of the extension of the entrance optical axis and the extension of the exist optical axis passes on a side closer to the point with the two degrees of freedom with respect to a side of the point with the one degree of freedom. 1. A laser apparatus , comprising an amplifying apparatus mounted onto a base frame through intermediation of a kinematic mount ,wherein the kinematic mount contains three points having zero degree of freedom, one degree of freedom, and two degrees of freedom, respectively,wherein an extension of an entrance optical axis of a laser beam to the amplifying apparatus or an extension of an exit optical axis of the laser beam from the amplifying apparatus is oriented to a point with the zero degree of freedom as viewed from a direction perpendicular to a plane containing the three points,wherein a translational direction of a point with the one degree of freedom is oriented to the point with the zero degree of freedom, andwherein one of the extension of the entrance optical axis and the extension of the exit optical axis passes on a side closer to a point with the two degrees of freedom with respect to a side of the point with the one degree of freedom.2. A laser apparatus according to claim 1 , wherein one of the extension of the entrance optical axis and the extension of the exit optical axis further passes on the side closer to the one degree of freedom with respect to the side of the two degrees of ...

Подробнее
01-02-2018 дата публикации

Radiation Source

Номер: US20180034235A1
Принадлежит: ASML Netherlands BV

A laser system comprises a seed module ( 33 ) operable to emit a pulse of a first laser beam followed by a pulse of a second laser beam and a plurality of amplification chambers each comprising a gain medium having a gain, wherein the plurality of amplification chambers are arranged to receive the pulse of the first laser beam ( 45 ) and amplify the first laser beam in a second order (PA 3 , PA 2 , PA 1 , PA 0 ) and wherein the plurality of amplification chambers are further arranged to receive the pulse of the second laser beam ( 41 ) and amplify the second laser beam in a first order (PA 0 , PA 1 , PA 2 , PA 3 ) which is the reverse of the second order. Saturation powers and small signal gain coefficients of the gain media are selected such that the pulse of the first laser beam experiences a total amplification which is less than the total amplification experienced by the pulse of the second laser beam.

Подробнее
30-01-2020 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION DEVICE

Номер: US20200033739A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation device according to an aspect of the present disclosure includes: a chamber in which tin is irradiated with a laser beam to generate extreme ultraviolet light; a hydrogen gas supply path that connects the chamber and a hydrogen-gas output unit of a hydrogen gas supply device as a supply source of hydrogen gas to be supplied into the chamber, receives supply of the hydrogen gas from the hydrogen gas supply device, and supplies, to the chamber, the hydrogen gas supplied from the hydrogen gas supply device; a temperature adjustment unit connected with the hydrogen gas supply path and configured to adjust the temperature of the hydrogen gas to be equal to or lower than 16° C.; and a gas discharge unit connected with the chamber and configured to discharge gas including at least hydrogen gas inside the chamber to outside of the chamber. 1. An extreme ultraviolet light generation device comprising:a chamber in which tin is irradiated with a laser beam to generate extreme ultraviolet light;a hydrogen gas supply path that connects the chamber and a hydrogen-gas output unit of a hydrogen gas supply device as a supply source of hydrogen gas to be supplied into the chamber, receives supply of the hydrogen gas from the hydrogen gas supply device, and supplies, to the chamber, the hydrogen gas supplied from the hydrogen gas supply device;a temperature adjustment unit connected with the hydrogen gas supply path and configured to adjust the temperature of the hydrogen gas to be equal to or lower than 16° C.; anda gas discharge unit connected with the chamber and configured to discharge gas including at least hydrogen gas inside the chamber to outside of the chamber.2. The extreme ultraviolet light generation device according to claim 1 , wherein the hydrogen gas supplied from the hydrogen gas supply device does not include the hydrogen gas discharged from the gas discharge unit.3. The extreme ultraviolet light generation device according to ...

Подробнее
04-02-2016 дата публикации

EXTREME UV LIGHT GENERATION APPARATUS

Номер: US20160037616A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation apparatus includes a target supplier configured to output a target into a chamber as a droplet, the target generating extreme ultraviolet light when being irradiated with a laser beam in the chamber; a droplet measurement unit configured to measure a parameter for a state of the droplet outputted into the chamber; a pressure regulator configured to regulate a pressure in the target supplier in which the target is accommodated; and a target generation controller configured to control the pressure regulator, based on the parameter measured by the droplet measurement unit. 1. An extreme ultraviolet light generation apparatus comprising:a target supplier configured to output a target into a chamber as a droplet, the target generating extreme ultraviolet light when being irradiated with a laser beam in the chamber;a droplet measurement unit configured to measure a predetermined measured number or passing number of parameters for a state of the droplet outputted into the chamber;a pressure regulator configured to regulate a pressure in the target supplier in which the target is accommodated; anda target generation controller configured to control the pressure regulator, based on an average value of the predetermined measured number or passing number of parameters measured by the droplet measurement unit.2. The extreme ultraviolet light generation apparatus according to claim 1 , wherein the droplet measurement unit includes:an imaging part configured to image droplets sequentially outputted into the chamber; anda parameter calculating part configured to calculate the parameter, based on image data of the droplets captured by the imaging part.3. The extreme ultraviolet light generation apparatus according to claim 2 , wherein the target generation controller forms the droplets such that the droplets are outputted into the chamber at a predetermined frequency.4. The extreme ultraviolet light generation apparatus according to claim 3 , ...

Подробнее
04-02-2021 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: US20210033981A1
Автор: TAKASHIMA Yuta
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation apparatus may include: a chamber device including an internal space; a target supply unit disposed at the chamber device and configured to supply a droplet of a target substance to the internal space; a target collection unit disposed at the chamber device, communicated with the internal space through an opening provided to an inner wall of the chamber device, and configured to collect the droplet passing through the opening; a detection unit disposed at the chamber device and configured to detect the target substance accumulating in the vicinity of the opening of the inner wall; and a control unit configured to stop the target supply unit depending on a result of the detection by the detection unit. 1. An extreme ultraviolet light generation apparatus comprising:a chamber device including an internal space;a target supply unit disposed at the chamber device and configured to supply a droplet of a target substance to the internal space;a target collection unit disposed at the chamber device, communicated with the internal space through an opening provided to an inner wall of the chamber device, and configured to collect the droplet passing through the opening;a detection unit disposed at the chamber device and configured to detect the target substance accumulating in a vicinity of the opening of the inner wall; anda control unit configured to stop the target supply unit depending on a result of the detection by the detection unit.2. The extreme ultraviolet light generation apparatus according to claim 1 , further comprising a gas supply inlet through which gas is supplied to the internal space claim 1 , wherein the detection unit detects the target substance accumulating in the vicinity of the opening on a side opposite to the gas supply inlet with respect to a trajectory of the droplet traveling from the target supply unit to the target collection unit in a plan view of the inner wall along the trajectory.3. The extreme ...

Подробнее
17-02-2022 дата публикации

BROADBAND LASER-PUMPED PLASMA LIGHT SOURCE

Номер: US20220053627A1
Принадлежит:

A light source with radiating plasma sustained in the gas-filled chamber by a focused beam of CW laser. The gas is inert gas with a purity of at least 99.99%. The chamber contains a metal housing with at least one window made of MgFfor outputting a plasma radiation. Each window is located in a hole of the housing on the end of a sleeve and is soldered to the sleeve by means of glass cement, and each sleeve is welded to the hole of the metal housing on the outside seam. The sleeves and the housing are made of an alloy with a coefficient of linear thermal expansion (CLTE), matched with the CLTE of the MgFcrystal in the direction perpendicular to the optical axis of the MgFcrystal. The technical result consists in expanding the radiation spectrum of the light source into the VUV region. 1. A laser-pumped plasma light source , comprising: a chamber filled with a high-pressure gas , a means for plasma ignition , a region of radiating plasma sustained in the chamber by a focused beam of a continuous wave (CW) laser; at least one beam of plasma radiation exiting the chamber that contains a metal housing with a window for introducing into the chamber a beam of the CW laser and with at least one window for outputting a beam of plasma radiation from the chamber , whereinthe beam of the CW laser is focused by a lens installed in the chamber between the window and the region of radiating plasma,the gas belongs to inert gases with a purity of at least 99.99% or is a mixture thereof,{'sub': '2', '#text': 'at least one window for outputting the beam of plasma radiation is made of crystalline magnesium fluoride (MgF),'}each window is located on an inner side of the chamber on an end of a sleeve closest to the region of radiating plasma, the sleeve located in a hole of the housing,each window is soldered to the sleeve by means of glass cement and the sleeve with the window soldered to it is welded to the hole of the metal housing.2. The light source according to claim 1 , wherein a ...

Подробнее
12-02-2015 дата публикации

System and Method for Return Beam Metrology with Optical Switch

Номер: US20150041659A1
Принадлежит: CYMER, LLC

Extreme ultraviolet light (EUV) is produced in a laser-produced plasma (LPP) EUV light source when laser light strikes a target. Measuring reflected light from the target by a Return Beam Diagnostics (RBD) module provides data on EUV production, including but not limited to target position, target focus, target shape, and target profile. In a RBD module, a controller sequences an optical switch to direct the reflected light between a blocking element and a sensing device, providing greater flexibility in measuring the reflected light during different aspects of the EUV generation process, such as different power levels and duty cycles of the laser light striking the target. 1. A return beam diagnostics system for measuring light reflected from a target in a laser produced plasma extreme ultraviolet light source , comprising: the optical switch configured to have a first state directing the received reflected light from the optical switch on a first optical output path,', 'the optical switch configured to have a second state directing the received reflected light from the optical switch on a second optical output path;, 'an optical switch located on an optical input path that receives the light reflected from the target when the target is illuminated by a laser source,'}a light blocking element located on the first optical output path;a sensing device located on the second optical output path, the sensing device configured to measure the received reflected light; and changing from the first state to the second state, thereby directing the received reflected light from the optical switch along the second optical output path to the sensing device for measurement, and', 'changing from the second state to the first state after a first predetermined period of time,, 'a controller configured to respond to a first laser source firing signal by directing the optical switch to perform a measurement sequence ofthe controller further configured to respond to a second laser ...

Подробнее
31-01-2019 дата публикации

High Power Broadband Illumination Source

Номер: US20190037676A1
Автор: Bezel Ilya, Khodykin Oleg
Принадлежит:

A system for generating broadband radiation is disclosed. The system includes a target material source configured to deliver one or more of a liquid or solid state target material to a plasma-forming region of a chamber. The system further includes a pump source configured to generate pump radiation to excite the target material in the plasma forming region of the chamber to generate broadband radiation. The system is further configured to transmit at least a portion of the broadband radiation generated in the plasma-forming region of the chamber out of the chamber through a windowless aperture. 1. An apparatus comprising:a chamber configured to contain a volume of buffer gas;a target material source positioned on a first side of the chamber;a debris collector positioned on a second side of the chamber opposite the target material source,wherein the target material source is configured to deliver a stream of target material through a plasma-forming region of the chamber, wherein the debris collector is configured to collect target material;a pump source configured to deliver pump radiation to the plasma-forming region of the chamber, wherein the pump radiation is sufficient to generate broadband radiation via formation of a plasma by excitation of the target material within the plasma-forming region of the chamber;one or more focusing optical elements configured to focus the pump radiation into the plasma-forming region; andone or more reflective collection optical elements configured to collect a portion of the broadband radiation from the plasma and deliver the portion of the broadband radiation to one or more optical elements external to the chamber through an aperture in a wall of the chamber.2. The apparatus of claim 1 , wherein the broadband radiation comprises: at least one of vacuum ultraviolet (VUV) or deep ultraviolet (DUV) radiation.3. The apparatus of claim 1 , wherein the pump source claim 1 , the one or more focusing optical elements and the reflective ...

Подробнее
31-01-2019 дата публикации

SYSTEM AND METHOD FOR GENERATING EXTREME ULTRAVIOLET LIGHT

Номер: US20190037677A1
Принадлежит: Gigaphoton Inc.

A system includes a chamber, a laser beam apparatus configured to generate a laser beam to be introduced into the chamber, a laser controller for the laser beam apparatus to control at least a beam intensity and an output timing of the laser beam, and a target supply unit configured to supply a target material into the chamber, the target material being irradiated with the laser beam for generating extreme ultraviolet light. 1. An extreme ultraviolet light generation system configured to irradiate a target with a first pulse laser beam and a second pulse laser beam to turn the target into plasma thereby generating extreme ultraviolet light , comprising:a chamber having at least one aperture configured to introduce the first pulse laser beam and the second pulse laser beam;a target supply unit configured to supply the target to a predetermined region in the chamber;a first laser apparatus configured to output the first pulse laser beam with which the target in the chamber is irradiated so as to make the target be diffused in a shape having a first length in a direction in which the first pulse laser beam travels and a second length in a direction perpendicular to the direction in which the first pulse laser beam travels, the first length being shorter than the second length; anda second laser apparatus configured to output the second pulse laser beam with which the target which has been irradiated with the first pulse laser beam is further irradiated.2. The extreme ultraviolet light generation system according to claim 1 , wherein a fluence of the second pulse laser beam is 150 J/cmor higher and 300 J/cmor lower.3. The extreme ultraviolet light generation system according to claim 1 , wherein the target is supplied in the form of a droplet.4. The extreme ultraviolet light generation system according to claim 3 , wherein a diameter of the droplet is equal to or greater than 12 μm and equal to or smaller than 40 μm.5. The extreme ultraviolet light generation system ...

Подробнее
30-01-2020 дата публикации

EXTREME ULTRAVIOLET RADIATION SOURCE AND CLEANING METHOD THEREOF

Номер: US20200037427A1
Принадлежит:

An extreme ultraviolet radiation source is provided, including a vessel, an optical collector, and a gas scrubber. The vessel has a gas inlet and a gas outlet. The optical collector is disposed within the vessel and configured to collect and reflect extreme ultraviolet light produced in the vessel. A cleaning gas is introduced into the vessel through the gas inlet to clean the surface of the optical collector. The gas scrubber is disposed within the vessel, arranged such that the cleaning gas leaves the vessel through the gas outlet after flowing through the gas scrubber. The gas scrubber has a number of gas passages to allow the cleaning gas to flow through, and the size of the gas passage close to the gas outlet is smaller than the size of the gas passage away from the gas outlet. 1. An extreme ultraviolet radiation source , comprising:a vessel having a gas supply and a gas outlet;an optical collector disposed within the vessel and configured to collect and reflect extreme ultraviolet light produced in the vessel, wherein a cleaning gas is supplied from the gas supply to clean a surface of the optical collector; anda gas scrubber disposed within the vessel, arranged such that the cleaning gas leaves the vessel through the gas outlet after flowing through the gas scrubber;wherein the gas scrubber has a plurality of gas passages to allow the cleaning gas to flow through, and a size of the gas passage close to the gas outlet is smaller than a size of the gas passage away from the gas outlet.2. The extreme ultraviolet radiation source as claimed in claim 1 , wherein the gas scrubber is a ring structure claim 1 , comprising:an upper ring;a lower ring; anda plurality of ribs connected between the upper ring and the lower ring and distributed along a circumference of the ring structure, wherein the ribs form the gas passages therebetween.3. The extreme ultraviolet radiation source as claimed in claim 2 , wherein the ribs comprise a plurality of first ribs close to the ...

Подробнее
30-01-2020 дата публикации

SYSTEM, METHOD AND APPARATUS FOR TARGET MATERIAL DEBRIS CLEANING OF EUV VESSEL AND EUV COLLECTOR

Номер: US20200037428A1
Принадлежит:

A system and method of removing target material debris deposits simultaneously with generating EUV light includes generating hydrogen radicals in situ in the EUV vessel, proximate to the target material debris deposits and volatilizing the target material debris deposits and purging the volatilized target material debris deposits from the EUV vessel without the need of an oxygen containing species in the EUV vessel. 1. An extreme ultraviolet (EUV) light source comprising:an EUV vessel having an EUV purge gas inlet, the EUV purge gas inlet being configured to be coupled to a purge gas source for dispensing a quantity of purge gas into the EUV vessel;an EUV collector disposed in the EUV vessel, the EUV collector having a reflective surface;a target material source for dispensing a quantity of target material into the EUV vessel;at least one hydrogen source outlet disposed to cause hydrogen to flow across at least a portion of the reflective surface of the EUV collector; andat least one inductive coil arranged to generate hydrogen radicals in the hydrogen from the hydrogen source outlet, wherein during operation of the EUV light source the hydrogen radicals combine with at least a portion of a target material debris deposit disposed on the portion of the reflective surface of the EUV collector to generate a volatile compound; andan EUV vessel purge outlet for passing the volatile compound out of the EUV vessel.2. The EUV light source of claim 1 , further comprising a signal source claim 1 , wherein the at least one inductive coil is electrically connected to a signal source.3. The EUV light source of claim 1 , wherein the at least one inductive coil is arranged between the at least one hydrogen source outlet and a perimeter of the EUV collector.4. The EUV light source of claim 3 , wherein the at least one hydrogen source outlet comprises a first hydrogen source outlet and the least one inductive coil is arranged between the first hydrogen source outlet and a perimeter ...

Подробнее
30-01-2020 дата публикации

TARGET MEASURING APPARATUS AND EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20200037429A1
Автор: YABU Takayuki
Принадлежит: Gigaphoton Inc.

A target measuring apparatus includes: an image capturing unit configured to capture a picture image of a target output from a target supply unit; a moving unit configured to move at least a part of the image capturing unit; and a control unit configured to perform a first process of updating information on a coordinate system in the picture image based on an amount of movement of the image capturing unit by the moving unit, and a second process of measuring a parameter of the target based on the image captured by the image capturing unit and the information on the coordinate system. Updating the information on the coordinate system can calibrate a deviation of a measurement result of the target due to movement of the image capturing unit. 1. A target measuring apparatus comprising:an image capturing unit configured to capture a picture image of a target output from a target supply unit;a moving unit configured to move at least a part of the image capturing unit; anda control unit configured to perform a first process of updating information on a coordinate system in the picture image based on an amount of movement of the image capturing unit by the moving unit, and a second process of measuring a parameter of the target based on the picture image captured by the image capturing unit and the information on the coordinate system.2. The target measuring apparatus according to claim 1 , wherein the information on the coordinate system includes information on an origin.3. The target measuring apparatus according to claim 1 , wherein the parameter includes a position of the target at a predetermined timing.4. The target measuring apparatus according to claim 3 , wherein the control unit is configured to further perform a third process of controlling the moving unit so as to move at least the part of the image capturing unit.5. The target measuring apparatus according to claim 4 , wherein the control unit performs the third process when set information on the position of ...

Подробнее
12-02-2015 дата публикации

Laser system and extreme ultraviolet light generation system

Номер: US20150043599A1
Принадлежит: GIGAPHOTON INC

The laser system may include: a clock generator; a mode-locked laser device having an optical resonator; a controlling device capable of controlling resonator length of the optical resonator; a detector disposed in an optical path of the pulse laser beam, configured to detect the pulse laser beam and output a detection signal; a switching device disposed in the optical path of the pulse laser beam, capable of switching the pulse laser beam; and a controller, capable of controlling the controlling device based on the clock signal outputted by the clock generator and on the detection signal outputted by the detector, and capable of controlling the switching device based on the clock signal outputted by the clock generator and on a timing signal outputted by an external device.

Подробнее
04-02-2021 дата публикации

DROPLET GENERATOR, EUV LITHOGRAPHY DEVICE AND METHOD OF GENERATING A SERIES OF DROPLETS USING A DROPLET GENERATOR

Номер: US20210037634A1

A droplet generator includes a steering system, a reservoir, a nozzle, a first heater, a second heater and a third heater. The steering system is used for controlling a position of droplet release of the droplet generator. The reservoir is held on the steering system for storing tin. The nozzle is connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence. The first heater surrounds a peripheral surface of the nozzle in the first zone. The second heater surrounds a peripheral surface of the nozzle in the second zone. The third heater surrounds a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled. 1. A droplet generator , comprising:a steering system for controlling a position of droplet release of the droplet generator;a reservoir held on the steering system for storing tin;a nozzle connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence;a first heater surrounding a peripheral surface of the nozzle in the first zone;a second heater surrounding a peripheral surface of the nozzle in the second zone; anda third heater surrounding a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled.2. The droplet generator according to claim 1 , wherein the first heater claim 1 , the second heater and the third heater are heating coils.3. The droplet generator according to claim 1 , wherein the first zone of the nozzle includes a piezoelectric actuator claim 1 , the second zone of the nozzle includes a tin filter claim 1 , and the third zone of the nozzle includes a connection tube connected to the reservoir.4. The droplet generator according to claim 1 , wherein a ...

Подробнее
11-02-2016 дата публикации

SYSTEM AND METHOD TO REDUCE OSCILLATIONS IN EXTREME ULTRAVIOLET LIGHT GENERATION

Номер: US20160044772A1
Автор: Graham Matthew
Принадлежит:

A droplet generation system for use with a laser produced plasma (LPP) extreme ultraviolet (EUV) source plasma chamber is described. During EUV generation, oscillations can occur as a function of droplet time-of-flight within the plasma chamber. To reduce these oscillations, a droplet controller adjusts the rate at which droplets are generated which, in turn, dictates the droplet time-of-flight. The droplets are a result of coalescence of generated microdroplets such that the rate at which the droplets are generated is dictated by a frequency of a signal used to generate the microdroplets. This adjustment can be a modulation of a baseline droplet frequency. In some instances, the modulation function may be a sinusoid or implemented as a pseudo-random switch.

Подробнее
11-02-2016 дата публикации

TARGET GENERATION DEVICE AND EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20160044773A1
Принадлежит: Gigaphoton Inc.

A target supply device may include a reservoir configured to hold a target material in its interior in liquid form, a vibrating element configured to apply vibrations to the reservoir, a target sensor configured to detect droplets of the target material outputted from the reservoir, a control unit configured to set parameters based on a result of the detection performed by the target sensor, a function generator configured to generate an electrical signal having a waveform based on the parameters, and a power source configured to apply a driving voltage to the vibrating element in accordance with the electrical signal. 1. A target supply device comprising:a reservoir configured to hold a target material in its interior in liquid form;a vibrating element configured to apply vibrations to the reservoir;a target sensor configured to detect droplets of the target material outputted from the reservoir;a control unit configured to set parameters based on a result of the detection performed by the target sensor;a function generator configured to generate an electrical signal having a waveform based on the parameters; anda power source configured to apply a driving voltage to the vibrating element in accordance with the electrical signal.2. The target supply device according to claim 1 ,wherein the control unit is configured to calculate an interval between the droplets of the target material outputted from the reservoir based on the result of the detection performed by the target sensor and set the parameters based on the interval.3. The target supply device according to claim 1 ,wherein the control unit is configured to set a modulation parameter and a parameter of a first periodic function as the parameters; andthe function generator is configured to generate the electrical signal by performing angular modulation by a second periodic function using the modulation parameter, with the first periodic function serving as a carrier wave.4. The target supply device according ...

Подробнее
06-02-2020 дата публикации

APPARATUS AND METHOD FOR PREVENTION OF CONTAMINATION ON COLLECTOR OF EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: US20200041783A1
Принадлежит:

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a trajectory correcting device attached to or embedded in the EUV collector mirror body and a trajectory correcting device to adjust the trajectory of metal from the reflective surface of the EUV collector mirror body to an opposite side of the EUV collector mirror body. 1. An EUV vessel for an extreme ultra violet (EUV) radiation source apparatus , comprising:an EUV collector mirror body on which a reflective layer as a reflective surface is disposed; anda trajectory correcting device attached to or embedded in the EUV collector mirror body,wherein the trajectory correcting device is configured to adjust the trajectory of metal ions towards an opposite side of the EUV vessel away from the EUV collector mirror body.2. The EUV vessel of claim 1 , wherein the trajectory correcting device includes a pulse timing module coupled to a first charging point at the EUV collector mirror body and a second charging point at a lower cone of the EUV vessel.3. The EUV vessel of claim 2 , wherein a conduit connects the first charging point at the EUV collector mirror body and the pulse timing module.4. The EUV vessel of claim 1 , wherein the first charging point is configured to positively bias the collector by applying a voltage in a range from 1 V to 50 V to the collector mirror body.5. The EUV vessel of claim 2 , wherein the pulse timing module is configured to provide an amplitude modulation of the pulse.6. The EUV vessel of claim 2 , wherein the pulse timing module is configured to provide a frequency modulation of the pulse.7. The EUV vessel of claim 1 , wherein the pulse timing module is configured to provide an electric field to cause the metal ions to be redistributed in a direction away from the EUV collector mirror body.8. A method of preventing contamination of a collector of an ...

Подробнее
18-02-2021 дата публикации

TARGET IMAGE CAPTURING DEVICE AND EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS

Номер: US20210048752A1
Автор: HOSODA Hirokazu
Принадлежит: Gigaphoton Inc.

A target image capturing device according to an aspect of the present disclosure includes a delay circuit configured to receive a timing signal from outside and output a first trigger signal at a timing delayed by a first delay time from the reception of the timing signal; an illumination light source configured to emit light based on the first trigger signal; an image capturing unit including a light amplification unit and disposed to capture an image of a shadow of a target to be observed, which is generated when the target is irradiated with the light emitted from the illumination light source; a processing unit configured to perform image processing including processing of measuring a background luminance from the image captured by the image capturing unit; and a control unit configured to perform control to adjust a gain of the light amplification unit based on the background luminance. 1. A target image capturing device comprising:a delay circuit configured to receive a timing signal from outside and output a first trigger signal at a timing delayed by a first delay time from the reception of the timing signal;an illumination light source configured to emit light based on the first trigger signal;an image capturing unit including a light amplification unit and disposed to capture an image of a shadow of a target to be observed, which is generated when the target is irradiated with the light emitted from the illumination light source;a processing unit configured to perform image processing including processing of measuring a background luminance from the image captured by the image capturing unit; anda control unit configured to perform control to adjust a gain of the light amplification unit based on the background luminance.2. The target image capturing device according to claim 1 , wherein the control unit further performs control to adjust the first delay time based on the background luminance measured by the processing unit.3. The target image capturing ...

Подробнее
24-02-2022 дата публикации

Target delivery system

Номер: US20220061144A1
Принадлежит: ASML Netherlands BV

A target delivery system for an extreme ultraviolet (EUV) light source is disclosed. The system includes: a conduit including an orifice configured to fluidly couple to a reservoir; an actuator configured to mechanically couple to the conduit such that motion of the actuator is transferred to the conduit; and a control system coupled to the actuator, the control system being configured to: determine an indication of pressure applied to target material in the reservoir, and control the motion of the actuator based on the determined indication of applied pressure. Moreover, techniques for operating a supply system are disclosed. For example, one or more characteristics of the supply system are determined, and an actuator that is mechanically coupled to the supply system is controlled based on the one or more determined characteristics such that an orifice of the supply system remains substantially free of material damage during operational use.

Подробнее
24-02-2022 дата публикации

PLASMA FIELD FARADAY CAGE SYSTEM

Номер: US20220061145A1

A system for creating a plasma field Faraday cage around a structure, the system comprising a plurality of lasers spaced apart from each other, each laser being configured to transmit an electromagnetic energy beam to a focal point of an atmosphere region, each electromagnetic energy beam having an amount of energy less than an amount of energy required to ionize air, the electromagnetic energy beams intersecting at the focal point such that the electromagnetic energy beams cooperatively ionize the air at the focal point to block electromagnetic radiation from passing through the focal point. 1. A system for creating a plasma field Faraday cage around a structure , the system comprising:a plurality of lasers spaced apart from each other, each laser being configured to transmit an electromagnetic energy beam to a focal point of an atmosphere region, each electromagnetic energy beam having an amount of energy less than an amount of energy required to ionize air, the electromagnetic energy beams intersecting at the focal point such that the electromagnetic energy beams cooperatively ionize the air at the focal point to block electromagnetic radiation from passing through the focal point.2. The system of claim 1 , further comprising a plurality of motors configured to move the plurality of lasers and a control system configured to instruct the plurality of motors to move the plurality of lasers to redirect the electromagnetic energy beams to intersect at a plurality of focal points of the atmosphere region over time to ionize the air at the plurality of focal points.3. The system of claim 2 , the control system being further configured to instruct the plurality of lasers to transmit the electromagnetic energy beams when a predetermined condition is met.4. The system of claim 2 , the control system being further configured to instruct the plurality of lasers to transmit the electromagnetic energy beams when an unwanted electromagnetic signal is received.5. The system of ...

Подробнее
06-02-2020 дата публикации

LASER APPARATUS AND EUV LIGHT GENERATION SYSTEM

Номер: US20200044407A1
Принадлежит: Gigaphoton Inc.

A laser apparatus includes: a plurality of envelope blocks each provided with an optical element and a first temperature sensor and covering part of a laser beam path, the optical element being disposed on the laser beam path, the first temperature sensor being configured to measure a first temperature of gas at a position away from the optical element; an envelope body including the envelope blocks and covering the laser beam path; and a control unit connected with each first temperature sensor and configured to specify an envelope block at which increase of the first temperature is measured in the envelope body as an envelope block at which anomaly is occurring. 1. A laser apparatus comprising:a plurality of envelope blocks each provided with an optical element and a first temperature sensor and covering part of a laser beam path, the optical element being disposed on the laser beam path, the first temperature sensor being configured to measure a first temperature of gas at a position away from the optical element;an envelope body including the envelope blocks and covering the laser beam path; anda control unit connected with each first temperature sensor and configured to specify an envelope block at which increase of the first temperature is measured in the envelope body as an envelope block at which anomaly is occurring.2. The laser apparatus according to claim 1 , wherein the control unit determines that anomaly is occurring at an envelope block including a first temperature sensor having measured the first temperature to be equal to or higher than a predetermined threshold in the envelope body.3. The laser apparatus according to claim 1 , wherein the control unit determines that anomaly is occurring at an envelope block including a first temperature sensor having measured the change rate of the first temperature to be equal to or larger than a predetermined threshold in the envelope body.4. The laser apparatus according to claim 1 , whereinthe gas includes ...

Подробнее
08-05-2014 дата публикации

System and method for generating extreme ultraviolet light, and laser apparatus

Номер: US20140124685A1
Принадлежит: GIGAPHOTON INC

An extreme ultraviolet light generation system used with a laser apparatus may be provided, and the extreme ultraviolet light generation system may include: a chamber including at least one window for at least one laser beam and a target supply unit for supplying a target material into the chamber; and at least one polarization control unit, provided on a laser beam path, for controlling a polarization state of the at least one laser beam.

Подробнее
07-02-2019 дата публикации

TARGET SUPPLY DEVICE, PROCESSING DEVICE AND PROCESSING METHOD THEREFOR

Номер: US20190045614A1
Принадлежит: Gigaphoton Inc.

A target supply device according to a first aspect of the present disclosure is configured to supply a metal target in a plasma generation region and may include a tank configured to house the metal target, a filter having been subjected to a dehydration process, the filter being configured to suppress passage of particles in the metal target housed in the tank, and a nozzle provided with a nozzle hole configured to eject the metal target that has passed through the filter. 1. A target supply device configured to supply a metal target in a plasma generation region , the target supply device comprising:a tank provided with an exhaust port, the tank being configured to store an ingot of a metal target material;an exhaust device connected to the tank through the exhaust port, the exhaust device being configured to exhaust gases from the tank;a heater configured to apply heat to the tank;a nozzle provided with a nozzle hole, the nozzle being configured to eject, from the nozzle hole, the metal target formed from the ingot melted by the heat applied through the tank; anda controller connected to the exhaust device and the heater, wherein:the ingot is shaped to form a passage for the gases in a state where the ingot is stored in the tank, the passage being configured to connect the exhaust port and the nozzle hole; andthe controller is configured to cause the heater to apply the heat to the ingot through the tank while causing the exhaust device to exhaust the gases from the tank.2. The target supply device according to claim 1 , wherein the ingot is shaped to form a groove as the passage between the ingot and an inner wall of the tank in the state where the ingot is stored in the tank.3. The target supply device according to claim 2 , wherein the ingot has a cylindrical shape claim 2 , and the groove traverses longitudinally on a lateral face of the cylindrical shape.4. The target supply device according to claim 1 , wherein the ingot is shaped to form a notch portion as ...

Подробнее
07-02-2019 дата публикации

LASER DRIVEN LAMP

Номер: US20190045615A1
Принадлежит: USHIO DENKI KABUSHIKI KAISHA

A laser driven lamp includes a metallic main body having a columnar shape. The lamp also includes an ellipsoidal reflecting surface formed in the main body such that the ellipsoidal reflecting surface has a focal point at which the laser beam converges. The lamp also includes a light exit window in front of the ellipsoidal reflecting surface. The light exit window transmits ultraviolet light. The lamp also includes a laser beam passing hole formed at a predetermined position of the main body such that this hole penetrates the main body in an optical axial direction of the lamp. The lamp also includes a light entrance window behind the laser light passing hole such that the laser beam is incident to the light entrance window. The main body, the light exit window and the light entrance window form a closed space to contain a light emitting gas. 1. A laser driven lamp configured to receive a converging laser beam and generate plasma from the laser beam , the laser driven lamp comprising:a main body made from a metal and having a columnar shape;a light emitting gas contained and sealed in the main body;an ellipsoidal reflecting surface formed in the main body such that the ellipsoidal reflecting surface has a first focal point at which the laser beam converges;a light exit window provided in front of the ellipsoidal reflecting surface, the light exit window being configured to transmit ultraviolet light;a laser beam passing hole formed at a predetermined position of the main body such that the laser beam passing hole penetrates the main body in an optical axial direction of the lamp; anda light entrance window provided behind the laser light passing hole such that the laser beam is incident to the light entrance window,the main body, the light exit window and the light entrance window forming in combination a closed space to contain the light emitting gas.2. The laser driven lamp according to claim 1 , wherein the main body has a base portion in which the ellipsoidal ...

Подробнее
07-02-2019 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION DEVICE AND METHOD FOR CONTROLLING EXTREME ULTRAVIOLET LIGHT GENERATION DEVICE

Номер: US20190045616A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generating apparatus includes a laser device, a target detector, and a controller. The laser device emits a pulsed laser beam. The target detector detects a target substance supplied as an application target for the laser beam to the inside of a chamber. The controller controls the laser device based on a burst signal in which a burst period and an idle period are repeated. In the burst period, an extreme ultraviolet light beam has to be generated. In the idle period, the generation of the extreme ultraviolet light beam has to be paused. When a size of a target substance detected at the target detector in the idle period is greater than a predetermined size, the controller may reduce an intensity of a laser beam entering the inside of the chamber from the laser device. 1. An extreme ultraviolet light generating apparatus comprising:a laser device configured to emit a pulsed laser beam;a target detector configured to detect a target substance supplied as an application target for the laser beam to an inside of a chamber; anda controller configured to control the laser device based on a burst signal in which are repeated a burst period for which to generate an extreme ultraviolet light beam and an idle period for which to pause the generation of the extreme ultraviolet light beam,the controller reducing an intensity of a laser beam entering the inside of the chamber from the laser device, when a size of a target substance detected at the target detector in the idle period is greater than a predetermined size.2. The extreme ultraviolet light generating apparatus according to claim 1 , whereinthe laser device includes an oscillator configured to generate the laser beam, andwhen a size of a target substance detected at the target detector in the idle period is greater than the predetermined size, the controller stops the oscillator.3. The extreme ultraviolet light generating apparatus according to claim 1 , wherein an oscillator configured to ...

Подробнее
06-02-2020 дата публикации

EUV RADIATION SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20200045800A1
Принадлежит:

An extreme ultraviolet (EUV) lithography system is provided. The EUV lithography system includes the above-mentioned extreme ultraviolet (EUV) radiation source. The EUV lithography system further includes a collector configured to collect and reflect the EUV radiation and a mask stage configured to secure an EUV mask. The EUV lithography system also includes a wafer stage configured to secure a semiconductor wafer. In addition, the EUV lithography system includes one or more optical modules configured to direct the EUV radiation from the radiation source to image an integrated circuit (IC) pattern defined on the EUV mask onto the semiconductor wafer. 1. An extreme ultraviolet (EUV) radiation source , comprising:a target droplet generator configured to generate target droplets;a first laser source configured to generate pre-pulses that heat the target droplets to produce target plumes;a second laser source configured to generate main pulses that heat the target plumes to produce plasma emitting EUV radiation;a controller configured to adjust at least one parameter of the first and second laser sources comprising a delay between one of the pre-pulses and a corresponding one of the main pulses, and positions of the pre-pulses in a Y direction that is different from an X direction along which the target droplets travel; andan energy detector configured to monitor an energy of the EUV radiation and record the parameters of the first and second laser sources with which the energy of the EUV radiation is maximized.2. The EUV radiation source as claimed in claim 1 , wherein one of the main pulses heats the target plume produced by the corresponding one of the pre-pulses.3. The EUV radiation source as claimed in claim 1 , wherein a range for tuning the delay is about 100 ns (nanoseconds) claim 1 , and an amount in each adjustment for tuning the delay is about 10 ns.4. The EUV radiation source as claimed in claim 1 , wherein the Y direction is perpendicular to the X direction ...

Подробнее
06-02-2020 дата публикации

Light generation system using metal-nonmetal compound as precursor and related light generation method

Номер: US20200045801A1

A light generation system is provided. The light generation system includes a vaporization device, a laser device and a lens structure. The vaporization device is configured to vaporize a metal-nonmetal compound to generate a metal-nonmetal precursor gas. The laser device is configured to provide laser light, and irradiate the metal-nonmetal precursor gas released from the vaporization device with the laser light to emit a light signal. The lens structure is configured to direct the light signal toward a photomask used in a lithography process.

Подробнее
06-02-2020 дата публикации

EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM

Номер: US20200045802A1
Автор: HAYASHI Kengo
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light generation system includes: a first area including an optical path adjustment unit; a second area including a chamber having inside a plasma generating region; a first interlock switch disposed in the first area; a second interlock switch disposed in the second area; and a control unit capable of performing switching among a first setting state in which monitoring of a sensing signal output from the first interlock switch and a sensing signal output from the second interlock switch is activated, a second setting state in which monitoring of a sensing signal output from the first interlock switch and a sensing signal output from the second interlock switch is deactivated, and a third setting state in which monitoring of a sensing signal output from the first interlock switch is activated and monitoring of a sensing signal output from the second interlock switch is deactivated. 1. An extreme ultraviolet light generation system comprising:a first area including an optical path adjustment unit configured to adjust an optical path of a laser beam emitted from an oscillator;a second area including a chamber having inside a plasma generating region in which extreme ultraviolet light is generated from plasma of a target substance irradiated with the laser beam;a first interlock switch disposed in the first area and configured to sense the state of a sensing object in the first area;a second interlock switch disposed in the second area and configured to sense the state of a sensing object in the second area; anda control unit capable of performing switching among a first setting state in which monitoring of a sensing signal output from the first interlock switch and monitoring of a sensing signal output from the second interlock switch are both activated, a second setting state in which monitoring of a sensing signal output from the first interlock switch and monitoring of a sensing signal output from the second interlock switch are both ...

Подробнее
16-02-2017 дата публикации

EUV Light Generator Apparatus Having a Droplet Generator Configured to Control a Droplet Position Using a Magnetic Field

Номер: US20170048956A1
Автор: lnsung KIM, Seungkoo Lee
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Described is an extreme ultraviolet (EUV) light generator apparatus. The EUV light generator apparatus includes a droplet nozzle, a central electromagnet including a central coil wound around the droplet nozzle, and a droplet generator including side electromagnets around the central electromagnet.

Подробнее
16-02-2017 дата публикации

Target Expansion Rate Control in an Extreme Ultraviolet Light Source

Номер: US20170048957A1
Принадлежит:

A method includes providing a target material that comprises a component that emits extreme ultraviolet (EUV) light when converted to plasma; directing a first beam of radiation toward the target material to deliver energy to the target material to modify a geometric distribution of the target material to form a modified target; directing a second beam of radiation toward the modified target, the second beam of radiation converting at least part of the modified target to plasma that emits EUV light; measuring one or more characteristics associated with one or more of the target material and the modified target relative to the first beam of radiation; and controlling an amount of radiant exposure delivered to the target material from the first beam of radiation based on the one or more measured characteristics to within a predetermined range of energies. 1. A method comprising:providing a target material that comprises a component that emits extreme ultraviolet (EUV) light when converted to plasma;directing a first beam of radiation toward the target material to deliver energy to the target material to modify a geometric distribution of the target material to form a modified target;directing a second beam of radiation toward the modified target, the second beam of radiation converting at least part of the modified target to plasma that emits EUV light;measuring one or more characteristics associated with one or more of the target material and the modified target relative to the first beam of radiation; andcontrolling an amount of radiant exposure delivered to the target material from the first beam of radiation based on the one or more measured characteristics to within a predetermined range of energies.2. The method of claim 1 , wherein measuring the one or more characteristics associated with one or more of the target material and the modified target comprises measuring an energy of the first beam of radiation.3. The method of claim 2 , wherein measuring the energy ...

Подробнее
16-02-2017 дата публикации

Stabilizing EUV Light Power in an Extreme Ultraviolet Light Source

Номер: US20170048958A1
Принадлежит:

A method includes providing a target material that includes a component that emits extreme ultraviolet (EUV) light when converted to plasma; directing a first beam of radiation toward the target material to deliver energy to the target material to modify a geometric distribution of the target material to form a modified target; directing a second beam of radiation toward the modified target, the second beam of radiation converting at least part of the modified target to plasma that emits EUV light; controlling a radiant exposure delivered to the target material from the first beam of radiation to within a predetermined range of radiant exposures; and stabilizing a power of the EUV light emitted from the plasma by controlling the radiant exposure delivered to the target material from the first beam of radiation to within the predetermined range of radiant exposures. 1. A method comprising:providing a target material that comprises a component that emits extreme ultraviolet (EUV) light when converted to plasma;directing a first beam of radiation toward the target material to deliver energy to the target material to modify a geometric distribution of the target material to form a modified target;directing a second beam of radiation toward the modified target, the second beam of radiation converting at least part of the modified target to plasma that emits EUV light;controlling a radiant exposure delivered to the target material from the first beam of radiation to within a predetermined range of radiant exposures by estimating an expansion rate of the modified target; andstabilizing a power of the EUV light emitted from the plasma by controlling the radiant exposure delivered to the target material from the first beam of radiation to within the predetermined range of radiant exposures.2. The method of claim 1 , wherein:directing the first beam of radiation comprises directing the first beam of radiation through a first set of optical components including one or more ...

Подробнее
16-02-2017 дата публикации

SYSTEMS AND METHODS FOR STABILIZATION OF DROPLET-PLASMA INTERACTION VIA LASER ENERGY MODULATION

Номер: US20170048959A1
Принадлежит:

In a laser produced plasma (LPP) extreme ultraviolet (EUV) system, a droplet is irradiated by a laser pulse to produce a plasma in a chamber. This generates forces that cause the plasma to destabilize and subsequent droplets to have their flight trajectory and speed altered as they approach the plasma. This destabilization is detectable from oscillations in the amount of EUV energy generated. To reduce the oscillations by stabilizing the plasma and travel of the droplets, a proportional-integral (PI) controller algorithm is used to modify an energy of subsequent laser pulses based on the EUV energy generated in the chamber. By modifying the energy of subsequent laser pulses, the plasma stabilizes, which reduces effects on droplet flight and stabilizes the amount of EUV energy generated, allowing the plasma chamber to operate for longer intervals and to lower the amount of reserve power maintained by a laser source. 1. A method comprising:measuring, using an extreme ultraviolet (EUV) energy detector, an amount of EUV energy generated by a first laser pulse impacting a first droplet in a plasma chamber of a laser produced plasma (LPP) EUV system;calculating a first modified laser pulse energy, using an EUV controller, based on the measured amount of EUV energy generated by the first laser pulse impacting the first droplet in the plasma chamber;instructing, by the EUV controller, the laser source to deliver a second laser pulse having the calculated first modified energy thereby altering flight of a second droplet in the plasma chamber;measuring, using the EUV energy detector, an amount of EUV energy generated by the second laser pulse impacting the second droplet in the plasma chamber;calculating a second modified laser pulse energy, using the EUV controller, based on the measured amount of EUV energy generated by the second laser pulse impacting the second droplet in the plasma chamber;and,instructing, by the EUV controller, the laser source to deliver a third laser ...

Подробнее
16-02-2017 дата публикации

SYSTEMS AND METHODS FOR CONTROLLING EUV ENERGY GENERATION USING PULSE INTENSITY

Номер: US20170048960A1
Принадлежит:

In a laser produced plasma (LPP) extreme ultraviolet (EUV) system, a plasma created from droplets irradiated by a laser pulse can become destabilized. The instability of the plasma can reduce the amount of EUV energy generated over time. While other systems seek to stabilize the plasma by varying a pulse width of the laser pulses, the systems and methods described herein stabilize the plasma by varying an intensity of the laser pulses. The intensity of the laser pulses is varied based on a comparison of the amount of EUV energy generated from current pulse to an expected amount of EUV energy. The intensity of the laser pulses can be varied on a pulse-by-pulse basis by an EUV controller that instructs a pulse actuator. 1. A method comprising:measuring, by an extreme ultraviolet (EUV) energy detector, an amount of EUV energy generated in a plasma chamber of a laser produced plasma (LPP) EUV system resulting from a first laser pulse having a first pulse width and a first intensity impacting a droplet to create a plasma in the plasma chamber;comparing, by an EUV controller, the measured amount of EUV energy generated to an expected amount of EUV energy to determine a present stability of the plasma within the plasma chamber; andinstructing, by the EUV controller and based on the determined present stability of the plasma within the plasma chamber, a pulse actuator to modify by a gain factor an intensity of a subsequent laser pulse relative to the first intensity, the subsequent laser pulse also having the first pulse width.2. The method of claim 1 , further comprising:measuring, by the EUV energy detector, a subsequent amount of EUV energy generated from the subsequent laser pulse impacting a subsequent droplet to continue creating the plasma in the plasma chamber;comparing, by the EUV controller, the measured subsequent amount of EUV energy generated to the expected amount of EUV energy to determine a subsequent stability of the plasma within the plasma chamber; ...

Подробнее
03-03-2022 дата публикации

LIGHT SOURCE AND EXTREME ULTRAVIOLET LIGHT SOURCE SYSTEM USING THE SAME

Номер: US20220066225A1
Принадлежит:

A light source capable of operating third and fourth reflection mirrors included in a beam splitting device in conjunction with movements of first and second reflection mirrors included in a beam transfer device and an optical assembly, respectively. The third and fourth reflection mirrors are disposed on optical paths of a pre-pulse and a main pulse emitted from first and second pulse generators, respectively. The light source operates the third and fourth reflection mirrors to offset an excessive compensation of the main pulse caused in a process of compensating for an optical path error of the pre-pulse. The light source may be included in an extreme ultraviolet light source system.

Подробнее
25-02-2021 дата публикации

LIGHT SOURCE, EUV LITHOGRAPHY SYSTEM, AND METHOD FOR GENERATING EUV RADIATION

Номер: US20210055664A1

A light source for EUV is provided. The light source includes a target droplet generator, a laser generator, and a controller. The target droplet generator is configured to provide target droplets to a source vessel. The laser generator is configured to provide first laser pulses according to a control signal to irradiate the target droplets in the source vessel. The controller is configured to provide the control signal according to at least two of process parameters including temperature of the source vessel, droplet positions of the target droplets, and beam sizes and focal points of the first laser pulses. When the average value or the standard deviation of the temperature of the source vessel and the droplet positions of the target droplets exceed the predetermined range, the controller is configured to provide the control signal to the laser generator to stop providing the first laser pulses. 1. A light source for extreme ultraviolet (EUV) radiation , comprising:a target droplet generator configured to provide a plurality of target droplets to a source vessel;a laser generator configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel, so as to generate plasma as the EUV radiation; anda controller configured to provide the control signal according to at least two of process parameters including a temperature of the source vessel, droplet positions of the target droplets, beam sizes of the first laser pulses, and focal points of the first laser pulses;wherein when an average value or a standard deviation of the temperature of the source vessel exceeds a first predetermined range and an average value or a standard deviation of the droplet positions of the target droplets exceeds a second predetermined range, the controller is configured to provide the control signal to the laser generator, so as to stop providing the first laser pulses.2. The light source as claimed in claim 1 , ...

Подробнее
23-02-2017 дата публикации

Lithographic system

Номер: US20170052456A1
Принадлежит: ASML Netherlands BV

A lithographic system including a lithographic apparatus with an anamorphic projection system, and a radiation source configured to generate an EUV radiation emitting plasma at a plasma formation location, the EUV radiation emitting plasma having an elongate form in a plane substantially perpendicular to an optical axis of the radiation source.

Подробнее
03-03-2022 дата публикации

METHOD OF EXPOSURE USING EXTREME ULTRAVIOLET AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20220070992A1
Принадлежит:

A method of manufacturing a semiconductor includes generating plasma in an amplifying tube using gas as a gain medium; detecting a state of the plasma generated in the amplifying tube; determining a virtual laser gain based on the detected state of the plasma; controlling the state of the plasma such that the virtual laser gain is within a target range; and manufacturing the semiconductor device including performing an exposure process on a substrate using a laser beam output from the amplifying tube adjusted to have the virtual laser gain within the target range.

Подробнее
15-05-2014 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS

Номер: US20140131587A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light source apparatus for supplying extreme ultraviolet light to a processing unit for performing processing by using the extreme ultraviolet light. The extreme ultraviolet light source apparatus includes: a chamber in which the extreme ultraviolet light to be supplied to the processing unit is generated; a collector mirror for collecting the extreme ultraviolet light generated in the chamber to output the extreme ultraviolet light to the processing unit; and an optical path connection module for defining a route of the extreme ultraviolet light between the chamber and the processing unit and isolating the route of the extreme ultraviolet light from outside. 123-. (canceled)24. An extreme ultraviolet light source apparatus for supplying a required extreme ultraviolet light to a processing unit selected from a plurality of processing units for performing processing by using the extreme ultraviolet light , said apparatus comprising:a chamber, in which the extreme ultraviolet light to be supplied to said processing unit is generated, being formed in a size where all of the required intermediate focusing points of the collected lights by said plurality of processing units are formed outside of said chamber;a collector mirror provided in said chamber, configured to collect the extreme ultraviolet light generated in said chamber, and configured to output the collected extreme ultraviolet light from said chamber as to form the required intermediate focusing point of the collected light of said selected processing unit outside of said chamber; andan optical path connection module arranged at a position between said chamber and said processing unit, and configured to be detachable from the position, to isolate a route of the extreme ultraviolet light between said chamber and said processing unit from outside, the inner surface of the optical path connection module being formed in accordance with the required intermediate focusing point of the ...

Подробнее
25-02-2016 дата публикации

Laser-Driven Light Source

Номер: US20160057845A1
Автор: Smith Donald K.
Принадлежит: Energetiq Technology, Inc.

An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light. 125-. (canceled)26. A laser driven light source comprising:a) a pressurized chamber comprising a region that is transparent to a portion of the electromagnetic spectrum and being configured to confine a gas during operation at a pressure greater than 10 atmospheres;b) an ignition source for ionizing the gas within the pressurized chamber; andc) a laser that generates substantially continuous electromagnetic radiation within a wavelength range of up to about 2000 nm and having an output that is aligned to the region that is transparent to the portion of the electromagnetic spectrum so that the electromagnetic radiation generated by the laser forms a plasma in the pressurized chamber from the gas ionized by the ignition source, the plasma generated by the electromagnetic radiation produces a plasma-generated light comprising wavelengths that are greater than 50 nm.27. The laser driven light source of wherein the pressurized chamber is configured to confine the gas during operation at a pressure that is greater than 30 atmospheres.28. The laser driven light source of wherein the pressurized chamber is configured to confine the gas during operation at a pressure that is greater than 50 atmospheres.29. The laser driven light source of wherein the ignition source for ionizing the gas during operation within the pressurized chamber comprises an electrode.30. The laser driven light source of wherein the portion of the electromagnetic spectrum where the region of the pressurized chamber is transparent comprises wavelengths greater than 50 nm so that at least a portion of the ...

Подробнее