Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 365. Отображено 178.
16-04-2019 дата публикации

A method of controlling an extreme ultraviolet (EUV) radiation source

Номер: TW0201916751A
Принадлежит:

A method of controlling an extreme ultraviolet (EUV) radiation source includes irradiating a target droplet with light from a droplet illumination module and detecting light reflected and/or scattered by the target droplet. The method includes determining whether an intensity of the detected light is within an acceptable range. In response to determining that the intensity of the detected light is not within the acceptable range, a parameter of the droplet illumination module is automatically adjusted to set the intensity of the detected light within the acceptable range.

Подробнее
16-05-2020 дата публикации

Method of controlling a feedback system with a data matching module of an extreme ultraviolet (EUV) radiation source

Номер: TW0202018434A
Принадлежит:

A method of controlling a feedback system with a data matching module of an extreme ultraviolet (EUV) radiation source is disclosed. The method includes obtaining a slit integrated energy (SLIE) sensor data and diffractive optical elements (DOE) data. The method performs a data match, by the data matching module, of a time difference of the SLIE sensor data and the DOE data to identify a mismatched set of the SLIE sensor data and the DOE data. The method also determines whether the time difference of the SLIE sensor data and the DOE data of the mismatched set is within an acceptable range. Based on the determination, the method automatically validates a configurable data of the mismatched set such that the SLIE sensor data of the mismatched set is valid for a reflectivity calculation.

Подробнее
02-04-2020 дата публикации

DROPLET GENERATOR ASSEMBLY AND METHOD FOR USING THE SAME AND RADIATION SOURCE APPARATUS

Номер: US20200107427A1

A droplet generator assembly includes a storage tank, a refill system, a droplet generator, and a temperature control system. The storage tank is configured to store a target material. The refill system is connected to the storage tank. The droplet generator includes a reservoir and a nozzle connected to the reservoir, in which the droplet generator is connected to the refill system, and the refill system is configured to deliver the target material to the reservoir. The temperature control system is adjacent to the refill system or the reservoir. 1. A droplet generator assembly , comprising:a droplet generator comprising a reservoir and a nozzle connected to the reservoir, wherein the droplet generator is configured to generate a droplet of a target material through the nozzle;a storage tank configured to store the target material;a refill system connected between the storage tank and the reservoir of the droplet generator, wherein the refill system is configured to deliver the target material to the reservoir when the droplet generator generates the droplet of the target material; anda temperature control system adjacent to the refill system or the reservoir of the droplet generator and configured to cool the refill system or the reservoir.2. The droplet generator assembly of claim 1 , wherein the refill system comprises:a first vessel connected with the storage tank;a second vessel connected with the droplet generator;a refill line connecting the first vessel to the second vessel; anda transfer line connecting the second vessel to the droplet generator.3. The droplet generator assembly of claim 2 , wherein the temperature control system is disposed adjacent to the first vessel claim 2 , the transfer line claim 2 , or the second vessel.4. The droplet generator assembly of claim 1 , wherein the temperature control system comprises a heat sink.5. The droplet generator assembly of claim 1 , wherein the temperature control system comprises a fan.6. The droplet ...

Подробнее
01-01-2009 дата публикации

In-line particle detection for immersion lithography

Номер: TW0200900865A
Принадлежит:

An immersion lithography system, comprising a lens unit configured to project a pattern from an end thereof and onto a wafer, a hood unit configured to confined an immersion fluid to a region of the wafer surrounding the end of the lens unit, and at least one of an image capturing apparatus and a scattering light detection apparatus, wherein the image capturing apparatus is coupled to the wafer stage and is configured to the capture an image of a surface of the hood unit proximate the wafer stage, and wherein the scattering light detection apparatus is proximate the end of the lens unit and the hood unit and is configured to detect particles on a surface of the stage.

Подробнее
01-11-2018 дата публикации

EUV RADIATION MODIFICATION METHODS AND SYSTEMS

Номер: US20180314145A1
Принадлежит:

A method and system for generating EUV light includes providing a laser beam having a Gaussian distribution. This laser beam can be then modified from a Gaussian distribution to a ring-like distribution. The modified laser beam is provided through an aperture in a collector and interfaces with a moving droplet target, which generates an extreme ultraviolet (EUV) wavelength light. The generated EUV wavelength light is provided to the collector away from the aperture. In some embodiments, a mask element may also be used to modify the laser beam to a shape.

Подробнее
01-11-2018 дата публикации

EUV SOURCE GENERATION METHOD AND RELATED SYSTEM

Номер: US20180317309A1
Принадлежит:

A method and extreme ultraviolet (EUV) light source including a laser source configured to generate a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam. In some embodiments, a droplet is irradiated within an extreme ultraviolet (EUV) vessel using the first pre-pulse laser beam to form a re-shaped droplet. In some examples, the droplet includes a tin droplet. In various embodiments, a seed plasma is then formed by irradiating the re-shaped droplet using the second pre-pulse laser beam. Thereafter, and in some cases, the seed plasma is heated by irradiating the seed plasma using the main pulse laser beam to generate EUV light.

Подробнее
20-08-2020 дата публикации

METHOD OF CONTROLLING RETICLE MASKING BLADE POSITIONING TO MINIMIZE IMPACT ON CRITICAL DIMENSION UNIFORMITY AND DEVICE FOR CONTROLLING RETICLE MASKING BLADE POSITION

Номер: US20200264515A1
Принадлежит:

A method of controlling reticle masking blade positioning to minimize the impact on critical dimension uniformity includes determining a target location of a reticle masking blade relative to a reflective reticle and positioning the reticle masking blade at the target location. A position of the reticle masking blade is monitored during an imaging operation. The position of the reticle masking blade is compared with the target location and the position of the reticle masking blade is adjusted if the position of the reticle masking blade is outside a tolerance of the target location.

Подробнее
01-11-2018 дата публикации

Lithography mask

Номер: TW0201839495A
Принадлежит:

A lithography mask includes a substrate that contains a low thermal expansion material (LTEM). The lithography mask also includes a reflective structure disposed over the substrate. The reflective structure includes a first layer and a second layer disposed over the first layer. At least the second layer is porous. The mask is formed by forming a multilayer reflective structure over the LTEM substrate, including forming a plurality of repeating film pairs, where each film pair includes a first layer and a porous second layer. A capping layer is formed over the multilayer reflective structure. An absorber layer is formed over the capping layer.

Подробнее
19-10-2021 дата публикации

Extreme ultraviolet photolithography method

Номер: US0011153958B2

An extreme ultraviolet (EUV) lithography method includes causing a first metallic droplet to move along a shroud and through an aperture of the shroud at a first velocity, and adjusting an open area of the aperture of the shroud. After adjusting the open area of the aperture of the shroud, a second metallic droplet is caused to move along the shroud and through the aperture of the shroud at a second velocity, in which the second velocity is different from the first velocity.

Подробнее
22-12-2020 дата публикации

EUV metal droplet catchers

Номер: US0010871719B2

A droplet catcher includes a tube main body and baffles arranged along a length direction of the tube main body.

Подробнее
01-12-2018 дата публикации

Laser system

Номер: TW0201842416A
Принадлежит:

A laser system includes a laser source operable to provide a laser beam; a laser amplifier having an input port and an output port and operable to amplify the laser beam, the laser beam travelling along a main beam path through the laser amplifier from the input port to the output port; and a residual gain monitor operable to provide a probe laser beam, the probe laser beam travelling along a probe beam path through the laser amplifier from the output port to the input port, wherein the residual gain monitor calculates a residual gain of the laser amplifier according to the probe laser beam.

Подробнее
14-11-2019 дата публикации

LAYOUT MODIFICATION METHOD FOR EXPOSURE MANUFACTURING PROCESS

Номер: US2019348409A1
Принадлежит:

A layout modification method for fabricating a semiconductor device is provided. Uniformity of critical dimensions of a first portion and a second portion in a patterned layer is calculated by using a layout for an exposure manufacturing process to produce the semiconductor device. The second portion is adjacent to the first portion, and a width of the second portion equals a penumbra size of the exposure manufacturing process. The penumbra size is utilized to indicate which area of the patterned layer is affected by light leakage exposure from another exposure manufacturing process. Non-uniformity between the first and second portions of the patterned layer is compensated according to the uniformity of critical dimensions to generate a modified layout.

Подробнее
10-12-2019 дата публикации

EUV source generation method and related system

Номер: US0010506698B2

A method and extreme ultraviolet (EUV) light source including a laser source configured to generate a first pre-pulse laser beam, a second pre-pulse laser beam, and a main pulse laser beam. In some embodiments, a droplet is irradiated within an extreme ultraviolet (EUV) vessel using the first pre-pulse laser beam to form a re-shaped droplet. In some examples, the droplet includes a tin droplet. In various embodiments, a seed plasma is then formed by irradiating the re-shaped droplet using the second pre-pulse laser beam. Thereafter, and in some cases, the seed plasma is heated by irradiating the seed plasma using the main pulse laser beam to generate EUV light.

Подробнее
09-06-2020 дата публикации

Lithography system and lithography method

Номер: US0010678148B2

A lithography system is provided and includes a light source device configured to emit a processing light beam onto the semiconductor wafer, to generate a penetrating light beam and a reflected light beam. The lithography system further includes a detecting module having a first detector and a second detector. The first detector is configured to receive the penetrating light beam to generate first power data, and the second detector is configured to receive the reflected light beam to generate second power data. The lithography system also includes a monitoring device configured to calculate absorbed power data of the semiconductor wafer according to the first power data, the second power data and reference power data of a reference light beam and configured to compensate for a pattern formed on the semiconductor wafer resulting from the processing light beam according to the absorbed power data and reference information.

Подробнее
01-07-2018 дата публикации

Extreme ultraviolet lithography system

Номер: TW0201824324A
Принадлежит:

An extreme ultraviolet (EUV) lithography system includes a collector designed to collect and reflect EUV radiation, a cover integrated with the collector, a first exhaust line connected to the cover and configured to receive debris vapor from the collector, a debris trapper connected to the first exhaust line and configured to trap the debris vapor, and a second exhaust line connected to the debris trapper.

Подробнее
01-02-2021 дата публикации

Lithography system and cleaning method thereof

Номер: TW202105074A
Принадлежит:

A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port.

Подробнее
16-04-2019 дата публикации

An extreme ultraviolet source

Номер: TW0201916753A
Принадлежит:

An extreme ultraviolet (EUV) source includes a collector mirror, a drain, a droplet generator configured to eject a target material toward the drain, a pellicle disposed over the collector mirror. The pellicle is configured to catch debris formed of the target material.

Подробнее
02-07-2019 дата публикации

Apparatus and method for generating extreme ultraviolet radiation

Номер: US0010342109B2

A method of controlling an excitation laser includes detecting, at a droplet generator, a first signal of radiation scattered by a given target droplet irradiated by a first radiation source at a first position. The method of controlling the excitation laser further includes detecting, at the droplet generator, a second signal of radiation scattered by the given target droplet irradiated by a second radiation source at a second position a fixed distance away from the first position, and determining a speed of the given target droplet based on a time lag between the detecting of the first signal and the detecting of the second signal. The method further includes controlling a trigger time for triggering an excitation pulse for heating the given target droplet based on the determined speed of the given target droplet.

Подробнее
16-04-2019 дата публикации

Reticle inspection method

Номер: TW0201915606A
Принадлежит:

A method of inspecting a reticle includes obtaining a first image of a surface of the reticle at a first height by scanning the reticle surface with a light source at the first height of the reticle surface relative to a reference surface height of the reticle surface and obtaining a second image of the reticle surface at a second height by scanning the reticle surface with the light source at the second height of the reticle surface relative to the reference surface height of the reticle surface. The second height is different from the first height. The first and the second images are then combined to obtain a surface profile image of the reticle.

Подробнее
10-10-2019 дата публикации

LIGHT SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20190310556A1
Принадлежит:

A method for generating a radiation light in a lithography exposure system is provided. The method includes connecting a first nozzle assembly coupled to a support to an outlet of a storage member that receives a target fuel inside. The method further includes guiding the target fuel flowing through the first nozzle assembly and supplying a droplet of the target fuel into an excitation zone via the first nozzle assembly. The method also includes moving the support to connect a second nozzle assembly coupled to the support with the outlet. In addition, the method includes guiding the target fuel flowing through the second nozzle assembly and supplying a droplet of the target fuel into the excitation zone via the second nozzle assembly. The method further includes irradiating the droplet of the target fuel in the excitation zone with a laser pulse.

Подробнее
01-06-2004 дата публикации

Geometric compensation method for charged particle beam irradiation

Номер: US0006744058B1

A charged particle beam method for irradiating an array of sub-regions within an areal region within a substrate with a series of shots of a charged particle beam provides that a sequencing of irradiation of the array of sub-regions is geometrically determined such as to minimize charged particle beam deflection when irradiating the series of sub-regions with the series of shots of the charged particle beam. Due to the geometric determination which provides the minimized charged particle beam deflection, the charged particle beam method has enhanced accuracy.

Подробнее
20-02-2020 дата публикации

EUV METAL DROPLET CATCHERS

Номер: US20200057389A1
Принадлежит:

A droplet catcher includes a tube main body and baffles arranged along a length direction of the tube main body. 1. An extreme ultraviolet (EUV) lithography system , comprising:an EUV source to generate EUV radiation, wherein the EUV source includes a laser and a target material droplet generator;a mask stage configured to secure an EUV mask;a wafer stage configured to secure a semiconductor wafer; andan optical system designed to direct the EUV radiation from the EUV source to image a pattern defined on the EUV mask to the semiconductor wafer,wherein the EUV source further includes a metal droplet catcher to receive metal droplets generated from the target material droplet generator, andwherein the metal droplet catcher includes baffles arranged along a length direction of the metal droplet catcher.2. The EUV lithography system of claim 1 , wherein the baffles make an acute angle with an inner surface of the metal droplet catcher.3. The EUV lithography system of claim 1 , wherein the metal droplet catcher does not include a baffle on an inner surface of the metal droplet catcher.4. The EUV lithography system of claim 1 , wherein the metal droplet catcher includes at least one baffle on a surface of the metal droplet catcher opposite to the arranged baffles along the length direction of the metal droplet catcher.5. The EUV lithography system of claim 1 , wherein the metal droplet catcher has a closed bottom.6. The EUV lithography system of claim 1 , wherein the metal droplet catcher has an open bottom.7. The EUV lithography system of claim 6 , wherein the open bottom leads to a tube connecting with the target material droplet generator.8. The EUV lithography system of claim 1 , wherein the metal droplets include tin droplets.9. The EUV lithography system of claim 1 , wherein the metal droplet catcher includes a heater to heat the metal droplet catcher.10. The EUV lithography system of claim 1 , wherein claim 1 , in a front view of an opening of the metal droplet ...

Подробнее
05-03-2020 дата публикации

RADIATION SOURCE APPARATUS, EUV LITHOGRAPHY SYSTEM, AND METHOD FOR DECREASING DEBRIS IN EUV LITHOGRAPHY SYSTEM

Номер: US20200073261A1

A radiation source apparatus is provided. The radiation source apparatus includes a chamber, a target droplet generator, an exhaust module, a measuring device, and a controller. The target droplet generator is configured to provide a plurality of target droplets to the chamber. The exhaust module is configured to extract debris corresponding to the target droplets out of the chamber according to a first gas flow rate. The measuring device is configured to measure concentration of the debris in the chamber. The controller is configured to adjust the first gas flow rate according to the measured concentration of the debris. 1. A radiation source apparatus , comprising:a chamber;a target droplet generator configured to provide a plurality of target droplets to the chamber;an exhaust module configured to extract debris corresponding to the target droplets out of the chamber according to a first gas flow rate;a measuring device configured to measure concentration of the debris in the chamber; anda controller coupled to the measuring device and the exhaust module, and configured to adjust the first gas flow rate according to the measured concentration of the debris.2. The radiation source apparatus as claimed in claim 1 , wherein the exhaust module comprises:a first exhaust line connected to the chamber;a valve connected to the first exhaust line, wherein the valve has an open ratio corresponding to the first gas flow rate;a second exhaust line connected to the valve; anda pump connected to the second exhaust line and configured to extract the debris out of the chamber and into the first exhaust line, the valve and the second exhaust line in sequence.3. The radiation source apparatus as claimed in claim 1 , wherein when the measured concentration of the debris by the measuring device exceeds an upper threshold value claim 1 , the controller is configured to increase the first gas flow rate until the measured concentration of the debris is less than a lower threshold value ...

Подробнее
29-12-2020 дата публикации

Pressurized tin collection bucket with in-line draining mechanism

Номер: US0010877366B2

A droplet collection bucket includes a droplet collection tube, a level sensor positioned on the droplet collection tube, a gate valve configured to close a rear portion of the droplet collection tube, a gas supply configured to supply a gas into the rear portion of the droplet collection tube, a heating element wrapping around the droplet collection tube, and a drain tube connecting an interior of the droplet collection tube with an outside of the droplet collection tube.

Подробнее
16-02-2021 дата публикации

Photolithography device having illuminator and method for adjusting intensity uniformity of exposure radiation

Номер: TW202107220A
Принадлежит:

An illuminator includes a first facet mirror receiving and reflecting an exposure radiation, an adjustable shielding element disposed on the first facet mirror, the adjustable shielding element adjusting intensity uniformity of the exposure radiation reflected by the first facet mirror, and a second facet mirror receiving and reflecting the exposure radiation reflected by the first facet mirror.

Подробнее
01-11-2018 дата публикации

Residual Gain Monitoring and Reduction for EUV Drive Laser

Номер: US20180317308A1
Принадлежит:

A laser system includes a laser source operable to provide a laser beam; a laser amplifier having an input port and an output port and operable to amplify the laser beam, the laser beam travelling along a main beam path through the laser amplifier from the input port to the output port; and a residual gain monitor operable to provide a probe laser beam, the probe laser beam travelling along a probe beam path through the laser amplifier from the output port to the input port, wherein the residual gain monitor calculates a residual gain of the laser amplifier according to the probe laser beam.

Подробнее
21-02-2017 дата публикации

Wafer Stage Temperature Control

Номер: US0009575415B2

A method includes loading a wafer onto a wafer stage of a lithography system, the wafer stage comprising a heating component and a temperature sensing component. The method further includes controlling the heating component such that a temperature of the wafer stage approaches a desired point. The controlling step comprises use of a characterization curve associated with the heating component.

Подробнее
03-11-2011 дата публикации

METHOD AND APPARATUS FOR MAINTAINING DEPTH OF FOCUS

Номер: US20110267593A1

A method includes directing a beam of radiation along an optical axis toward a workpiece support, measuring a spectrum of the beam at a first time to obtain a first profile, measuring the spectrum of the beam at a second time to obtain a second profile, determining a spectral difference between the two profiles, and adjusting a position of the workpiece support along the optical axis based on the difference. A different aspect involves an apparatus having a workpiece support, beam directing structure that directs a beam of radiation along an optical axis toward the workpiece support, spectrum measuring structure that measures a spectrum of the beam at first and second times to obtain respective first and second profiles, processing structure that determines a difference between the two profiles, and support adjusting structure that adjusts a position of the workpiece support along the optical axis based on the difference.

Подробнее
23-01-2020 дата публикации

EUV RADIATION MODIFICATION METHODS AND SYSTEMS

Номер: US20200026179A1

A method and system for generating EUV light includes providing a laser beam having a Gaussian distribution. This laser beam can be then modified from a Gaussian distribution to a ring-like distribution. The modified laser beam is provided through an aperture in a collector and interfaces with a moving droplet target, which generates an extreme ultraviolet (EUV) wavelength light. The generated EUV wavelength light is provided to the collector away from the aperture. In some embodiments, a mask element may also be used to modify the laser beam to a shape.

Подробнее
30-01-2020 дата публикации

RADIATION SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20200033732A1
Принадлежит:

A method for generating EUV radiation is provided. The method includes generating a target droplet with a target droplet generator. The method further includes recording an image of the target droplet on a first image plane to detect a first position of the target droplet. The method also includes recording an image of the target droplet on a second image plane to detect a second position of the target droplet. In addition, the method includes projecting a laser pulse onto the target droplet when the target droplet is located on a focus plane. The method further includes adjusting at least one parameter of the target droplet generator according to the first position and the second position. 1. A radiation source module , comprising:a target droplet generator configured to generate a target droplet along an x-axis direction;a first laser source configured to generate a first laser pulse to extend the target droplet;a second laser source configured to generate a second laser pulse that heats the target droplet to produce plasma emitting EUV radiation;a first camera configured to generate an image of the target droplet at a first image plane which is perpendicular to the x-axis direction and parallel to a y-z plane;a second camera configured to generate an image of the target droplet at a second image plane which is perpendicular to the x-axis direction and parallel to the y-z plane, the second image plane being different from the first image plane, wherein the first image plane and the second image plane are arranged along the x-axis direction and located between the target droplet generator and the first laser source; anda controller configured to:determine a position of the target droplet at the first image plane based on the image captured by the first camera;determine a position of the target droplet at the second image plane based on the image captured by the second camera; andadjust at least one parameter of the target droplet generator based on a set of data, ...

Подробнее
16-05-2020 дата публикации

Extreme ultra-violet source for generating EUV radiation

Номер: TW0202019036A
Принадлежит:

A droplet collection bucket includes a droplet collection tube, a level sensor positioned on the droplet collection tube, a gate valve configured to close a rear portion of the droplet collection tube, a gas supply configured to supply a gas into the rear portion of the droplet collection tube, a heating element wrapping around the droplet collection tube, and a drain tube connecting an interior of the droplet collection tube with an outside of the droplet collection tube.

Подробнее
01-07-2019 дата публикации

Method for lithography exposure process

Номер: TW0201925924A
Принадлежит:

A method for a lithography exposure process is provided. The method includes irradiating a target droplet with a laser beam to create an extreme ultraviolet (EUV) light. The method further includes reflecting the EUV light with a collector. The method also includes discharging a cleaning gas over the collector through a gas distributor positioned next to the collector. A portion of the cleaning gas is converted to free radicals before the cleaning gas leaves the gas distributor, and the free radicals are discharged over the collector along with the cleaning gas.

Подробнее
16-04-2019 дата публикации

Cleaning method

Номер: TW0201915617A
Принадлежит:

Embodiments described herein provide a method for cleaning contamination from sensors in a lithography tool without requiring recalibrating the lithography tool. More particularly, embodiments described herein teach cleaning the sensors using hydrogen radicals for a short period while the performance drifting is still above the drift tolerance. After a cleaning process described herein, the lithography tool can resume production without recalibration.

Подробнее
13-10-2020 дата публикации

Apparatus and method for generating extreme ultraviolet radiation

Номер: US0010802406B2

An apparatus for generating extreme ultraviolet (EUV) radiation includes a droplet generator configured to generate target droplets. An excitation laser is configured to heat the target droplets using excitation pulses to convert the target droplets to plasma. An energy detector is configured to measure a variation in EUV energy generated when the target droplets are converted to plasma. A feedback controller is configured to adjust parameters of the droplet generator and/or the excitation laser based on the variation in EUV energy.

Подробнее
22-12-2020 дата публикации

Apparatus and method for prevention of contamination on collector of extreme ultraviolet light source

Номер: US0010871647B2

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a trajectory correcting device attached to or embedded in the EUV collector mirror body and a trajectory correcting device to adjust the trajectory of metal from the reflective surface of the EUV collector mirror body to an opposite side of the EUV collector mirror body.

Подробнее
08-06-2021 дата публикации

Particle image velocimetry of extreme ultraviolet lithography systems

Номер: US0011029324B2

A method includes irradiating a target droplet in an extreme ultraviolet light source of an extreme ultraviolet lithography tool with light from a droplet illumination module. Light reflected and/or scattered by the target droplet is detected. Particle image velocimetry is performed to monitor one or more flow parameters inside the extreme ultraviolet light source.

Подробнее
16-05-2019 дата публикации

Fuel target generator

Номер: TW0201918797A
Принадлежит:

Embodiments of the disclosure provide a target fuel generator. The target fuel generator includes a buffer chamber configured to receive target fuel. The target fuel generator further includes a storage chamber connected to the buffer chamber and configured to receive the target fuel from the buffer chamber. The target fuel generator also includes a valve mechanism configured to control the flow of the target fuel from the buffer chamber to the storage chamber. In addition, the target fuel generator includes a nozzle connected to the storage chamber and configured to supply the target fuel.

Подробнее
20-02-2020 дата публикации

LITHOGRAPHY SYSTEM AND LITHOGRAPHY METHOD

Номер: US20200057376A1
Принадлежит:

A lithography method includes outputting, by an optical alignment sensor in a scanner system, a first signal in response to a light signal received by the optical alignment sensor; controlling, by a controller, a trajectory of a droplet in a light source system according to the first signal feedback to the controller; and irradiating the droplet by a drive laser to output a light beam from the light source system to the scanner system. 1. A lithography method , comprising:outputting, by an optical alignment sensor in a scanner system, a first signal in response to a light signal received by the optical alignment sensor;controlling, by a controller, a trajectory of a droplet in a light source system according to the first signal feedback to the controller; andirradiating the droplet by a drive laser to output a light beam from the light source system to the scanner system.2. The lithography method of claim 1 , wherein controlling the trajectory of the droplet comprises:compensating, by the controller, a position change of a camera in the light source system according to the first signal; andobtaining an image by the camera in the light source system;wherein the trajectory of the droplet is controlled according to the image and the position change of the camera.3. The lithography method of claim 2 , wherein obtaining the image by the camera comprises:generating, by a line laser module, a light curtain in a chamber of the light source system; andimaging, by the camera, the light curtain as the droplet passing through the light curtain to obtain the image.4. The lithography method of claim 2 , wherein controlling the trajectory of the droplet comprises:determining, by the controller, the trajectory of the droplet according to the image and the position change of the camera;computing, by the controller, a droplet position error according to the trajectory of the droplet; andoutputting, by the controller, a driving signal in response to the droplet position error to drive ...

Подробнее
15-09-2020 дата публикации

Extreme ultraviolet photolithography system and method

Номер: US0010779387B2

A method of operating an extreme ultraviolet (EUV) lithography system includes directing a metallic droplet along a shroud, wherein the shroud has a first opening adjacent a droplet generator and a second opening adjacent an excitation region; partially shielding the second opening of the shroud; and emitting a laser beam encountering the metallic droplet to generate an EUV light.

Подробнее
20-05-2021 дата публикации

METHOD OF OPERATING SEMICONDUCTOR APPARATUS AND SEMICONDUCTOR APPARATUS

Номер: US20210149317A1

A method of controlling a temperature of the semiconductor device includes operating an semiconductor apparatus; maintaining a temperature of a vessel of the semiconductor apparatus with a first cooling output by a cooling controller; heating the vessel for removing a material on the vessel; transferring a first signal, by a converter, to the cooling controller when heating the vessel; and reducing the first cooling output to a second cooling output by the cooling controller base on the first signal.

Подробнее
01-06-2020 дата публикации

Apparatus for generating extreme ultraviolet radiation

Номер: TW0202021427A
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation includes a droplet generator configured to generate target droplets. An excitation laser is configured to heat the target droplets using excitation pulses to convert the target droplets to plasma. An energy detector is configured to measure a variation in EUV energy generated when the target droplets are converted to plasma. A feedback controller is configured to adjust parameters of the droplet generator and/or the excitation laser based on the variation in EUV energy.

Подробнее
16-07-2020 дата публикации

Method for operating EUV light generation apparatus and EUV light radiation generating apparatus

Номер: TW0202026750A
Принадлежит:

A source for generating extreme ultraviolet(EUV) radiation includes a chamber enclosing a low pressure environment. A gas inlet is configured to provide a cleaning gas in the chamber. A plurality of exhaust ports, each having a corresponding gate valve including a scanner gate valve corresponding to an exhaust port separating the chamber from an EUV scanner are provided to the chamber. A pressure sensor is disposed inside the chamber and adjacent to the scanner gate valve. A controller is configured to control the gate valves other than the scanner gate valve based on an output of the pressure sensor.

Подробнее
21-07-2020 дата публикации

Droplet generator and method of servicing extreme ultraviolet radiation source apparatus

Номер: US0010719020B2

An extreme ultraviolet radiation source apparatus includes a chamber including at least a droplet generator, a nozzle of the droplet generator, and a dry ice blasting assembly. The droplet generator includes a reservoir for a molten metal, and the nozzle has a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. The dry ice blasting assembly includes a blasting nozzle, a blasting air inlet and a blaster carbon dioxide (CO2) inlet. The blasting nozzle is disposed inside the chamber. The blasting nozzle is arranged to direct a pressurized air stream and dry ice particles at the nozzle of the droplet generator.

Подробнее
03-09-2020 дата публикации

RADIATION SOURCE FOR LITHOGRAPHY PROCESS

Номер: US20200278617A1

A method for a lithography exposure process is provided. The method includes irradiating a target droplet with a laser beam to create an extreme ultraviolet (EUV) light. The method further includes reflecting the EUV light with a collector. The method also includes discharging a cleaning gas over the collector through a gas distributor positioned next to the collector. A portion of the cleaning gas is converted to free radicals before the cleaning gas leaves the gas distributor, and the free radicals are discharged over the collector along with the cleaning gas.

Подробнее
05-11-2020 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20200348241A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented.

Подробнее
14-05-2020 дата публикации

METHODS AND APPARATUS FOR REMOVING CONTAMINATION FROM LITHOGRAPHIC TOOL

Номер: US20200150550A1

Embodiments described herein provide a method for cleaning contamination from sensors in a lithography tool without requiring recalibrating the lithography tool. More particularly, embodiments described herein teach cleaning the sensors using hydrogen radicals for a short period while the performance drifting is still above the drift tolerance. After a cleaning process described herein, the lithography tool can resume production without recalibration.

Подробнее
04-04-2019 дата публикации

COLLECTOR PELLICLE

Номер: US20190104604A1
Принадлежит:

An extreme ultraviolet (EUV) source includes a collector mirror, a drain, a droplet generator configured to eject a target material toward the drain, a pellicle disposed over the collector mirror. The pellicle is configured to catch debris formed of the target material. 1. An extreme ultraviolet (EUV) source , comprisinga collector mirror;a drain;a droplet generator configured to eject a target material toward the drain;a pellicle disposed over the collector mirror, wherein the pellicle is configured to catch debris formed of the target material.2. The EUV source of claim 1 , wherein the pellicle is disposed between the collector mirror and a straight line between the droplet generator and the drain.3. The EUV source of claim 1 , wherein the pellicle is positioned outside an intermediate focus of the collector mirror.4. The EUV source of claim 1 , further comprising a frame assembly configured to be removably coupled to the drain.5. The EUV source of claim 4 , the frame assembly is operated by at least one of a rotation mechanism claim 4 , sliding mechanism claim 4 , hinge mechanism claim 4 , and nuts and bolts.6. The EUV source of claim 1 , wherein the pellicle is made of an EUV light-transmitting material including silicon carbide claim 1 , polysilicon claim 1 , graphene claim 1 , and silicon nitride.7. The EUV source of claim 1 , wherein the collector mirror is made of a multi-layered mirror including Mo/Si claim 1 , La/B claim 1 , La/BC claim 1 , Ru/BC claim 1 , Mo/BC claim 1 , AlO/BC claim 1 , W/C claim 1 , Cr/C claim 1 , and Cr/Sc.8. The EUV source of claim 7 , further comprising a capping layer formed on the collector mirror.9. The EUV source of claim 8 , wherein the capping layer includes SiO claim 8 , Ru claim 8 , TiO claim 8 , and ZrO.10. An extreme ultraviolet (EUV) source claim 8 , comprisinga collector mirror;a drain;a droplet generator configured to eject a target material toward the drain;a plurality of pellicle disposed over the collector mirror, ...

Подробнее
27-12-2018 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20180376575A1
Принадлежит:

An extreme ultra violet (EUV) radiation source apparatus includes a collector, a target droplet generator for generating a tin (Sn) droplet, a rotatable debris collection device and a chamber enclosing at least the collector and the rotatable debris collection device. The rotatable debris collection device includes a first end support, a second end support and a plurality of vanes, ends of which are supported by the first end support and the second end support, respectively. A surface of at least one of the plurality of vanes is coated by a catalytic layer, which reduces a SnH4 to Sn.

Подробнее
08-11-2007 дата публикации

HOOD FOR IMMERSION LITHOGRAPHY

Номер: US2007258060A1
Принадлежит:

A lithography apparatus includes an imaging lens module; a substrate table positioned underlying the imaging lens module and configured to hold a substrate; a fluid retaining module configured to hold a fluid in a space between the imaging lens module and a substrate on the substrate stage; and a heating element configured in the fluid retaining module and adjacent to the space. The heating element includes at least one of following: a sealant insoluble to the fluid for sealing the heating element in the fluid retaining module; a sealed opening configured in one of top portion and side portion of the fluid retaining module for sealing the heating element in the fluid retaining module; and/or a non-uniform temperature compensation device configured with the heating element.

Подробнее
04-05-2021 дата публикации

Reticle backside inspection method

Номер: US0010997706B2

A method of inspecting a reticle includes obtaining a first image of a surface of the reticle at a first height by scanning the reticle surface with a light source at the first height of the reticle surface relative to a reference surface height of the reticle surface and obtaining a second image of the reticle surface at a second height by scanning the reticle surface with the light source at the second height of the reticle surface relative to the reference surface height of the reticle surface. The second height is different from the first height. The first and the second images are then combined to obtain a surface profile image of the reticle.

Подробнее
16-04-2019 дата публикации

Wafer-chuck-cleaning method, semiconductor manufacturing method and cleaning system

Номер: TW0201916096A
Принадлежит:

A method for cleaning a wafer chuck is provided. The method includes placing a cleaning device on the wafer chuck in a vacuum chamber, adsorbing a polymer layer of the cleaning device to the wafer chuck by the wafer chuck, and separating the cleaning device from the wafer chuck when the cleaning device has been absorbed to the wafer chuck for a first time period.

Подробнее
23-05-2019 дата публикации

Methods and Systems for Aligning Master Oscillator Power Amplifier Systems

Номер: US20190157828A1
Принадлежит:

The present disclosure provides a method for aligning a master oscillator power amplifier (MOPA) system. The method includes ramping up a pumping power input into a laser amplifier chain of the MOPA system until the pumping power input reaches an operational pumping power input level; adjusting a seed laser power output of a seed laser of the MOPA system until the seed laser power output is at a first level below an operational seed laser power output level; and performing a first optical alignment process to the MOPA system while the pumping power input is at the operational pumping power input level, the seed laser power output is at the first level, and the MOPA system reaches a steady operational thermal state. 1. A method for aligning a master oscillator power amplifier (MOPA) system , comprising:ramping up a pumping power input into a laser amplifier chain of the MOPA system until the pumping power input reaches an operational pumping power input level;adjusting a seed laser power output of a seed laser of the MOPA system until the seed laser power output is at a first level below an operational seed laser power output level; andperforming a first optical alignment process to the MOPA system while the pumping power input is at the operational pumping power input level, the seed laser power output is at the first level, and the MOPA system reaches a steady operational thermal state.2. The method of claim 1 , further comprising:ramping up, through a number of ascending stages, the seed laser power output of the seed laser from the first level to the operational seed laser power output level; andaligning the MOPA system at each of the number of ascending stages.3. The method of claim 2 , further comprising:adjusting the seed laser power output of the seed laser of the MOPA system until the seed laser power output is at a second level greater than the first level and below the operational seed laser power output level; andperforming a second optical alignment ...

Подробнее
26-11-2013 дата публикации

Method and apparatus of providing overlay

Номер: US0008592107B2

Provided is an apparatus that includes an overlay mark. The overlay mark includes a first portion that includes a plurality of first features. Each of the first features have a first dimension measured in a first direction and a second dimension measured in a second direction that is approximately perpendicular to the first direction. The second dimension is greater than the first dimension. The overlay mark also includes a second portion that includes a plurality of second features. Each of the second features have a third dimension measured in the first direction and a fourth dimension measured in the second direction. The fourth dimension is less than the third dimension. At least one of the second features is partially surrounded by the plurality of first features in both the first and second directions.

Подробнее
04-03-2021 дата публикации

System and Method for Extreme Ultraviolet Source Control

Номер: US20210068241A1
Принадлежит:

A method for extreme ultraviolet (EUV) lithography includes loading an EUV mask to a lithography system; loading a wafer to the lithography system, wherein the wafer includes a resist layer sensitive to EUV radiation; producing EUV radiation by heating target plumes using a radiation source; and exposing the resist layer to the EUV radiation while monitoring a speed of the target plumes. 1. A method for extreme ultraviolet (EUV) lithography , the method comprising:loading an EUV mask to a lithography system;loading a wafer to the lithography system, wherein the wafer includes a resist layer sensitive to EUV radiation;producing EUV radiation by heating target plumes using a radiation source; andexposing the resist layer to the EUV radiation while monitoring a speed of the target plumes.2. The method of claim 1 , wherein the monitoring of the speed of the target plumes includes:directing first and second laser beams onto the target plumes;receiving the first and the second laser beams reflected by the target plumes; andcalculating a first delay between when the first laser beam reflected by the target plumes is received and when the second laser beam reflected by the target plumes is received.3. The method of claim 2 , further comprising:adjusting at least one of parameters of the radiation source based on information derived from at least the first delay.4. The method of claim 3 , wherein the parameters of the radiation source includes an energy level of first laser pulses produced by the radiation source and used for heating target droplets to produce the target plumes claim 3 , an energy level of second laser pulses produced by the radiation source and used for heating the target plumes claim 3 , and a second delay between the first laser pulses and corresponding ones of the second laser pulses.5. The method of claim 1 , further comprising:developing the resist layer after the exposing of the resist layer.6. The method of claim 5 , wherein the developing of the ...

Подробнее
11-09-2012 дата публикации

In-line particle detection for immersion lithography

Номер: US0008264662B2

An immersion lithography system, comprising a lens unit configured to project a pattern from an end thereof and onto a wafer, a hood unit configured to confine an immersion fluid to a region of the wafer surrounding the end of the lens unit, a wafer stage configured to position the wafer proximate the end of the lens unit, and at least one of an image capturing apparatus and a scattering light detection apparatus, wherein the image capturing apparatus is coupled to the wafer stage and is configured to capture an image of a surface of the hood unit proximate the wafer stage, and wherein the scattering light detection apparatus is proximate the end of the lens unit and the hood unit and is configured to detect particles on a surface of the wafer stage.

Подробнее
14-07-2020 дата публикации

Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system

Номер: US0010712676B2

A radiation source apparatus is provided. The radiation source apparatus includes a chamber, a target droplet generator, an exhaust module, a measuring device, and a controller. The target droplet generator is configured to provide a plurality of target droplets to the chamber. The exhaust module is configured to extract debris corresponding to the target droplets out of the chamber according to a first gas flow rate. The measuring device is configured to measure concentration of the debris in the chamber. The controller is configured to adjust the first gas flow rate according to the measured concentration of the debris.

Подробнее
01-04-2020 дата публикации

Method for generating extreme ultraviolet radiation

Номер: TW0202013083A
Принадлежит:

An extreme ultraviolet (EUV) radiation source apparatus includes a collector and a target droplet generator for generating a tin (Sn) droplet. A debris collection device is disposed over a reflection surface of the collector, and at least one drip hole is located between the debris collection device and the collector. A tin bucket for collecting debris from the debris collection device is located below the at least one drip hole, and a tube or guide rod extends from the drip hole to the tin bucket.

Подробнее
13-10-2015 дата публикации

Method of overlay prediction

Номер: US0009158209B2

A method includes receiving a substrate having a material feature embedded in the substrate, wherein receiving the substrate includes receiving a first leveling data and a first overlay data generated when forming the material feature, deposing a resist film on the substrate, and exposing the resist film using a predicted overlay correction data to form a resist pattern overlying the material feature on the substrate, wherein using the predicted overlay correction data includes generating a second leveling data and calculating the predicted overlay correction data using the first leveling data, the first overlay data, and the second leveling data.

Подробнее
14-04-2020 дата публикации

Laser source device and extreme ultraviolet lithography device

Номер: US0010624196B1

A device includes a laser source, an amplifier, an optical sensor and a spectrometer. The laser source is configured to produce a seed laser beam. The amplifier includes gain medium and a discharging unit. The discharging unit is configured to pump the gain medium for amplifying power of the seed laser beam. The optical sensor is coupled to the amplifier and configured for sensing an optical emission generated in the amplifier while the gain medium is discharging. The spectrometer is coupled with the optical sensor and configured to measure a spectrum of the optical emission.

Подробнее
22-10-2020 дата публикации

METHOD AND APPARATUS FOR REMOVING DEBRIS FROM COLLECTOR

Номер: US20200331038A1

Debris is removed from a collector of an extreme ultraviolet light source vessel by applying a suction force through a vacuum opening of a cable. The method for removing debris also includes weakening debris attachment by using a sticky surface or by spreading a solution through a nozzle, wherein the sticky surface and the nozzle are arranged on the cable proximal to the vacuum opening. A borescope system and interchangeable rigid portions of the cable assists in targeting a target area of the collector where the debris is.

Подробнее
02-04-2020 дата публикации

LASER SOURCE DEVICE AND EXTREME ULTRAVIOLET LITHOGRAPHY DEVICE

Номер: US20200107428A1

A device includes a laser source, an amplifier, an optical sensor and a spectrometer. The laser source is configured to produce a seed laser beam. The amplifier includes gain medium and a discharging unit. The discharging unit is configured to pump the gain medium for amplifying power of the seed laser beam. The optical sensor is coupled to the amplifier and configured for sensing an optical emission generated in the amplifier while the gain medium is discharging. The spectrometer is coupled with the optical sensor and configured to measure a spectrum of the optical emission.

Подробнее
30-07-2020 дата публикации

LASER SOURCE DEVICE, EXTREME ULTRAVIOLET LITHOGRAPHY DEVICE AND METHOD

Номер: US20200245443A1

A device includes a laser source, an amplifier, an optical sensor and a spectrometer. The laser source is configured to produce a seed laser beam. The amplifier includes gain medium and a discharging unit. The discharging unit is configured to pump the gain medium for amplifying power of the seed laser beam. The optical sensor is coupled to the amplifier and configured for sensing an optical emission generated in the amplifier while the gain medium is discharging. The spectrometer is coupled with the optical sensor and configured to measure a spectrum of the optical emission.

Подробнее
17-11-2020 дата публикации

System and method for extreme ultraviolet source control

Номер: US0010842009B2

A method for extreme ultraviolet (EUV) lithography includes generating a target droplet, producing a target plume by heating the target droplet with a first laser pulse, directing first and second laser beams onto the target plume, and receiving the first and the second laser beams reflected by the target plume.

Подробнее
17-12-2019 дата публикации

Extreme ultraviolet radiation source and droplet catcher thereof

Номер: US0010512147B1

An extreme ultraviolet radiation source is provided, including a droplet generator and a droplet catcher. The droplet generator is configured to output a plurality of target droplets along a target droplet path that is parallel to a horizontal direction. The droplet catcher includes an open end substantially aligned with the target droplet path, and an enclosed end that is opposite to the open end. The droplet catcher also includes a pipe wall disposed between the open end the enclosed end. The pipe wall includes a first pipe wall portion having an inner top surface parallel to the horizontal direction and an inner bottom surface inclined relative to the inner top surface. In addition, the droplet catcher includes at least one gutter formed on the inner bottom surface and having a long axis extending along the horizontal direction.

Подробнее
01-01-2020 дата публикации

EUV collector mirror for EUV radiation source apparatus and EUV radiation source apparatus

Номер: TW0202001440A
Принадлежит:

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a heater attached to or embedded in the EUV collector mirror body and a drain structure to drain melted metal from the reflective surface of the EUV collector mirror body to a back side of the EUV collector mirror body.

Подробнее
01-01-2020 дата публикации

Method of diagnosing RF generator of laser produced plasma extreme ultra violet (LPP EUV) radiation source apparatus, LPP EUV radiation source apparatus, and method of error recovery of LPP EUV radiation source apparatus

Номер: TW0202001441A
Принадлежит:

In a method of diagnosing an RF generator of a laser produced plasma extreme ultra violet (LPP EUV) radiation source apparatus, a testing system is connected to the RF generator of the LPP EUV radiation source apparatus. An output power is measured by the testing system with changing an input power of the RF generator. Using a computer system, the measured output power is analyzed. Based on the analyzed measured output power, whether the RF generator is operating properly is determined.

Подробнее
01-07-2019 дата публикации

Reticle, reticle container and method for discharging static charges on reticle

Номер: TW0201925908A
Принадлежит:

A reticle, a reticle container and a method for discharging static charges accumulated on a reticle are provided. The reticle includes a mask substrate, a reflective multilayer (ML) structure, a capping layer, an absorption structure and a conductive material structure. The mask substrate has a front-side surface and a back-side surface. The reflective ML structure is positioned over the front-side surface of mask substrate. The capping layer is positioned over the reflective ML structure. The absorption structure is positioned over the capping layer. The conductive material structure is positioned over a sidewall surface of the mask substrate and a sidewall surface of the absorption structure.

Подробнее
16-04-2020 дата публикации

Apparatus for generating extreme ultraviolet radiation

Номер: TW0202015296A
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation includes a droplet generator configured to generate target droplets. An excitation laser is configured to heat the target droplets using excitation pulses to convert the target droplets to plasma. A deformable mirror is disposed in a path of the excitation laser. A controller is configured to adjust parameters of the excitation laser by controlling the deformable mirror based on a feedback parameter.

Подробнее
01-09-2020 дата публикации

Method for extreme ultraviolet lithography

Номер: TW0202032622A
Принадлежит:

A method for monitoring a shock wave in an extreme ultraviolet light source includes irradiating a droplet in the extreme ultraviolet light source apparatus of an extreme ultraviolet lithography tool with ionizing radiation to generate a plasma and to detect a shock wave generated by the plasma. One or more operating parameters of the extreme ultraviolet light source is adjusted based on the detected shock wave.

Подробнее
01-02-2021 дата публикации

Droplet catcher system of EUV lithography apparatus

Номер: TW202105072A
Принадлежит:

A droplet catcher system of an EUV lithography apparatus is provided. The droplet catcher system includes a catcher body, a heat transfer part, a heat exchanger, and a controller. The catcher body has an outer surface. The heat transfer part is directly attached to the outer surface of the catcher body. The heat exchanger is thermally coupled to the heat transfer part. The controller is electrically coupled to the heat exchanger.

Подробнее
01-04-2020 дата публикации

Apparatus for generating extreme ultraviolet radiation

Номер: TW0202013091A
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation comprises a droplet generator, an excitation laser source, an energy detector, and a feedback controller. The droplet generator is configured to generate target droplets. The excitation laser is configured to generate a pre-pulse and a main pulse to convert the target droplets to plasma by heating. The energy detector is configured to measure a variation in EUV energy generated when the target droplets are converted to plasma. The feedback controller is configured to adjust a time delay between a subsequent pre-pulse and main pulse generated by the excitation laser based on the variation in EUV energy generated by a given main pulse.

Подробнее
04-02-2021 дата публикации

Droplet Catcher System of EUV Lithography Apparatus and EUV Lithography Apparatus Maintenance Method

Номер: US20210033986A1

A droplet catcher system of an EUV lithography apparatus is provided. The droplet catcher system includes a catcher body, a heat transfer part, a heat exchanger, and a controller. The catcher body has an outer surface. The heat transfer part is directly attached to the outer surface of the catcher body. The heat exchanger is thermally coupled to the heat transfer part. The controller is electrically coupled to the heat exchanger. 1. A droplet catcher system of an EUV lithography apparatus , comprising:a catcher body;a first heat transfer part, directly attached to the catcher body;a second heat transfer part, directly attached to the catcher body;a heat exchanger, thermally coupled to the second heat transfer part; anda controller, electrically coupled to the heat exchanger and the first heat transfer part.2. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1 , wherein the first heat transfer part comprises an electrical heater.3. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1 , wherein the catcher body comprises a casing claim 1 , wherein the first heat transfer part and the second heat transfer part are directly attached to the casing.4. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1 , wherein the second heat transfer part comprises a pipe filled with a heat transfer fluid.5. The droplet catcher system of the EUV lithography apparatus as claimed in claim 4 , further comprising a tank and conduits claim 4 , wherein the tank is in fluid communication with the pipe through the conduits.6. The droplet catcher system of the EUV lithography apparatus as claimed in claim 5 , wherein the heat exchanger is thermally coupled to at least one of the conduits and the tank.7. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1 , wherein at least one of the first heat transfer part and the second heat transfer part is embedded in the catcher body.8. ...

Подробнее
02-05-2019 дата публикации

LAYOUT MODIFICATION METHOD FOR EXPOSURE MANUFACTURING PROCESS

Номер: US20190131290A1
Принадлежит:

A layout modification method for fabricating an integrated circuit is provided. The layout modification method includes calculating uniformity of critical dimension of a patterned layer with a layout for an exposure manufacturing process to produce a semiconductor device. The patterned layer is divided into a first portion and a second portion which is adjacent to the first portion, and a width of the second portion equals to a penumbra size of the exposure manufacturing process. The layout modification method further includes retrieving an adjusting parameter for modifying the layout of the semiconductor device; determining a compensation amount based on the adjusting parameter and the uniformity of critical dimension; and compensating the critical dimension of the second portion of the patterned layer by utilizing the compensation amount to generate a modified layout. 1. A layout modification method for fabricating an integrated circuit , comprising:calculating uniformity of critical dimensions of a first portion and a second portion in a patterned layer using a layout for an exposure manufacturing process to produce a semiconductor device in the integrated circuit, wherein the second portion is adjacent to the first portion, and a width of the second portion equals a penumbra size of the exposure manufacturing process;retrieving an adjusting parameter for modifying the layout;determining a compensation amount based on the adjusting parameter and the uniformity of the critical dimensions; andcompensating the critical dimension of the second portion of the patterned layer by utilizing the compensation amount to generate a modified layout.2. The layout modification method as claimed in claim 1 , wherein the adjusting parameter is a Mask Error Enhancement Factor (MEEF) utilized for optical proximity correction of the exposure manufacturing process of the semiconductor device.3. The layout modification method as claimed in claim 2 , wherein the penumbra size is ...

Подробнее
28-09-2021 дата публикации

Droplet generator assembly and method for using the same and radiation source apparatus

Номер: US0011134558B2

A droplet generator assembly includes a storage tank, a refill system, a droplet generator, and a temperature control system. The storage tank is configured to store a target material. The refill system is connected to the storage tank. The droplet generator includes a reservoir and a nozzle connected to the reservoir, in which the droplet generator is connected to the refill system, and the refill system is configured to deliver the target material to the reservoir. The temperature control system is adjacent to the refill system or the reservoir.

Подробнее
20-02-2020 дата публикации

LITHOGRAPHY APPARATUS AND CLEANING METHOD THEREOF

Номер: US20200057393A1

A method for cleaning a lithography apparatus is provided. The method includes flowing a major cleaning agent in volume over a reflective surface of a collector of the lithography apparatus; and flowing a minor cleaning agent in volume intermittently over the reflective surface of the collector, so as to clean the reflective surface of the collector. 1. A method , comprising:emitting droplets by a droplet generator of a lithography apparatus;flowing a first cleaning agent over a reflective surface of a collector of the lithography apparatus; andflowing a second cleaning agent over the reflective surface when a cumulative count of the droplets emitted by the droplet generator of the lithography apparatus reaches a predetermined count.2. The method of claim 1 , wherein a total amount of the second cleaning agent supplied to the reflective surface is smaller than a total amount of the first cleaning agent supplied to the reflective surface with a period of time.3. The method of claim 1 , further comprising:mixing the first cleaning agent and the second cleaning agent before reaching the reflective surface.4. The method of claim 1 , wherein flowing the second cleaning agent comprises:turning off the flow of second cleaning agent for a period of time.5. The method of claim 1 , further comprising:generating an extreme ultraviolet (EUV) light to the reflective surface.6. The method of claim 1 , wherein a maximum flow rate allowed for the second cleaning agent is about 0.0008 to about 0.0012 standard liter per minute.7. The method of claim 1 , wherein a maximum flow rate allowed for the first cleaning agent is about 50 to about 60 standard liter per minute.8. The method of claim 1 , further comprising:preventing the first cleaning agent from flowing back from the reflective surface.9. The method of claim 1 , further comprising:preventing the second cleaning agent from flowing back from the reflective surface.10. The method of claim 1 , wherein the first cleaning agent is ...

Подробнее
01-12-2020 дата публикации

Light source system and polarization angle adjusting method

Номер: US0010852191B2

A light source system is provided. The light source system is capable of measuring a polarization angle and includes a light source configured to emit an original light beam, and the original light beam has an original polarization angle. The light source system further includes an amplifying module configured to amplify the original light beam and generate a forward beam for hitting a target, and the forward beam has a forward polarization angle that is equal to the original polarization angle. The light source system further includes a polarization measurement unit, and the polarization measurement unit includes a first polarization measurement module configured to receive a first return beam and measure a first polarization angle of the first return beam. The first return beam is reflected from the target.

Подробнее
01-02-2019 дата публикации

Nozzle module, lithography device and method for using the same

Номер: TW0201905596A
Принадлежит:

A nozzle module is provided. The nozzle module includes a nozzle. The nozzle has a chamber and a liquid outlet. The nozzle module also includes a capillary tube. The capillary tube is connected to the liquid outlet to communicate with the chamber. The nozzle module further includes a piezoelectric element. The piezoelectric element pinches the capillary tube. Furthermore, the nozzle module includes a frequency generator. The frequency generator is disposed on the outer surface of the chamber to provide an oscillation frequency to the chamber.

Подробнее
23-03-2021 дата публикации

EUV radiation source apparatus for lithography

Номер: US0010955752B2

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a heater attached to or embedded in the EUV collector mirror body and a drain structure to drain melted metal from the reflective surface of the EUV collector mirror body to a back side of the EUV collector mirror body.

Подробнее
12-07-2016 дата публикации

System and method for lithography with leveling sensor

Номер: US0009389520B2
Автор: Li-Jui Chen, CHEN LI-JUI

Disclosed is a lithography system. The lithography system includes a radiation source for providing radiation energy; a reticle stage configured to hold a reticle; an imaging lens module configured to direct the radiation energy onto a substrate to form an image of the reticle; and a leveling sensor configured to receive a leveling signal from an exposure field of the reticle secured on the reticle stage.

Подробнее
24-04-2014 дата публикации

METHOD OF OVERLAY PREDICTION

Номер: US20140111779A1

A method includes receiving a substrate having a material feature embedded in the substrate, wherein receiving the substrate includes receiving a first leveling data and a first overlay data generated when forming the material feature, deposing a resist film on the substrate, and exposing the resist film using a predicted overlay correction data to form a resist pattern overlying the material feature on the substrate, wherein using the predicted overlay correction data includes generating a second leveling data and calculating the predicted overlay correction data using the first leveling data, the first overlay data, and the second leveling data. 1. A method of forming a resist pattern , the method comprising:receiving a substrate having a material feature embedded in the substrate, wherein receiving the substrate includes receiving a first leveling data and a first overlay data generated when forming the material feature;deposing a resist film on the substrate; andexposing the resist film using a predicted overlay correction data to form a resist pattern overlying the material feature on the substrate, wherein using the predicted overlay correction data includes generating a second leveling data and calculating the predicted overlay correction data using the first leveling data, the first overlay data, and the second leveling data.2. The method of claim 1 , further comprising developing the exposed resist film.3. The method of claim 1 , further comprising performing an overlay measurement to the first overlay data.4. The method of claim 1 , wherein calculating the predicted overlay correction data includes calculating an inter-field correction data of the predicted overlay correction data.5. The method of claim 4 , further comprising modifying the inter-field correction data using a leveling difference data between the first leveling data and the second leveling data.6. The method of claim 5 , further comprising calculating a Z-height map using the leveling ...

Подробнее
16-06-2019 дата публикации

Extreme ultraviolet radiation source

Номер: TW0201923482A
Принадлежит:

A target droplet source for an extreme ultraviolet (EUV) source includes a droplet generator configured to generate target droplets of a given material. The droplet generator includes a nozzle configured to supply the target droplets in a space enclosed by a chamber. The target droplet source further includes a sleeve disposed in the chamber proximal to the nozzle. The sleeve is configured to provide a path for the target droplets in the chamber.

Подробнее
01-02-2019 дата публикации

Debris collection devices for extreme ultra violet (EUV) radiation source apparatus

Номер: TW0201905599A
Принадлежит:

An extreme ultra violet (EUV) radiation source apparatus includes a collector, a target droplet generator for generating a tin (Sn) droplet, a rotatable debris collection device and a chamber enclosing at least the collector and the rotatable debris collection device. The rotatable debris collection device includes a first end support, a second end support and a plurality of vanes, ends of which are supported by the first end support and the second end support, respectively. A surface of at least one of the plurality of vanes is coated by a catalytic layer, which reduces a SnH4 to Sn.

Подробнее
10-08-2021 дата публикации

Lithography system and method thereof

Номер: US0011086225B2

A method includes providing a plurality of fuel droplets into an EUV source vessel by a fuel droplet generator, in which the fuel droplet generator has a first portion inside the EUV source vessel and a second portion outside the EUV source vessel; generating a plurality of output signals respectively from a plurality of oscillation sensors on the fuel droplet generator; determining whether the output signals are acceptable; and determining whether an unwanted oscillation originates from the first portion of the fuel droplet generator or the second portion of the fuel droplet generator when the output signals is determined as unacceptable.

Подробнее
29-12-2020 дата публикации

Method and apparatus for removing debris from collector

Номер: US0010875060B2

Debris is removed from a collector of an extreme ultraviolet light source vessel by applying a suction force through a vacuum opening of a cable. The method for removing debris also includes weakening debris attachment by using a sticky surface or by spreading a solution through a nozzle, wherein the sticky surface and the nozzle are arranged on the cable proximal to the vacuum opening. A borescope system and interchangeable rigid portions of the cable assists in targeting a target area of the collector where the debris is.

Подробнее
20-02-2020 дата публикации

COMMUNICATION CONTROL METHOD

Номер: US20200057384A1
Принадлежит:

A method includes operation below. A first task is performed by a control circuit according to a first request from a processor. A second request, for triggering a second task to be performed by the control circuit, is received by an interface circuit. A first data rate, for transmitting first data generated in the first task, is calculated by the interface circuit. A second data rate, for transmitting second data to be generated in the second task, is estimated by the interface circuit. The second task other than the first task is performed by the control circuit. The first data and the second data are transmitted from the control circuit to the processor in a condition that a sum of the first data rate and the second data rate complies with a bandwidth of a data switch coupled between the control circuit and the processor. 1. A method , comprising:performing a first task, by a control circuit, according to a first request from a processor;receiving a second request, by an interface circuit, for triggering a second task to be performed by the control circuit;calculating a first data rate, by the interface circuit, for transmitting first data generated in the first task, and estimating a second data rate, by the interface circuit, for transmitting second data to be generated in the second task; andperforming the second task, by the control circuit, other than the first task, and transmitting the first data and the second data from the control circuit to the processor, in a condition that a sum of the first data rate and the second data rate complies with a bandwidth of a data switch coupled between the control circuit and the processor.2. The method of claim 1 , wherein in the condition that the sum of the first data rate and the second data rate complies with the bandwidth of the data switch claim 1 , the first data and the second data are data streams transmitted concurrently from the control circuit through the data switch to the processor.3. The method of claim ...

Подробнее
05-11-2020 дата публикации

RADIATION SOURCE APPARATUS AND METHOD FOR DECREASING DEBRIS IN RADIATION SOURCE APPARATUS

Номер: US20200348607A1

A radiation source apparatus is provided. The radiation source apparatus includes a chamber, an exhaust module, a measuring device, a gas supply module and a controller. The exhaust module is configured to extract debris caused by unstable target droplets out of the chamber according to a first gas flow rate. The measuring device is configured to measure concentration of the debris in the chamber. The gas supply module is configured to provide a gas into the chamber according to a second gas flow rate. The controller is configured to adjust the first gas flow rate and the second gas flow according to the measured concentration of the debris.

Подробнее
10-08-2021 дата публикации

Extreme ultraviolet lithography system

Номер: US0011086237B2

An extreme ultraviolet (EUV) lithography system includes a vane bucket module. The vane bucket module includes a collecting tank and a temperature adjusting pack. The collecting tank has a cover and the cover includes a plurality of through holes. Thicknesses of edges of the cover is greater than a thickness of a center of the cover. The temperature adjusting pack surrounds the collecting tank. The temperature adjusting pack includes a plurality of inlets aligned with the through holes.

Подробнее
04-06-2020 дата публикации

METHOD AND DEVICE FOR MEASURING CONTAMINATION IN EUV SOURCE

Номер: US20200178380A1

A lithography apparatus includes an extreme ultraviolet (EUV) scanner, an EUV source coupled to the EUV scanner, a quartz crystal microbalance and a feedback controller. The quartz crystal microbalance is disposed on an internal surface of at least one of the EUV source and the EUV scanner. The feedback controller is coupled to the quartz crystal microbalance and one or more of a radiation source, a droplet generator, and optical guide elements controlling the trajectory of the radiation source associated with the EUV source.

Подробнее
16-05-2019 дата публикации

APPARATUS AND METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20190150262A1
Принадлежит:

A method of controlling an excitation laser includes detecting, at a droplet generator, a first signal of radiation scattered by a given target droplet irradiated by a first radiation source at a first position. The method of controlling the excitation laser further includes detecting, at the droplet generator, a second signal of radiation scattered by the given target droplet irradiated by a second radiation source at a second position a fixed distance away from the first position, and determining a speed of the given target droplet based on a time lag between the detecting of the first signal and the detecting of the second signal. The method further includes controlling a trigger time for triggering an excitation pulse for heating the given target droplet based on the determined speed of the given target droplet. 1. A device for controlling an excitation laser in an extreme ultraviolet (EUV) radiation source , the EUV radiation source comprising a droplet generator configured to generate target droplets and the excitation laser configured to heat the target droplets using excitation pulses , the device comprising:a first radiation source configured to irradiate each of the target droplets at a first position;a second radiation source configured to irradiate each of the target droplets at a second position a fixed distance away from the first position;a droplet detector configured to detect a first signal of radiation scattered by a given target droplet at the first position and a second signal of radiation scattered by the given target droplet at the second position; anda timing module configured to receive the first signal and the second signal, measure a speed of the given target droplet based on the received signals, estimate a trigger time for providing an excitation pulse to heat the given target droplet based on the measured speed and provide the excitation laser the trigger time.2. The device of claim 1 , wherein the first radiation source and the second ...

Подробнее
20-02-2020 дата публикации

APPARATUS AND METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20200057382A1
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation includes a droplet generator configured to generate target droplets. An excitation laser is configured to heat the target droplets using excitation pulses to convert the target droplets to plasma. An energy detector is configured to measure a variation in EUV energy generated when the target droplets are converted to plasma. A feedback controller is configured to adjust parameters of the droplet generator and/or the excitation laser based on the variation in EUV energy. 1. An apparatus for generating extreme ultraviolet (EUV) radiation , the apparatus comprising:a droplet generator configured to generate target droplets;an excitation laser configured to heat the target droplets using excitation pulses to convert the target droplets to plasma;an energy detector configured to measure a variation in EUV energy generated when the target droplets are converted to plasma; anda feedback controller configured to adjust a parameter of at least one of the droplet generator or the excitation laser based on the variation in EUV energy.2. The apparatus of claim 1 , wherein the parameter of the droplet generator is at least one selected from the group consisting of droplet size claim 1 , droplet temperature claim 1 , time delay between successive droplets claim 1 , and droplet velocity.3. The apparatus of claim 1 , wherein the parameter of the excitation laser is at least one selected from the group consisting of position of focus of a pre-pulse claim 1 , position of focus of a main pulse claim 1 , time delay between the pre-pulse and the main pulse claim 1 , laser power claim 1 , time delay between successive pre-pulses claim 1 , time delay between successive main pulses claim 1 , and laser pulse width.4. The apparatus of claim 1 , wherein the feedback controller is further configured to determine another parameter to be adjusted based on historical data relating to the variation in EUV energy as a function of a ...

Подробнее
03-12-2019 дата публикации

Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system

Номер: US0010495987B2

A radiation source apparatus is provided. The radiation source apparatus includes a chamber, a target droplet generator, an exhaust module, a measuring device, and a controller. The target droplet generator is configured to provide a plurality of target droplets to the chamber. The exhaust module is configured to extract debris corresponding to the target droplets out of the chamber according to a first gas flow rate. The measuring device is configured to measure concentration of the debris in the chamber. The controller is configured to adjust the first gas flow rate according to the measured concentration of the debris.

Подробнее
27-02-2020 дата публикации

LIGHT SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20200068696A1
Принадлежит:

A method for generating light is provided. The method further includes measuring a period of time during which one of targets from a fuel target generator passes through two detection positions. The method also includes exciting the targets with a laser generator so as to generate plasma that emits light. In addition, the method includes adjusting at least one parameter of the laser generator according to the measured period of time, when the measured period of time is different from a predetermined value, wherein the parameter of the laser generator which is adjusted according to the measured period of time includes a frequency for generating a laser for illuminating the targets. 1. A method for generating light in a lithography exposure process , comprising:measuring a period of time during which one of targets from a fuel target generator passes through two detection positions;exciting the targets with a laser generator so as to generate plasma that emits light; andadjusting at least one parameter of the laser generator according to the measured period of time, when the measured period of time is different from a predetermined value, wherein the parameter of the laser generator which is adjusted according to the measured period of time comprises a frequency for generating a laser for illuminating the targets.2. The method for generating light in a lithography exposure process as claimed in claim 1 , wherein the operation of measuring the period of time comprises:projecting a first light curtain and a second light curtain at the two detection positions;receiving light reflected from one of the targets when the one of the targets passes through the two detection positions; andmeasuring a period of time between a time when the light reflected from the target at a first detection position is detected and a time when the light reflected from the target at as second detection position is detected.3. The method for generating light in a lithography exposure process as ...

Подробнее
11-11-2021 дата публикации

SYSTEM UND VERFAHREN ZUM AUSFÜHREN VON EXTREM-ULTRAVIOLETT-PHOTOLITHOGRAFIEPROZESSEN

Номер: DE102021106289A1
Принадлежит:

Ein Photolithografiesystem verwendet Zinntröpfchen zum Generieren von extrem-ultravioletter Strahlung für die Photolithografie. Das Photolithografiesystem bestrahlt die Tröpfchen mit einem Laser. Die Tröpfchen werden zu einem Plasma und emittieren extremultraviolette Strahlung. Das Photolithografiesystem erfasst eine Kontaminierung eines Sammelspiegels durch die Zinntröpfchen und justiert die Strömung eines Pufferfluids, um die Kontaminierung zu reduzieren.

Подробнее
16-02-2020 дата публикации

Extreme ultra violet vessel for an extreme ultra violet radiation source apparatus

Номер: TW0202008081A
Принадлежит:

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a trajectory correcting device attached to or embedded in the EUV collector mirror body and a trajectory correcting device to adjust the trajectory of metal away from the reflective surface of the EUV collector mirror body to an opposite side of the EUV collector mirror body.

Подробнее
02-01-2020 дата публикации

DROPLET GENERATOR AND METHOD OF SEVICING EXTREME ULTRAVIOLET RADIATION SOURCE APPARATUS

Номер: US20200004168A1
Принадлежит:

An extreme ultraviolet radiation source apparatus includes a chamber including at least a droplet generator, a nozzle of the droplet generator, and a dry ice blasting assembly. The droplet generator includes a reservoir for a molten metal, and the nozzle has a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. The dry ice blasting assembly includes a blasting nozzle, a blasting air inlet and a blaster carbon dioxide (CO) inlet. The blasting nozzle is disposed inside the chamber. The blasting nozzle is arranged to direct a pressurized air stream and dry ice particles at the nozzle of the droplet generator. 1. A method of cleaning an extreme ultra violet (EUV) radiation source apparatus , wherein the EUV radiation source apparatus comprises:a target droplet generator for generating a metal droplet within a chamber; anda dry ice blasting assembly having a blasting nozzle disposed inside the chamber and a dry ice supporting member, forming pressurized dry ice particles including dry ice particles and a pressurized air stream from the dry ice supporting member of the dry ice blasting assembly;', 'ejecting the pressurized dry ice particles through the blasting nozzle toward residual material at a nozzle of the target droplet generator;', 'removing the residual material from the target droplet generator; and', 'collecting the residual material and sublimated gaseous carbon dioxide from the pressurized dry ice particles., 'the method comprising2. The cleaning method of claim 1 , further comprising positioning the blasting nozzle with respect to the residual material by an extendable positioner.3. The cleaning method of claim 1 , further comprising oscillating the pressure of the pressurized dry ice particles.4. The cleaning method of claim 1 , further comprising:monitoring the residual material in the droplet generator;adjusting valves of the blasting pump when an amount of the residual material in the droplet ...

Подробнее
07-05-2020 дата публикации

LIGHT SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20200142318A1
Принадлежит:

A method for generating a radiation light in a lithography exposure system is provided. The method includes connecting a first nozzle assembly coupled to a support to an outlet of a storage member that receives a target fuel inside. The method further includes guiding the target fuel flowing through the first nozzle assembly and supplying a droplet of the target fuel into an excitation zone via the first nozzle assembly. The method also includes moving the support to connect a second nozzle assembly coupled to the support with the outlet. In addition, the method includes guiding the target fuel flowing through the second nozzle assembly and supplying a droplet of the target fuel into the excitation zone via the second nozzle assembly. The method further includes irradiating the droplet of the target fuel in the excitation zone with a laser pulse. 1. A target fuel generator , comprising:a storage member configured to store a target fuel; anda plurality of nozzle assemblies selectively and fluidly connected to an outlet of the storage member.2. The target fuel generator as claimed in claim 1 , wherein the storage member further comprises a valve mechanism connected to the outlet and configured to control flow of target fuel in the outlet.3. The target fuel generator as claimed in claim 1 , wherein the storage member extends along a first extending axis claim 1 , and the one of the plurality of nozzle assemblies connected with the outlet extends along a second extending axis not parallel to the first extending axis.4. The target fuel generator as claimed in claim 1 , further comprising a selection mechanism configured to selectively and fluidly connect the outlet of the storage member with one of the plurality of nozzle assemblies so as to eject a droplet of the target fuel via the one of the plurality of nozzle assemblies that connected with the outlet claim 1 , wherein the selection mechanism comprises:a support, wherein the plurality of nozzle assemblies is coupled ...

Подробнее
16-05-2019 дата публикации

Method for lithography

Номер: TW0201918798A
Принадлежит:

A method for lithography is provided. The method includes placing a semiconductor wafer having a plurality of exposure fields over a wafer stage. The method further includes projecting an extreme ultraviolet (EUV) light over the semiconductor wafer. The method also includes securing the semiconductor wafer to the wafer stage by applying a first adjusted voltage to an electrode of the wafer stage while the EUV light is projected to a first group of the exposure fields of the semiconductor wafer. The first adjusted voltage is in a range from about 1.6 kV to about 3.2 kV.

Подробнее
11-02-2021 дата публикации

APPARATUS AND METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20210041787A1
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation includes a droplet generator configured to generate target droplets. An excitation laser is configured to heat the target droplets using excitation pulses to convert the target droplets to plasma. An energy detector is configured to measure a variation in EUV energy generated when the target droplets are converted to plasma. A feedback controller is configured to adjust parameters of the droplet generator and/or the excitation laser based on the variation in EUV energy.

Подробнее
09-11-2021 дата публикации

Droplet generator, EUV lithography device and method of generating a series of droplets using a droplet generator

Номер: US0011172566B2

A droplet generator includes a steering system, a reservoir, a nozzle, a first heater, a second heater and a third heater. The steering system is used for controlling a position of droplet release of the droplet generator. The reservoir is held on the steering system for storing tin. The nozzle is connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence. The first heater surrounds a peripheral surface of the nozzle in the first zone. The second heater surrounds a peripheral surface of the nozzle in the second zone. The third heater surrounds a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled.

Подробнее
05-11-2020 дата публикации

METHOD AND APPARATUS FOR LITHOGRAPHY IN SEMICONDUCTOR FABRICATION

Номер: US20200350194A1
Принадлежит:

A reticle holding tool is provided. The reticle holding tool includes a housing, a reticle chuck, and a gas delivery assembly. The housing includes an opening, a top housing member, and a lateral housing member extending from the top housing member and terminating at a lower edge which is located on a predetermined plane. The reticle chuck is positioned in the housing and has an effective surface configured to secure a reticle. The effective surface is located between the predetermined plane and the top housing member. The reticle chuck is movable between two boundary lines that are perpendicular to the effective surface. A width of the opening is greater than a distance between the two boundary lines. The gas delivery assembly is positioned within the housing and configured to supply gas into the housing.

Подробнее
07-03-2013 дата публикации

Method and Apparatus of Providing Overlay

Номер: US20130056886A1

Provided is an apparatus that includes an overlay mark. The overlay mark includes a first portion that includes a plurality of first features. Each of the first features have a first dimension measured in a first direction and a second dimension measured in a second direction that is approximately perpendicular to the first direction. The second dimension is greater than the first dimension. The overlay mark also includes a second portion that includes a plurality of second features. Each of the second features have a third dimension measured in the first direction and a fourth dimension measured in the second direction. The fourth dimension is less than the third dimension. At least one of the second features is partially surrounded by the plurality of first features in both the first and second directions. 1. An apparatus comprising an overlay mark , the overlay mark including:a first portion that includes a plurality of first features, each of the first features having a first dimension measured in a first direction and a second dimension measured in a second direction that is approximately perpendicular to the first direction, the second dimension being greater than the first dimension; anda second portion that includes a plurality of second features, each of the second features having a third dimension measured in the first direction and a fourth dimension measured in the second direction, the fourth dimension being less than the third dimension;wherein at least one of the second features is partially surrounded by the plurality of first features in both the first and second directions, and wherein the first and second portions are both disposed within a single layer.2. The apparatus of claim 1 , wherein the apparatus further includes a semiconductor device having one or more layers claim 1 , and wherein the first and second portions are disposed within one of the layers of the semiconductor device.3. The apparatus of claim 1 , wherein the apparatus further ...

Подробнее
08-08-2013 дата публикации

SYSTEM AND METHOD FOR LITHOGRAPHY WITH LEVELING SENSOR

Номер: US20130201463A1
Автор: CHEN Li-Jui

Disclosed is a lithography system. The lithography system includes a radiation source for providing radiation energy; a reticle stage configured to hold a reticle; an imaging lens module configured to direct the radiation energy onto a substrate to form an image of the reticle; and a leveling sensor configured to receive a leveling signal from an exposure field of the reticle secured on the reticle stage. 1. A lithography system , comprising:a radiation source for providing radiation energy;a reticle stage configured to hold a reticle;an imaging lens module configured to direct the radiation energy onto a substrate to form an image of the reticle; anda leveling sensor configured to receive a leveling signal from an exposure field of the reticle secured on the reticle stage.2. The lithography system of claim 1 , wherein the leveling sensor includes a plurality of sensing units configured in an array.3. The lithography system of claim 1 , further comprising a substrate stage configured to hold the substrate and operable to move relative to the reticle stage claim 1 , wherein the leveling sensor is integrated with the substrate stage.4. The lithography system of claim 3 , further comprising a leveling signal source configured to direct and scan a leveling signal to the exposure field of the reticle secured on the reticle stage.5. The lithography system of claim 4 , wherein the leveling signal source is a laser source.6. The lithography system of claim 3 , wherein the leveling signal source is secured on the substrate stage.7. The lithography system of claim 6 , wherein the imaging lens module includes a projection lens module configured between the reticle stage and the substrate stage.8. The lithography system of claim 7 , wherein the leveling signal source and the leveling sensor are configured such that the leveling signal from the leveling signal source is directed to the leveling sensor through the projection lens module.9. The lithography system of claim 6 , ...

Подробнее
05-09-2013 дата публикации

SYSTEM AND METHOD FOR LITHOGRAPHY PATTERNING

Номер: US20130229638A1

Disclosed is a lithography system. The lithography system includes a lithography exposure tool designed for performing an exposure process to a radiation-sensitive material layer coated on an integrated circuit substrate; an alignment module coupled with the lithography exposure tool, designed for alignment measurement, and configured for transferring the integrated circuit substrate to the lithography exposure tool; and an alignment calibration module designed to calibrate the alignment module relative to the lithography exposure. 1. A lithography system , comprising:a lithography exposure tool designed for performing an exposure process to a radiation-sensitive material layer coated on an integrated circuit substrate;an alignment module coupled with the lithography exposure tool, designed for alignment measurement, and configured for transferring the integrated circuit substrate to the lithography exposure tool; andan alignment calibration module designed to calibrate the alignment module relative to the lithography exposure.2. The lithography system of claim 1 , wherein the alignment module includes a number of alignment units claim 1 , wherein the number is a function of process throughput of the lithography exposure tool.3. The lithography system of claim 2 , wherein the number of alignment units are configured in parallel for alignment measurement.4. The lithography system of claim 1 , wherein the lithography exposure tool further includes an embedded alignment unit configured for receiving the integrated circuit substrate from the alignment module.5. The lithography system of claim 4 , wherein the lithography exposure tool includesan exposure unit for the exposure process; anda substrate stage to hold the semiconductor wafer and designed operable to move between the embedded alignment unit and the exposure module.6. The lithography system of claim 5 , wherein the alignment calibration module is designed to calibrate difference between the embedded alignment ...

Подробнее
28-11-2013 дата публикации

Method and Apparatus for Maintaining Depth of Focus

Номер: US20130314708A1
Принадлежит:

A method includes directing a beam of radiation along an optical axis toward a workpiece support, measuring a spectrum of the beam at a first time to obtain a first profile, measuring the spectrum of the beam at a second time to obtain a second profile, determining a spectral difference between the two profiles, and adjusting a position of the workpiece support along the optical axis based on the difference. A different aspect involves an apparatus having a workpiece support, beam directing structure that directs a beam of radiation along an optical axis toward the workpiece support, spectrum measuring structure that measures a spectrum of the beam at first and second times to obtain respective first and second profiles, processing structure that determines a difference between the two profiles, and support adjusting structure that adjusts a position of the workpiece support along the optical axis based on the difference. 1. A method comprising:directing a beam of radiation, with optics of a lithography tool, along an optical axis toward a workpiece support, the optics of the lithography tool having a longitudinal aberration;measuring, at a first time, a first spectral profile of the beam and also a first peak intensity of the beam;measuring, at a second time subsequent to the first time, a second spectral profile of the beam and also a second peak intensity of the beam;calculating a spectral offset between the first and second spectral profiles;calculating a peak intensity offset between the first peak intensity and the second peak intensity;calculating an adjustment amount by multiplying the spectral offset by the longitudinal aberration; andadjusting a position of the workpiece support along the optical axis by an average of the adjustment amount and the peak intensity offset.2. A method according to claim 1 , including configuring the beam of radiation to include a broadband range of wavelengths such that the beam has a spectral width of at least approximately 1 ...

Подробнее
02-01-2020 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20200004159A1
Принадлежит:

In a method of diagnosing an RF generator of a laser produced plasma extreme ultra violet (LPP EUV) radiation source apparatus, a testing system is connected to the RF generator of the LPP EUV radiation source apparatus. An output power is measured by the testing system with changing an input power of the RF generator. Using a computer system, the measured output power is analyzed. Based on the analyzed measured output power, whether the RF generator is operating properly is determined. 1. A method of diagnosing an RF generator of a laser produced plasma extreme ultra violet (LPP EUV) radiation source apparatus , the method comprising:connecting a testing system to the RF generator of the LPP EUV radiation source apparatus;measuring an output power by the testing system while changing an input power of the RF generator;analyzing, using a computer system, the measured output power;determining, based on the analyzed measured output power, whether the RF generator is operating properly.2. The method of claim 1 , wherein the connecting comprises operating a switch disposed between the testing system and the RF generator.3. The method of claim 1 , wherein the testing system comprises a dummy load claim 1 , a power meter and a sensor head coupled to the dummy load and the power meter.4. The method of claim 1 , wherein multiple values of the output power are measured.5. The method of claim 4 , wherein the analyzing comprises calculating deviations of the multiple values of the output power from ideal output power values.6. The method of claim 4 , wherein the analyzing comprises performing a linear approximation of the multiple values of the output power.7. The method of claim 6 , wherein the determining comprises determining that the RF generator is operating properly when a slope of the linear approximation is within a predetermined range.8. The method of claim 6 , wherein the determining comprises determining that the RF generator is operating properly when a squared ...

Подробнее
02-01-2020 дата публикации

EUV RADIATION SOURCE APPARATUS FOR LITHOGRAPHY

Номер: US20200004160A1
Принадлежит:

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a heater attached to or embedded in the EUV collector mirror body and a drain structure to drain melted metal from the reflective surface of the EUV collector mirror body to a back side of the EUV collector mirror body. 1. An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus , comprising:an EUV collector mirror body on which a reflective layer as a reflective surface is disposed;a heater attached to or embedded in the EUV collector mirror body; anda drain structure to drain melted metal from the reflective surface of the EUV collector mirror body to a back side of the EUV collector mirror body.2. The EUV collector mirror of claim 1 , further comprising a drain hole coupled to the drain structure.3. The EUV collector mirror of claim 2 , wherein the drain structure includes an opening at the reflective surface and a conduit connecting the opening and the drain hole.4. The EUV collector mirror of claim 3 , wherein the opening is a slit passing through the EUV collector mirror body.5. The EUV collector mirror of claim 4 , wherein the drain structure further includes a support provided at a bottom of the slit and having an EUV reflective surface.6. The EUV collector mirror of claim 5 , wherein the support having the EUV reflective surface has a curvature such that EUV radiation reflected at the support having the EUV reflective surface and EUV radiation reflected at the reflective surface on the EUV collector mirror body have a same focal point.7. The EUV collector mirror of claim 3 , wherein the opening is a groove formed in the EUV collector mirror body having a bottom surface on the EUV collector mirror body.8. The EUV collector mirror of claim 7 , wherein the bottom surface has an EUV reflective surface.9. The EUV collector mirror of claim 8 , wherein ...

Подробнее
02-01-2020 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20200004167A1
Принадлежит:

An extreme ultraviolet (EUV) radiation source apparatus includes a collector and a target droplet generator for generating a tin (Sn) droplet. A debris collection device is disposed over a reflection surface of the collector, and at least one drip hole is located between the debris collection device and the collector. A tin bucket for collecting debris from the debris collection device is located below the at least one drip hole, and a tube or guide rod extends from the drip hole to the tin bucket. 1. An extreme ultraviolet (EUV) radiation source apparatus , comprising:a collector;a target droplet generator for generating a tin (Sn) droplet;a debris collection device disposed over a reflection surface of the collector;at least one drip hole located between the debris collection device and the collector;a tin bucket for collecting debris from the debris collection device located below the at least one drip hole; anda tube or guide rod extending from the drip hole to the tin bucket.2. The EUV radiation source apparatus of claim 1 , further comprising a heater connected to the tube or guide rod.3. The EUV radiation source apparatus of claim 2 , wherein the heater further comprises a heater controller to control heating of the tube or guide rod.4. The EUV radiation source apparatus of claim 2 , wherein the heater comprises heating tape wrapped around the tube.5. The EUV radiation source apparatus of claim 2 , wherein the tube is clad and a portion of the heater is embedded in cladding surrounding the tube.6. The EUV radiation source apparatus of claim 2 , wherein the guide rod includes a hollow portion and the heater includes a heating element located in the hollow portion of the guide rod.7. The EUV radiation source apparatus of claim 1 , wherein the tube or guide rod comprises a thermally conductive metal.8. The EUV radiation source apparatus of claim 7 , wherein the thermally conductive metal is selected from the group consisting of aluminum claim 7 , brass claim 7 , ...

Подробнее
13-01-2022 дата публикации

Target control in extreme ultraviolet lithography systems using aberration of reflection image

Номер: US20220011675A1

A method of controlling an extreme ultraviolet (EUV) lithography system is disclosed. The method includes irradiating a target droplet with EUV radiation, detecting EUV radiation reflected by the target droplet, determining aberration of the detected EUV radiation, determining a Zernike polynomial corresponding to the aberration, and performing a corrective action to reduce a shift in Zernike coefficients of the Zernike polynomial.

Подробнее
02-01-2020 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR LITHOGRAPHY

Номер: US20200008290A1
Принадлежит:

An extreme ultra violet (EUV) radiation source apparatus includes a collector mirror, a target droplet generator for generating a tin (Sn) droplet, a rotatable debris collection device, one or more coils for generating an inductively coupled plasma (ICP), a gas inlet for providing a source gas for the ICP, and a chamber enclosing at least the collector mirror and the rotatable debris collection device. The gas inlet and the one or more coils are configured such that the ICP is spaced apart from the collector mirror. 1. An extreme ultra violet (EUV) radiation source apparatus , comprising:a collector mirror;a target droplet generator for generating a tin (Sn) droplet;a rotatable debris collection device;one or more coils for generating an inductively coupled plasma (ICP);a gas inlet for providing a source gas for the ICP; anda chamber enclosing at least the collector mirror and the rotatable debris collection device,wherein the gas inlet and the one or more coils are configured such that the ICP is spaced apart from the collector mirror.2. The EUV radiation source apparatus of claim 1 , wherein the source gas includes one or more of He claim 1 , Ar claim 1 , Xe claim 1 , Cl claim 1 , H claim 1 , O claim 1 , SiH claim 1 , SiCland SiHCl.3. The EUV radiation source apparatus of claim 1 , wherein the source gas is a Cl containing gas.4. The EUV radiation source apparatus of claim 1 , further comprising a lower cone provided between the rotatable debris collection device and an EUV output port claim 1 ,wherein at least one coil surrounds or covers the lower cone.5. The EUV radiation source apparatus of claim 4 , wherein the gas inlet for providing the source gas is located at a position between the lower cone and the EUV output port.6. The EUV radiation source apparatus of claim 1 , wherein at least one coil surrounds or covers the rotatable debris collection device.7. The EUV radiation source apparatus of claim 6 , wherein the gas inlet for providing the source gas is ...

Подробнее
21-01-2021 дата публикации

LITHOGRAPHY SYSTEM AND METHOD THEREOF

Номер: US20210018845A1
Принадлежит:

A method includes generating a plasma that emits a first EUV radiation in a vessel at a first gas exhaust rate of the vessel; directing the first EUV radiation to a first substrate using a collector in the vessel; halting the generating of the first EUV radiation; and ejecting a gas past the collector at a second gas exhaust rate of the vessel, in which the second gas exhaust rate is greater than the first gas exhaust rate after the halting. 1. A method , comprising:generating a plasma that emits a first EUV radiation in a vessel while the vessel is exhausted at a first gas exhaust rate;directing the first EUV radiation to a first substrate using a collector in the vessel, wherein the first EUV radiation passes through a vane structure that laterally surrounds the collector and is connected to an upper end surface of the collector, the vane structure comprises an inner sidewall, an outer sidewall laterally surrounding the inner sidewall and shorter than the inner sidewall, and a topmost surface sloped along a direction to form an acute angle with a center axis of the collector, and an interior of the acute angle that extends away from a vertex of the acute angle formed by the center axis of the collector and the topmost surface overlaps the collector;monitoring an intensity of the first EUV radiation; andexhausting the vessel at a second gas exhaust rate in response to the monitored intensity of the first EUV radiation, wherein the second gas exhaust rate is greater than the first gas exhaust rate.2. The method of claim 1 , further comprising calibrating a second substrate during exhausting the vessel at the second gas exhaust rate claim 1 , wherein the second substrate is exposed to a second EUV radiation directed through the collector in the vessel after exhausting the vessel at the second gas exhaust rate is complete.3. The method of claim 1 , further comprising calibrating an EUV photomask during exhausting the vessel at the second gas exhaust rate claim 1 , ...

Подробнее
23-01-2020 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20200025688A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:capturing, by way of a single shot of a panoramic camera configured for use within an extreme ultraviolet (EUV) vessel, an image of an interior of the EUV vessel, wherein the panoramic camera includes two opposing fish-eye camera lenses;comparing the image to another image that conforms to a defined specification; andbased on the comparing, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the captured image includes an image of an entirety of the interior of the EUV vessel.3. The method of claim 1 , further comprising:while capturing the image, providing a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the captured image includes a first image of a collector region of the EUV vessel and a second image of a lower cone region of the EUV vessel.5. The method of claim 4 , wherein the first image is captured by a first lens of the two opposing fish-eye camera lenses claim 4 , and wherein the second image is captured by a second lens of the two opposing fish-eye camera lenses.6. The method ...

Подробнее
28-01-2021 дата публикации

RETICLE WITH CONDUCTIVE MATERIAL STRUCTURE

Номер: US20210026236A1

A reticle, a reticle container and a method for discharging static charges accumulated on a reticle are provided. The reticle includes a mask substrate, a reflective multilayer (ML) structure, a capping layer, an absorption structure and a conductive material structure. The mask substrate has a front-side surface and a back-side surface. The reflective ML structure is positioned over the front-side surface of mask substrate. The capping layer is positioned over the reflective ML structure. The absorption structure is positioned over the capping layer. The conductive material structure is positioned over a sidewall surface of the mask substrate and a sidewall surface of the absorption structure. 1. A reticle , comprising:a mask substrate having a front-side surface and a back-side surface;a reflective multilayer (ML) structure over the front-side surface of mask substrate;a capping layer over the reflective ML structure;an absorption structure over the capping layer; anda conductive material structure over a sidewall surface of the mask substrate and a sidewall surface of the absorption structure.2. The reticle as claimed in claim 1 , further comprising:a conductive layer over the back-side surface of mask substrate, wherein the conductive material structure is in contact with the absorption structure and the conductive layer.3. The reticle as claimed in claim 2 , wherein the conductive material structure surrounds the conductive layer.4. The reticle as claimed in claim 1 , wherein the conductive material structure comprises a plurality of conductive strips claim 1 , wherein each of the conductive strips has two terminals in contact with the absorption structure and the conductive layer claim 1 , respectively.5. The reticle as claimed in claim 1 , wherein the conductive material structure is spiral-shaped about an axis perpendicular to the front-side surface of the mask substrate.6. The reticle as claimed in claim 1 , wherein the reticle comprises:a pattern region;a ...

Подробнее
31-01-2019 дата публикации

EUV VESSEL INSPECTION METHOD AND RELATED SYSTEM

Номер: US20190033225A1
Принадлежит:

A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented. 1. A method , comprising:providing a panoramic camera adapted for use within an extreme ultraviolet (EUV) vessel;capturing, by way of a single shot of the panoramic camera, an image of an interior of the EUV vessel; andbased on the image of the interior of the EUV vessel, quantifying a level of contamination within the EUV vessel.2. The method of claim 1 , wherein the captured image includes an image of an entirety of the interior of the EUV vessel.3. The method of claim 1 , further comprising:while capturing the image, providing, by an illuminator disposed adjacent to the panoramic camera, a substantially uniform and constant light level to the interior of the EUV vessel.4. The method of claim 1 , wherein the panoramic camera includes two opposing fish-eye camera lenses.5. The method of claim 4 , further comprising:capturing, by a first lens of the two opposing fish-eye camera lenses, a first image of the interior of the EUV vessel that includes a collector region; andcapturing, by a second lens of the two opposing fish-eye camera lenses, a second image of the interior of the EUV vessel that includes a lower cone ...

Подробнее
30-01-2020 дата публикации

LIGHT SOURCE SYSTEM AND POLARIZATION ANGLE ADJUSTING METHOD

Номер: US20200033194A1
Принадлежит:

A light source system is provided. The light source system is capable of measuring a polarization angle and includes a light source configured to emit an original light beam, and the original light beam has an original polarization angle. The light source system further includes an amplifying module configured to amplify the original light beam and generate a forward beam for hitting a target, and the forward beam has a forward polarization angle that is equal to the original polarization angle. The light source system further includes a polarization measurement unit, and the polarization measurement unit includes a first polarization measurement module configured to receive a first return beam and measure a first polarization angle of the first return beam. The first return beam is reflected from the target. 1. A light source system , capable of measuring a polarization angle , comprising:a light source, configured to emit an original light beam, wherein the original light beam has an original polarization angle;an amplifying module, configured to amplify the original light beam and generate a forward beam for hitting a target, wherein the forward beam has a forward polarization angle that is equal to the original polarization angle; anda polarization measurement unit, including a first polarization measurement module, configured to receive a first return beam and measure a first polarization angle of the first return beam, wherein the first return beam is reflected from the target.2. The light source system as claimed in claim 1 , wherein the first polarization measurement module includes:a first beam splitter, configured to split the first return beam into a first reflected beam and a first backward beam; anda first polarization measurement tool, configured to receive the first reflected beam, so as to measure the first polarization angle.3. The light source system as claimed in claim 2 , wherein the first return beam claim 2 , the first reflected beam and the ...

Подробнее
04-02-2021 дата публикации

PHOTOGRAPHY DEVICE HAVING ILLUMINATOR AND METHOD FOR ADJUSTING INTENSITY UNIFORMITY

Номер: US20210033982A1

An illuminator includes a first facet mirror receiving and reflecting an exposure radiation, an adjustable shielding element disposed on the first facet mirror, the adjustable shielding element adjusting intensity uniformity of the exposure radiation reflected by the first facet mirror, and a second facet mirror receiving and reflecting the exposure radiation reflected by the first facet mirror. 1. An illuminator , comprising:a first facet mirror, receiving and reflecting an exposure radiation;an adjustable shielding element, disposed on the first facet mirror, the adjustable shielding element adjusting intensity uniformity of the exposure radiation reflected by the first facet mirror; anda second facet mirror, receiving and reflecting the exposure radiation reflected by the first facet mirror.2. The illuminator according to claim 1 , wherein the exposure radiation is an extreme ultraviolet claim 1 , the first facet mirror comprises a plurality of first facet elements arranged in grid claim 1 , and the first facet elements reflect the exposure radiation to the second facet mirror.3. The illuminator according to claim 2 , wherein the second facet mirror comprises a plurality of second facet elements arranged in grid claim 2 , and each first facet element among the first facet elements respectively reflects the exposure radiation to at least one second facet element among the second facet elements.4. The illuminator according to claim 2 , wherein the adjustable shielding element shields portions of the first facet elements of the first facet mirror.5. The illuminator according to claim 1 , wherein the adjustable shielding element partially shields the first facet mirror.6. The illuminator according to claim 1 , wherein the adjustable shielding element is installed on a central region and/or a periphery region of the first facet mirror.7. A photolithography device claim 1 , comprising:a light source, providing an exposure radiation;an illuminator, receiving the ...

Подробнее
04-02-2021 дата публикации

EXTREME ULTRAVIOLET LITHOGRAPHY SYSTEM

Номер: US20210033983A1

An extreme ultraviolet (EUV) lithography system includes a vane bucket module. The vane bucket module includes a collecting tank and a temperature adjusting pack. The collecting tank has a cover and the cover includes a plurality of through holes. Thicknesses of edges of the cover is greater than a thickness of a center of the cover. The temperature adjusting pack surrounds the collecting tank. The temperature adjusting pack includes a plurality of inlets aligned with the through holes. 1. An extreme ultraviolet (EUV) lithography system , comprising: a collecting tank having a cover, the cover comprises a plurality of through holes, and thicknesses of edges of the cover is greater than a thickness of a center of the cover; and', 'a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes., 'a vane bucket module, comprising2. The EUV lithography system of claim 1 , wherein a thickness of the cover decreases continuously from the edges of the cover to the center of the cover.3. The EUV lithography system of claim 1 , wherein a depth of each through hole is not uniform.4. The EUV lithography system of claim 1 , wherein a depth of each through hole decreases continuously towards the center of the cover.5. The EUV lithography system of claim 1 , wherein the thickness of the center of the cover is smaller than a minimum depth of each through hole.6. The EUV lithography system of claim 1 , further comprising:a collector;a droplet generator and a droplet catcher disposed on two opposite sides of the collector along a first direction;a monitoring module, wherein the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction.7. The EUV lithography system of claim 6 , further comprising:a vane structure disposed over the collector, wherein the vane structure comprises a ...

Подробнее
04-02-2021 дата публикации

LITHOGRAPHY SYSTEM AND CLEANING METHOD THEREOF

Номер: US20210033990A1

A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. 1. A cleaning method of a lithography system , wherein the lithography system comprises a light source generator , the light source generator comprises a collector , a droplet generator and a droplet catcher , the droplet generator and the droplet catcher are facing each other and disposed at a region surrounding the collector , and the cleaning method of the lithography system comprises:shifting the droplet generator out of the light source generator via a port of the light source generator;inserting a shovel assembly into the light source generator via the port;using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator;using the shovel assembly to remove and collect the deposit; andwithdrawing the shovel assembly along with the borescope from the light source generator via the port.2. The cleaning method of the lithography system according to claim 1 , wherein the steps of shifting out the drop generator claim 1 , inserting the shovel assembly claim 1 , identifying the location of the deposit claim 1 , removing the deposit and withdrawing the ...

Подробнее
30-01-2020 дата публикации

EXTREME ULTRAVIOLET RADIATION SOURCE AND CLEANING METHOD THEREOF

Номер: US20200037427A1
Принадлежит:

An extreme ultraviolet radiation source is provided, including a vessel, an optical collector, and a gas scrubber. The vessel has a gas inlet and a gas outlet. The optical collector is disposed within the vessel and configured to collect and reflect extreme ultraviolet light produced in the vessel. A cleaning gas is introduced into the vessel through the gas inlet to clean the surface of the optical collector. The gas scrubber is disposed within the vessel, arranged such that the cleaning gas leaves the vessel through the gas outlet after flowing through the gas scrubber. The gas scrubber has a number of gas passages to allow the cleaning gas to flow through, and the size of the gas passage close to the gas outlet is smaller than the size of the gas passage away from the gas outlet. 1. An extreme ultraviolet radiation source , comprising:a vessel having a gas supply and a gas outlet;an optical collector disposed within the vessel and configured to collect and reflect extreme ultraviolet light produced in the vessel, wherein a cleaning gas is supplied from the gas supply to clean a surface of the optical collector; anda gas scrubber disposed within the vessel, arranged such that the cleaning gas leaves the vessel through the gas outlet after flowing through the gas scrubber;wherein the gas scrubber has a plurality of gas passages to allow the cleaning gas to flow through, and a size of the gas passage close to the gas outlet is smaller than a size of the gas passage away from the gas outlet.2. The extreme ultraviolet radiation source as claimed in claim 1 , wherein the gas scrubber is a ring structure claim 1 , comprising:an upper ring;a lower ring; anda plurality of ribs connected between the upper ring and the lower ring and distributed along a circumference of the ring structure, wherein the ribs form the gas passages therebetween.3. The extreme ultraviolet radiation source as claimed in claim 2 , wherein the ribs comprise a plurality of first ribs close to the ...

Подробнее
04-02-2021 дата публикации

DROPLET GENERATOR, EUV LITHOGRAPHY DEVICE AND METHOD OF GENERATING A SERIES OF DROPLETS USING A DROPLET GENERATOR

Номер: US20210037634A1

A droplet generator includes a steering system, a reservoir, a nozzle, a first heater, a second heater and a third heater. The steering system is used for controlling a position of droplet release of the droplet generator. The reservoir is held on the steering system for storing tin. The nozzle is connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence. The first heater surrounds a peripheral surface of the nozzle in the first zone. The second heater surrounds a peripheral surface of the nozzle in the second zone. The third heater surrounds a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled. 1. A droplet generator , comprising:a steering system for controlling a position of droplet release of the droplet generator;a reservoir held on the steering system for storing tin;a nozzle connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence;a first heater surrounding a peripheral surface of the nozzle in the first zone;a second heater surrounding a peripheral surface of the nozzle in the second zone; anda third heater surrounding a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled.2. The droplet generator according to claim 1 , wherein the first heater claim 1 , the second heater and the third heater are heating coils.3. The droplet generator according to claim 1 , wherein the first zone of the nozzle includes a piezoelectric actuator claim 1 , the second zone of the nozzle includes a tin filter claim 1 , and the third zone of the nozzle includes a connection tube connected to the reservoir.4. The droplet generator according to claim 1 , wherein a ...

Подробнее
06-02-2020 дата публикации

APPARATUS AND METHOD FOR PREVENTION OF CONTAMINATION ON COLLECTOR OF EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: US20200041783A1
Принадлежит:

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a trajectory correcting device attached to or embedded in the EUV collector mirror body and a trajectory correcting device to adjust the trajectory of metal from the reflective surface of the EUV collector mirror body to an opposite side of the EUV collector mirror body. 1. An EUV vessel for an extreme ultra violet (EUV) radiation source apparatus , comprising:an EUV collector mirror body on which a reflective layer as a reflective surface is disposed; anda trajectory correcting device attached to or embedded in the EUV collector mirror body,wherein the trajectory correcting device is configured to adjust the trajectory of metal ions towards an opposite side of the EUV vessel away from the EUV collector mirror body.2. The EUV vessel of claim 1 , wherein the trajectory correcting device includes a pulse timing module coupled to a first charging point at the EUV collector mirror body and a second charging point at a lower cone of the EUV vessel.3. The EUV vessel of claim 2 , wherein a conduit connects the first charging point at the EUV collector mirror body and the pulse timing module.4. The EUV vessel of claim 1 , wherein the first charging point is configured to positively bias the collector by applying a voltage in a range from 1 V to 50 V to the collector mirror body.5. The EUV vessel of claim 2 , wherein the pulse timing module is configured to provide an amplitude modulation of the pulse.6. The EUV vessel of claim 2 , wherein the pulse timing module is configured to provide a frequency modulation of the pulse.7. The EUV vessel of claim 1 , wherein the pulse timing module is configured to provide an electric field to cause the metal ions to be redistributed in a direction away from the EUV collector mirror body.8. A method of preventing contamination of a collector of an ...

Подробнее
06-02-2020 дата публикации

EXTREME ULTRAVIOLET (EUV) RADIATION SOURCE AND A METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20200041908A1
Принадлежит:

The EUV radiation source includes a rotatable EUV source vessel configured to collect fuel debris generated from the collision of fuel droplets and a laser beam. The source vessel includes an inner surface for receiving the fuel debris, an first aperture at one end of the inner surface, and a heater adjacent to the inner surface and configured to generate a heating area on the inner surface in coordination with a rotation speed of the source vessel. The fuel debris is reflowed to the heating area. A method for generating EUV radiation includes collecting fuel debris on an inner surface of a source vessel, rotating the source vessel at a rotation speed, and heating a portion of the source vessel to an elevated temperature to generate a heating area on the inner surface in coordination with the rotation speed. The fuel debris is reflowed to the heating area. 1. An extreme ultraviolet (EUV) radiation source , comprising:a rotatable EUV source vessel configured to collect fuel debris generated from the collision of fuel droplets and a laser beam, the rotatable EUV source vessel comprising:an inner surface for receiving the fuel debris;an first aperture at one end of the inner surface;a heater adjacent to the inner surface and configured to generate a heating area on the inner surface in coordination with a rotation speed of the rotatable EUV source vessel, wherein the fuel debris is reflowed to the heating area.2. The extreme ultraviolet (EUV) radiation source of claim 1 , further comprising a detachable cooler configured to be positioned in a location adjacent to the inner surface of the rotatable EUV source vessel and substantially opposite to the heating area.3. The extreme ultraviolet (EUV) radiation source of claim 1 , wherein the first aperture is configured to be an exit of the reflowed fuel debris.4. The extreme ultraviolet (EUV) radiation source of claim 1 , further comprising a second aperture at another end of the inner surface of the rotatable EUV source ...

Подробнее
06-02-2020 дата публикации

LITHOGRAPHY SYSTEM AND LITHOGRAPHY METHOD

Номер: US20200041914A1

A lithography system is provided and includes a light source device configured to emit a processing light beam onto the semiconductor wafer, to generate a penetrating light beam and a reflected light beam. The lithography system further includes a detecting module having a first detector and a second detector. The first detector is configured to receive the penetrating light beam to generate first power data, and the second detector is configured to receive the reflected light beam to generate second power data. The lithography system also includes a monitoring device configured to calculate absorbed power data of the semiconductor wafer according to the first power data, the second power data and reference power data of a reference light beam and configured to compensate for a pattern formed on the semiconductor wafer resulting from the processing light beam according to the absorbed power data and reference information. 1. A lithography system , comprising:a light source device, configured to emit a processing light beam onto a semiconductor wafer during a lithography process, so as to generate a penetrating light beam and a reflected light beam;a detecting module, comprising:a first detector, configured to receive the penetrating light beam to generate first power data; anda second detector, configured to receive the reflected light beam to generate second power data; anda monitoring device, configured to calculate absorbed power data of the semiconductor wafer according to the first power data, the second power data and reference power data of a reference light beam, wherein the monitoring device is configured to compensate for a pattern formed on the semiconductor wafer resulting from the processing light beam according to the absorbed power data and reference information;wherein the light source device has a light emitting hole, the light emitting hole is disposed at an end portion of the light source device, and the second detector is disposed at the end ...

Подробнее
06-02-2020 дата публикации

EUV RADIATION SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20200045800A1
Принадлежит:

An extreme ultraviolet (EUV) lithography system is provided. The EUV lithography system includes the above-mentioned extreme ultraviolet (EUV) radiation source. The EUV lithography system further includes a collector configured to collect and reflect the EUV radiation and a mask stage configured to secure an EUV mask. The EUV lithography system also includes a wafer stage configured to secure a semiconductor wafer. In addition, the EUV lithography system includes one or more optical modules configured to direct the EUV radiation from the radiation source to image an integrated circuit (IC) pattern defined on the EUV mask onto the semiconductor wafer. 1. An extreme ultraviolet (EUV) radiation source , comprising:a target droplet generator configured to generate target droplets;a first laser source configured to generate pre-pulses that heat the target droplets to produce target plumes;a second laser source configured to generate main pulses that heat the target plumes to produce plasma emitting EUV radiation;a controller configured to adjust at least one parameter of the first and second laser sources comprising a delay between one of the pre-pulses and a corresponding one of the main pulses, and positions of the pre-pulses in a Y direction that is different from an X direction along which the target droplets travel; andan energy detector configured to monitor an energy of the EUV radiation and record the parameters of the first and second laser sources with which the energy of the EUV radiation is maximized.2. The EUV radiation source as claimed in claim 1 , wherein one of the main pulses heats the target plume produced by the corresponding one of the pre-pulses.3. The EUV radiation source as claimed in claim 1 , wherein a range for tuning the delay is about 100 ns (nanoseconds) claim 1 , and an amount in each adjustment for tuning the delay is about 10 ns.4. The EUV radiation source as claimed in claim 1 , wherein the Y direction is perpendicular to the X direction ...

Подробнее
03-03-2022 дата публикации

LITHOGRAPHY SYSTEM AND METHOD THEREOF

Номер: US20220066331A1

A method includes transferring a wafer over a wafer stage on a wafer table. The wafer table includes a table body, a wafer stage, a first sliding member, a second sliding member, a first cable, a first bracket and a second bracket, and a stopper. The second sliding member is movable along a first direction, in which the first sliding member is coupled to a track of the second sliding member, the first sliding member being movable along a second direction vertical to the first direction. The first bracket and the second bracket are connected by a leaf spring. The method includes moving the wafer stage toward the edge of the table body, such that the wafer stage pushes the first cable outwardly, such that the leaf spring is moved toward a first protective film on a surface of the stopper facing the leaf spring.

Подробнее
25-02-2021 дата публикации

LIGHT SOURCE, EUV LITHOGRAPHY SYSTEM, AND METHOD FOR GENERATING EUV RADIATION

Номер: US20210055664A1

A light source for EUV is provided. The light source includes a target droplet generator, a laser generator, and a controller. The target droplet generator is configured to provide target droplets to a source vessel. The laser generator is configured to provide first laser pulses according to a control signal to irradiate the target droplets in the source vessel. The controller is configured to provide the control signal according to at least two of process parameters including temperature of the source vessel, droplet positions of the target droplets, and beam sizes and focal points of the first laser pulses. When the average value or the standard deviation of the temperature of the source vessel and the droplet positions of the target droplets exceed the predetermined range, the controller is configured to provide the control signal to the laser generator to stop providing the first laser pulses. 1. A light source for extreme ultraviolet (EUV) radiation , comprising:a target droplet generator configured to provide a plurality of target droplets to a source vessel;a laser generator configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel, so as to generate plasma as the EUV radiation; anda controller configured to provide the control signal according to at least two of process parameters including a temperature of the source vessel, droplet positions of the target droplets, beam sizes of the first laser pulses, and focal points of the first laser pulses;wherein when an average value or a standard deviation of the temperature of the source vessel exceeds a first predetermined range and an average value or a standard deviation of the droplet positions of the target droplets exceeds a second predetermined range, the controller is configured to provide the control signal to the laser generator, so as to stop providing the first laser pulses.2. The light source as claimed in claim 1 , ...

Подробнее
25-02-2021 дата публикации

REFILL AND REPLACEMENT METHOD FOR DROPLET GENERATOR

Номер: US20210059036A1

A method includes ejecting a metal droplet from a reservoir of a droplet generator toward a zone of excitation in front of a collector, emitting an excitation laser toward the zone of excitation, such that the metal droplet is heated by the excitation laser to generate extreme ultraviolet (EUV) radiation, halting the emission of the excitation laser, depressurizing the reservoir of the droplet generator, cooling down the droplet generator to a temperature not lower than about 150° C., and refilling the reservoir of the droplet generator with a solid metal material at the temperature not lower than about 150° C. 1. A method , comprising:ejecting a metal droplet from a reservoir of a droplet generator toward a zone of excitation in front of a collector;emitting an excitation laser toward the zone of excitation, such that the metal droplet is heated by the excitation laser to generate extreme ultraviolet (EUV) radiation;halting the emission of the excitation laser;depressurizing the reservoir of the droplet generator;cooling down the droplet generator to a temperature not lower than about 150° C.; andrefilling the reservoir of the droplet generator with a solid metal material at the temperature not lower than about 150° C.2. The method of claim 1 , wherein refilling the reservoir of the droplet generator is performed automatedly.3. The method of claim 1 , further comprising:prior to refilling the reservoir the droplet generator, opening the droplet generator at the temperature not lower than about 150° C.4. The method of claim 3 , wherein the droplet generator is opened using a first robot arm.5. The method of claim 4 , wherein refilling the reservoir of the droplet generator is performed using a second robot arm different from the first robot arm.6. The method of claim 1 , further comprising:after refilling the reservoir of the droplet generator, closing the droplet generator at the temperature not lower than about 150° C.7. The method of claim 6 , wherein the droplet ...

Подробнее
03-03-2016 дата публикации

Lithography Process and System with Enhanced Overlay Quality

Номер: US20160062250A1
Принадлежит:

The present disclosure provides a method. The method includes forming a resist layer on a patterned substrate; collecting first overlay data from the patterned substrate; determining an overlay compensation based on mapping of second overlay data from an integrated circuit (IC) pattern to the first overlay data from the patterned substrate; performing a compensation process to a lithography system according to the overlay compensation; and thereafter performing a lithography exposing process to the resist layer by the lithography system, thereby imaging the IC pattern to the resist layer. 1. A method , comprising:forming a resist layer on a patterned substrate;collecting first overlay data from the patterned substrate;determining an overlay compensation based on mapping of second overlay data from an integrated circuit (IC) pattern to the first overlay data from the patterned substrate;performing a compensation process to a lithography system according to the overlay compensation; andperforming a lithography exposing process to the resist layer by the lithography system, thereby imaging the IC pattern to the resist layer.2. The method of claim 1 , wherein the collecting of the first overlay data from the patterned substrate includes collecting the first overlay data from at least one overlay metrology tool.3. The method of claim 1 , wherein the collecting of the first overlay data from the patterned substrate includes collecting the first overlay data from the patterned substrate when the patterned substrate is secured on alignment wafer stages of the lithography system.4. The method of claim 3 , wherein a number of the alignment wafer stages integrated in the lithography system is chosen such that the collecting of the first overlay data and the performing of the lithography exposing process are substantially matched in terms of processing time without impacting throughput of the lithography exposing process executed by the lithography system.5. The method of claim ...

Подробнее
20-02-2020 дата публикации

EXTREME ULTRAVIOLET RADIATION SOURCE

Номер: US20200057181A1
Принадлежит:

An extreme ultra violet (EUV) radiation source apparatus includes a chamber and the chamber encloses an EUV collector mirror. The EUV collector mirror is configured to collect and direct EUV radiation generated in the chamber and at least three exhaust ports are configured to remove debris from the chamber. In some embodiments, the exhaust ports are symmetrically arranged in a plane perpendicular to an optical axis of the collector mirror. In some embodiments, three exhaust ports are disposed such that an angle between any two adjacent ports is 120 degrees. In some embodiments, four exhaust ports are disposed such that an angle between any two adjacent ports is 90 degrees. In some embodiments, the chamber is configured to maintain a pressure in a range from 0.1 mbar to 10 mbar. 1. An extreme ultra violet (EUV) radiation source apparatus , comprising:a chamber enclosing an EUV collector mirror;wherein the EUV collector mirror is configured to collect and direct EUV radiation generated in the chamber; andat least three exhaust ports configured to remove debris from the chamber.2. The apparatus of claim 1 , wherein the exhaust ports are symmetrically arranged in a plane perpendicular to an optical axis of the collector mirror.3. The apparatus of claim 1 , comprising three exhaust ports disposed such that an angle between any two adjacent ports is 120 degrees.4. The apparatus of claim 1 , comprising four exhaust ports disposed such that an angle between any two adjacent ports is 90 degrees.5. The apparatus of claim 1 , wherein the chamber is configured to maintain a pressure in a range from 0.1 mbar to 10 mbar.6. The apparatus of claim 1 , further comprising a pumping module connected to each exhaust port.7. The apparatus of claim 1 , further comprising a flow meter connected to each exhaust port.8. The apparatus of claim 1 , further comprising a controller operatively connected to valves at each exhaust port.9. The apparatus of claim 1 , wherein each of the exhaust ...

Подробнее
20-02-2020 дата публикации

PROCESS SYSTEM AND OPERATING METHOD THEREOF

Номер: US20200057381A1
Принадлежит:

A device is disclosed that includes a master controller, a process chamber, a local controller, a switch, and a data storage. The process chamber is configured to generate a data according to a EUV light generation process. The local controller is coupled to the master controller and configured to control the process chamber. The switch is coupled between the master controller and the local controller, wherein the switch is configured to provide paths for the local controller to communicate with the master controller. The data storage directly connected to the local controller and configured to store the data. The local controller communicates directly with the data storage. 1. A system , comprising:a master controller;a process chamber configured to perform an extreme ultraviolet (EUV) light generation process and generate data associated with the EUV light generation process ;a local controller coupled to the master controller and configured to control the process chamber;a switch coupled between the master controller and the local controller, wherein the switch is configured to provide a plurality of paths for the local controller to communicate with the master controller; anda data storage directly connected to the local controller and configured to store the data,wherein the local controller communicates directly with the data storage.2. The system of claim 1 , wherein the local controller comprises:a plurality of sub local controllers coupled to the switch through the plurality of paths, wherein the plurality of sub local controllers are configured to control the process chamber to perform corresponding operations, respectively.3. The system of claim 1 , wherein the local controller is configured to receive the data from the process chamber and transmit the data to the data storage.4. The system of claim 1 , wherein the local controller is further configured to write the data to the data storage and/or read the data from the data storage.5. The system of claim ...

Подробнее
20-02-2020 дата публикации

APPARATUS AND METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20200060015A1
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation comprises a droplet generator, an excitation laser source, an energy detector, and a feedback controller. The droplet generator is configured to generate target droplets. The excitation laser is configured to generate a pre-pulse and a main pulse to convert the target droplets to plasma by heating. The energy detector is configured to measure a variation in EUV energy generated when the target droplets are converted to plasma. The feedback controller is configured to adjust a time delay between a subsequent pre-pulse and main pulse generated by the excitation laser based on the variation in EUV energy generated by a given main pulse. 1. An apparatus for generating extreme ultraviolet (EUV) radiation , the apparatus comprising:a droplet generator configured to generate target droplets;an excitation laser source configured to generate a pre-pulse and a main pulse to convert the target droplets to plasma by heating;an energy detector configured to measure a variation in EUV energy generated when the target droplets are converted to plasma; anda feedback controller configured to adjust a time delay between a subsequent pre-pulse and main pulse generated by the excitation laser source based on the variation in EUV energy generated by a given main pulse.2. The apparatus of claim 1 , further comprising an actuator configured to control a focal point of one of or both the pre-pulse and main pulse.3. The apparatus of claim 2 , wherein the feedback controller is configured to control the actuator to change the focal point of one of or both the pre-pulse and main pulse based on a variation in EUV energy generated by a preceding main pulse.4. The apparatus of claim 2 , wherein the actuator is connected to a steerable mirror.5. The apparatus of claim 2 , wherein the excitation laser source is configured to have the time delay in a range from 2500 ns to 3500 ns.6. The apparatus of claim 1 , wherein the excitation ...

Подробнее
28-02-2019 дата публикации

METHOD FOR LITHOGRAPHIC PROCESS AND LITHOGRAPHIC SYSTEM

Номер: US20190067132A1
Принадлежит:

A method for performing a lithographic process over a semiconductor wafer is provided. The method includes coating a photoresist layer over a material layer which is formed on the semiconductor wafer in a track apparatus. The method further includes transferring the semiconductor wafer from the track apparatus to an exposure apparatus. The method also includes measuring a height of the photoresist layer before the removal of the semiconductor wafer from the track apparatus. In addition, the method includes measuring height of the material layer in the exposure apparatus. The method also includes determining a focal length for exposing the semiconductor wafer according to the height of the photoresist layer and the height of the material layer. 1. A method for performing a lithographic process over a semiconductor wafer comprising a substrate , a material layer disposed over the substrate and a photoresist layer disposed over the material layer , the method comprising:measuring a height of the photoresist layer at an ambient pressure;measuring a height of the material layer in a vacuum pressure; anddetermining a focal length for exposing the semiconductor wafer according to the height of the photoresist layer and the height of the material layer.2. The method as claimed in claim 1 , wherein the measurement of the height of the photoresist layer is performed by an air gauge tool claim 1 , wherein the air gauge tool discharges a gas onto a surface of the photoresist layer and detects a variation in a pressure of the gas.3. The method as claimed in claim 1 , wherein the measurement of the height of the material layer is performed by an optical measurement tool claim 1 , wherein the optical measurement tool emits an incident beam of radiation to a surface of the material layer and receives the incident beam reflected from the surface of the material layer.4. The method as claimed in claim 1 , further comprising:placing the semiconductor wafer on a cooling plate ...

Подробнее
05-03-2020 дата публикации

APPARATUS AND METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20200075190A1
Принадлежит:

An apparatus for generating extreme ultraviolet (EUV) radiation includes a droplet generator configured to generate target droplets. An excitation laser is configured to heat the target droplets using excitation pulses to convert the target droplets to plasma. A deformable mirror is disposed in a path of the excitation laser. A controller is configured to adjust parameters of the excitation laser by controlling the deformable mirror based on a feedback parameter. 1. An apparatus for generating extreme ultraviolet (EUV) radiation , the apparatus comprising:a droplet generator configured to generate target droplets;an excitation laser configured to heat the target droplets using excitation pulses to convert the target droplets to plasma;a selectively detachable deformable mirror disposed in a path of the excitation laser; anda controller configured to adjust parameters of the excitation laser by controlling the selectively detachable deformable mirror based on a feedback parameter.2. The apparatus of claim 1 , wherein the feedback parameter is selected from the group consisting of a variation in EUV energy generated from heating the target droplets claim 1 , a position and/or trajectory of the target droplet claim 1 , position of a focal point of the excitation laser claim 1 , a time delay between a pre-pulse of the excitation laser and a main pulse of the excitation laser claim 1 , laser power claim 1 , time delay between successive pre-pulses claim 1 , a time delay between successive main pulses claim 1 , a width of the excitation at the focal point claim 1 , and a combination thereof.3. The apparatus of claim 1 , wherein the controller is further configured to determine the parameter to be adjusted based on historical data relating to a variation in EUV energy as a function of a variation in the parameter.4. The apparatus of claim 1 , wherein the controller is configured to control the selectively detachable deformable mirror to change the EUV wavefront of one of ...

Подробнее
31-03-2022 дата публикации

PARTICLE REMOVAL DEVICE AND METHOD

Номер: US20220100100A1

A particle removal device, along with methods of using such, are described. The device includes a handheld module having a body. A first one or more channels and a second one or more channels are formed in the body. The body includes a nozzle, and the handheld module is configured to provide suction by the nozzle and to inject an ionized fluid stream by the nozzle. The body further includes a handle attached to the nozzle. 1. A particle removal device , comprising:{'claim-text': {'claim-text': ['a nozzle, wherein the handheld module is configured to provide suction by the nozzle and to inject an ionized fluid stream by the nozzle; and', 'a handle attached to the nozzle.'], '#text': 'a body, wherein a first one or more channels and a second one or more channels are formed in the body, wherein the body comprises:'}, '#text': 'a handheld module, comprising:'}2. The particle removal device of claim 1 , wherein the handle is angled with respect to a longitudinal axis of the nozzle.3. The particle removal device of claim 1 , wherein the first one or more channels include four channels claim 1 , and the second one or more channels include one channel.4. The particle removal device of claim 3 , wherein the first one or more channels are disposed around the second one or more channels.5. The particle removal device of claim 1 , wherein each of the first one or more channels has a circular cross section claim 1 , and each of the second one or more channels has a circular cross section.6. The particle removal device of claim 1 , wherein each of the first one or more channels has a circular cross section claim 1 , and each of the second one or more channels has an annular cross section.7. The particle removal device of claim 6 , wherein the second one or more channels surround the second one or more channels.8. The particle removal device of claim 1 , wherein the handheld module further comprises:a cup attached to the nozzle;a first switch; anda second switch.9. A particle ...

Подробнее
31-03-2022 дата публикации

EUV WAFER DEFECT IMPROVEMENT AND METHOD OF COLLECTING NONCONDUCTIVE PARTICLES

Номер: US20220100105A1
Принадлежит:

An EUV lithographic apparatus includes a wafer stage and a particle removing assembly for cleaning a wafer for an extreme ultraviolet (EUV) lithographic apparatus. The wafer stage includes a measurement side and an exposure side. The particle removing assembly includes particle removing electrodes, an exhaust device and turbomolecular pumps. The particle removing electrodes is configured to direct debris from the chamber by suppressing turbulence such that the debris can be exhausted from the wafer stage to the outside of the processing apparatus. In some embodiments, turbomolecular pumps are turned off in the measurement side of the wafer stage so that an exhaust flow can be guided to an exposure side of the wafer stage. In some embodiments, the speed of voltage rise to the electrodes of the wafer chuck is adjusted. 1. A method of processing a wafer in a vacuum chamber , the method comprising:moving a wafer stage in the vacuum chamber;generating a particle from the wafer stage; andapplying a voltage to particle removing electrodes provided at two sides of the wafer stage so as to sandwich the wafer stage, thereby removing the particle.2. The method of claim 1 , wherein the voltage is a DC voltage.3. The method of claim 1 , wherein the voltage is an AC voltage.4. The method of claim 3 , wherein the voltage is provided by a radio frequency (RF) power supply.5. The method of claim 1 , wherein the voltage is supplied by pulse having a duty ratio.6. The method of claim 5 , wherein the duty ratio is from 10% to 90%.7. The method of claim 1 , wherein the particle is generated from a cable attached to the stage.8. The method of claim 1 , wherein the particle is non-electroconductive.9. An apparatus for manufacturing a semiconductor device claim 1 , the apparatus comprising:a wafer stage;a particle removing assembly;a chamber enclosing the wafer stage and the particle removing assembly; andwherein the particle removing assembly comprises particle removing electrodes ...

Подробнее
28-03-2019 дата публикации

LIGHT SOURCE, EUV LITHOGRAPHY SYSTEM, AND METHOD FOR GENERATING EUV RADIATION

Номер: US20190094717A1

A light source for extreme ultraviolet (EUV) radiation is provided. The light source includes a target droplet generator, a laser generator, a measuring device, and a controller. The target droplet generator is configured to provide a plurality of target droplets to a source vessel. The laser generator is configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel, so as to generate plasma as the EUV radiation. The measuring device is configured to measure process parameters including temperature of the source vessel, droplet positions of the target droplets, and beam sizes and focal points of the first laser pulses. The controller is configured to provide the control signal according to at least two of the process parameters. 1. A light source for extreme ultraviolet (EUV) radiation , comprising:a target droplet generator configured to provide a plurality of target droplets to a source vessel;a laser generator configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel, so as to generate plasma as the EUV radiation;a measuring device configured to measure process parameters including temperature of the source vessel, droplet positions of the target droplets, and beam sizes and focal points of the first laser pulses; anda controller configured to provide the control signal according to at least two of the process parameters.2. The light source as claimed in claim 1 , wherein when a first process parameter of the at least two of the process parameters is outside a first predetermined range or is greater than a first threshold value and a second process parameter of the at least two of the process parameters is outside a second predetermined range or is greater than a second threshold value claim 1 , the controller is configured to provide the control signal to the laser generator claim 1 , so as to stop ...

Подробнее
28-03-2019 дата публикации

RADIATION SOURCE APPARATUS, EUV LITHOGRAPHY SYSTEM, AND METHOD FOR DECREASING DEBRIS IN EUV LITHOGRAPHY SYSTEM

Номер: US20190094718A1

A radiation source apparatus is provided. The radiation source apparatus includes a chamber, a target droplet generator, an exhaust module, a measuring device, and a controller. The target droplet generator is configured to provide a plurality of target droplets to the chamber. The exhaust module is configured to extract debris corresponding to the target droplets out of the chamber according to a first gas flow rate. The measuring device is configured to measure concentration of the debris in the chamber. The controller is configured to adjust the first gas flow rate according to the measured concentration of the debris. 1. A radiation source apparatus , comprising:a chamber;a target droplet generator configured to provide a plurality of target droplets to the chamber;an exhaust module configured to extract debris corresponding to the target droplets out of the chamber according to a first gas flow rate;a measuring device configured to measure concentration of the debris in the chamber; anda controller coupled to the measuring device and the exhaust module, and configured to adjust the first gas flow rate according to the measured concentration of the debris.2. The radiation source apparatus as claimed in claim 1 , wherein the exhaust module comprises:a first exhaust line connected to the chamber;a valve connected to the first exhaust line, wherein the valve has an open ratio corresponding to the first gas flow rate;a second exhaust line connected to the valve; anda pump connected to the second exhaust line and configured to extract the debris out of the chamber and into the first exhaust line, the valve and the second exhaust line in sequence.3. The radiation source apparatus as claimed in claim 1 , wherein when the measured concentration of the debris by the measuring device exceeds an upper threshold value claim 1 , the controller is configured to increase the first gas flow rate until the measured concentration of the debris is less than a lower threshold value ...

Подробнее
04-04-2019 дата публикации

EXTREME ULTRAVIOLET CONTROL SYSTEM

Номер: US20190101831A1
Принадлежит:

A method of controlling a droplet illumination module/droplet detection module system of an extreme ultraviolet (EUV) radiation source includes irradiating a target droplet with light from a droplet illumination module and detecting light reflected and/or scattered by the target droplet. The method includes determining whether an intensity of the detected light is within an acceptable range. In response to determining that the intensity of the detected light is not within the acceptable range, a parameter of the droplet illumination module is automatically adjusted to set the intensity of the detected light within the acceptable range. 1. A method of controlling a droplet illumination module/droplet detection module system of an extreme ultraviolet (EUV) radiation source , the method comprising:irradiating a target droplet with light from a droplet illumination module;detecting light reflected and/or scattered by the target droplet;determining whether an intensity of the detected light is within an acceptable range; andif it is determined that the intensity of the detected light is not within the acceptable range of intensity, automatically adjusting a parameter of the droplet illumination module to set the intensity of detected light within the acceptable range.2. The method according to claim 1 , wherein the light irradiating the target droplet has a wavelength of about 1070 nm.3. The method according to claim 1 , wherein a source of light from the droplet illumination module is a laser.4. The method according to claim 1 , wherein the parameter is a slit width of a slit positioned between the droplet illumination module and the target droplet.5. The method according to claim 1 , wherein the parameter is a tilt angle of the droplet illumination module.6. The method according to claim 1 , wherein the acceptable range of intensity includes a minimum threshold intensity.7. The method according to claim 1 , wherein a controller determines whether the detected light is ...

Подробнее
04-04-2019 дата публикации

METHODS AND APPARATUS FOR REMOVING CONTAINMINATION FROM LITHOGRAPHIC TOOL

Номер: US20190101838A1

Embodiments described herein provide a method for cleaning contamination from sensors in a lithography tool without requiring recalibrating the lithography tool. More particularly, embodiments described herein teach cleaning the sensors using hydrogen radicals for a short period while the performance drifting is still above the drift tolerance. After a cleaning process described herein, the lithography tool can resume production without recalibration. 1. A method comprising:monitoring a sensor measurement indicative of an amount of contamination formed on a radiation receiving surface over a sensor in a lithography tool; andperforming a cleaning session in the lithography tool when the sensor measurement reaches a threshold value, wherein the cleaning session comprises providing radicals to the radiation receiving surface, wherein after the cleaning session, the sensor is operable to provide the sensor measurement without being recalibrated.2. The method of claim 1 , wherein the sensor is a TIS (transmission image sensor) sensor mounted on a substrate stage in the lithography tool claim 1 , and the sensor measurement includes a relative radiation intensity defined by a ratio of a radiation intensity measured by the TIS sensor through the radiation receiving surface over a radiation intensity dispatched from a radiation source.3. The method of claim 2 , wherein the threshold value is in a range from 0.6 to 0.9.4. The method of claim 1 , wherein the sensor is a spot sensor mounted on a substrate stage in the lithography tool claim 1 , and the sensor measurement includes a relative radiation intensity defined by a ratio of a radiation intensity measured by the spot sensor through the radiation receiving surface over a radiation intensity dispatched from a radiation source.5. The method of claim 4 , wherein the threshold value is in a range from 0.6 to 0.9.6. The method of claim 1 , wherein the sensor is an ILIAS (integrated lens interferometer at scanner) sensor ...

Подробнее
04-04-2019 дата публикации

Reticle backside inspection method

Номер: US20190102875A1

A method of inspecting a reticle includes obtaining a first image of a surface of the reticle at a first height by scanning the reticle surface with a light source at the first height of the reticle surface relative to a reference surface height of the reticle surface and obtaining a second image of the reticle surface at a second height by scanning the reticle surface with the light source at the second height of the reticle surface relative to the reference surface height of the reticle surface. The second height is different from the first height. The first and the second images are then combined to obtain a surface profile image of the reticle.

Подробнее
02-04-2020 дата публикации

PARTICLE IMAGE VELOCIMETRY OF EXTREME ULTRAVIOLET LITHOGRAPHY SYSTEMS

Номер: US20200103433A1
Принадлежит:

A method includes irradiating a target droplet in an extreme ultraviolet light source of an extreme ultraviolet lithography tool with light from a droplet illumination module. Light reflected and/or scattered by the target droplet is detected. Particle image velocimetry is performed to monitor one or more flow parameters inside the extreme ultraviolet light source. 1. A method , comprising:irradiating a target droplet in an extreme ultraviolet (EUV) light source of an extreme ultraviolet lithography tool with non-ionizing light from a droplet illumination module;detecting light reflected and/or scattered by the target droplet; andperforming particle image velocimetry, based on the detected light, to monitor one or more flow parameters inside the EUV light source.2. The method of claim 1 , further comprising adjusting one or more operating parameters of the EUV light source based on the monitored flow parameters.3. The method of claim 2 , wherein the monitored flow parameters include:one or more of a flow pattern of gases, droplets, or debris in the EUV light source;the droplets and debris propagation direction; andspatial evolution of a plasma shockwave.4. The method of claim 3 , further comprising:monitoring a rate of an amount of droplet and debris depositing on a collector mirror of the EUV light source; andadjusting the one or more operating parameters of the EUV light source to reduce the rate.5. The method of claim 4 , further comprising:mapping the amount of droplet and debris deposited on the collector mirror; andtriggering a cleaning mechanism to clean the collector mirror based on the mapping.6. The method of claim 4 , further comprising:mapping the amount of droplet and debris deposited on the collector mirror; andtriggering a replacement mechanism to change the collector mirror based on the mapping.7. The method of claim 1 , wherein the non-ionizing light irradiating the target droplet has a wavelength of about 1064 nm.8. The method of claim 1 , wherein ...

Подробнее
02-04-2020 дата публикации

APPARATUS AND METHOD FOR MONITORING REFLECTIVITY OF THE COLLECTOR FOR EXTREME ULTRAVIOLET RADIATION SOURCE

Номер: US20200103746A1
Принадлежит:

A method of controlling a feedback system with a data matching module of an extreme ultraviolet (EUV) radiation source is disclosed. The method includes obtaining a slit integrated energy (SLIE) sensor data and diffractive optical elements (DOE) data. The method performs a data match, by the data matching module, of a time difference of the SLIE sensor data and the DOE data to identify a mismatched set of the SLIE sensor data and the DOE data. The method also determines whether the time difference of the SLIE sensor data and the DOE data of the mismatched set is within an acceptable range. Based on the determination, the method automatically validates a configurable data of the mismatched set such that the SLIE sensor data of the mismatched set is valid for a reflectivity calculation. 1. A method of calculating reflectivity of a collector for an extreme ultraviolet (EUV) radiation source , the method comprising:obtaining time series data for a first sensor and a second sensor;identifying each of data points in the time series data for the first sensor, for which a time stamp matches with a time stamp for a data point in the time series data for the second sensor, with a corresponding data point in the time series data of the second sensor;in response to a mismatch between the time stamps, identifying data points in the time series data for the first sensor with data points in the time series data for the second sensor for which a smallest difference in time stamps of data points in the time series data for the first sensor and time stamps of data points in the time series data for the second sensor is less than a predetermined value; andcalculating a reflectivity of the collector using the identified data points in the time series data for the first sensor.2. The method of claim 1 , wherein obtaining time series data includes obtaining data from a slit integrated energy (SLIE) sensor.3. The method of claim 2 , wherein obtaining time series data includes obtaining ...

Подробнее
02-04-2020 дата публикации

VESSEL FOR EXTREME ULTRAVIOLET RADIATION SOURCE

Номер: US20200103758A1
Принадлежит:

A source for generating extreme ultraviolet (EUV) radiation includes a chamber enclosing a low pressure environment. A gas inlet is configured to provide a cleaning gas in the chamber. A plurality of exhaust ports, each having a corresponding gate valve including a scanner gate valve corresponding to an exhaust port separating the chamber from an EUV scanner are provided to the chamber. A pressure sensor is disposed inside the chamber and adjacent to the scanner gate valve. A controller is configured to control the gate valves other than the scanner gate valve based on an output of the pressure sensor. 1. A method of operating an EUV light generation apparatus , wherein the EUV light generation apparatus includes a vessel having a gas inlet , an interface port to be connected to a scanner , a gate valve disposed at the interface port and an exhaust port , the method comprising:generating EUV light while supplying a gas from the gas inlet and exhausting the gas from the exhaust port;stopping the EUV light generation;after stopping the EUV light generation, increasing a flow rate of the exhaust gas exhausted from the exhaust port; andafter increasing the flow rate, closing the gate valve.2. The method according to claim 1 , further comprising claim 1 , after stopping the EUV light generation claim 1 , monitoring a pressure turbulence to suppress airflow from the gate valve toward the collector mirror.3. The method according to claim 1 , further comprising controlling the flow rate of the exhaust gas based on an inner pressure value measured at a pressure sensor located inside the vessel.4. The method according to claim 1 , further comprising controlling the flow rate of the exhaust gas based on an inner pressure measured by a pressure sensor located inside the vessel and an outer pressure measured by a pressure sensor located outside the vessel.5. The method according to claim 1 , further comprising controlling the flow rate of the exhaust gas based on a local ...

Подробнее
02-04-2020 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR EUV LITHOGRAPHY

Номер: US20200107426A1
Принадлежит:

A metal reuse system for an extreme ultra violet (EUV) radiation source apparatus includes a first metal collector for collecting metal from vanes of the EUV radiation source apparatus, a first metal storage coupled to the first metal collector via a first conduit, a metal droplet generator coupled to the first metal storage via a second conduit, and a first metal filtration device disposed on either one of the first conduit and the second conduit. 1. A metal reuse system for an extreme ultra violet (EUV) radiation source apparatus , comprising:a first metal collector for collecting metal from vanes of the EUV radiation source apparatus;a first metal storage coupled to the first metal collector via a first conduit;a metal droplet generator coupled to the first metal storage via a second conduit; anda first metal filtration device disposed on either one of the first conduit and the second conduit.2. The metal reuse system of claim 1 , wherein the metal is tin or tin doped with one of Ga and In.3. The metal reuse system of claim 2 , wherein the first metal collector is a heated tin vane bucket.4. The metal reuse system of claim 2 , further comprising a second metal filtration device claim 2 ,wherein the first metal filtration device is disposed on the first conduit and the second metal filtration device is disposed on the second conduit.5. The metal reuse system of claim 2 , further comprising:a first pressurizing device coupled to the first metal storage via a third conduit;a first valve disposed on the first conduit;a second valve disposed on the second conduit;a third valve disposed on the third conduit; anda controller that controls the first pressurizing device, the first valve, the second valve and the third valve.6. The metal reuse system of claim 5 , wherein the controller is configured to:close the second and third valves and open the first valve when an amount of metal in the metal droplet generator is more than a threshold amount, andsupply the metal stored ...

Подробнее
25-08-2022 дата публикации

SYSTEM AND METHOD FOR OMNIDIRECTIONAL REAL TIME DETECTION OF PHOTOLITHOGRAPHY CHARACTERISTICS

Номер: US20220269182A1
Принадлежит:

An extreme ultraviolet (EUV) photolithography system generates EUV light by irradiating droplets with a laser. The system includes a collector and a plurality of vibration sensors coupled to the collector. The vibration sensors generate sensor signals indicative of shockwaves from laser pulses and impacts from debris. The system utilizes the sensor signals to improve the quality of EUV light generation. 1. A method , comprising:performing a photolithography process by generating extreme ultraviolet light in an extreme ultraviolet light generation chamber;generating sensor signals with a plurality of vibration sensors coupled to the extreme ultraviolet light generation chamber;analyzing the sensor signals; andadjusting a parameter of extreme ultraviolet light generation based on the sensor signals.2. The method of claim 1 , wherein generating extreme ultraviolet light includes generating extreme ultraviolet light by generating a plasma from droplets within the extreme ultraviolet light generation chamber.3. The method of claim 2 , wherein the plurality of vibration sensors are coupled to a collector mirror of the extreme ultraviolet light generation chamber.4. The method of claim 3 , further comprising determining characteristics of the plasma based on the sensor signals.5. The method of claim 4 , wherein generating the plasma includes: irradiating the droplets with laser light claim 4 , wherein adjusting a parameter of radiation generation includes adjusting a parameter of the laser light based on the sensor signals.6. The method of claim 4 , wherein adjusting a parameter of radiation generation includes adjusting a parameter of the droplets.7. The method of claim 4 , further comprising:detecting a distribution of droplet debris on the collector mirror based on the sensor signals; anddetermining characteristics of the plasma based on the distribution of droplet debris.8. The method of claim 7 , wherein determining characteristics of the plasma includes generating a ...

Подробнее
01-09-2022 дата публикации

DROPLET GENERATOR AND METHOD OF SERVICING A PHOTOLITHOGRAPHIC TOOL

Номер: US20220276572A1
Принадлежит:

A photolithographic apparatus includes a droplet generator, a droplet generator maintenance system, and a controller communicating with the droplet generator maintenance system. The droplet generator maintenance system operatively communicates with the droplet generator, a coolant distribution unit, a gas supply unit, and a supporting member. The gas supply unit includes a heat exchange assembly and an air heating assembly. The coolant distribution unit is configured to control the temperature of the droplet generator within the acceptable droplet generator range. 1. A method of servicing a photolithographic apparatus , wherein the photolithographic apparatus comprises:a droplet generator; anda droplet generator maintenance system operatively communicating with a droplet generator and having a coolant distribution unit and a gas supply unit,wherein the coolant distribution unit includes a booster box configured to provide a pressurized gas to the droplet generator, andthe gas supply unit includes a heat exchanger and an air heating assembly, upon determining that the tin stored in the droplet generator is below a threshold level, depressurizing the droplet generator;', 'pressurizing the booster box to introduce a cold gas at a temperature lower than the droplet generator;', 'depressurizing the booster box to exhaust a heated gas from the droplet generator; and', 'upon determining that a temperature of the droplet generator is within an acceptable cold droplet generator temperature, performing a droplet generator maintenance., 'the method comprising2. The method of claim 1 , wherein the cold gas is in a temperature range from 0° C. to 50° C.3. The method of claim 1 , further comprising claim 1 , upon determining that the temperature of the droplet generator is not within the acceptable cold droplet generator temperature range claim 1 , depressurizing the droplet generator and turning on the heat exchanger.4. The method of claim 3 , further comprising:after the ...

Подробнее
01-09-2022 дата публикации

LIGHT SOURCE, EUV LITHOGRAPHY SYSTEM, AND METHOD FOR PERFORMING CIRCUIT LAYOUT PATTERNING PROCESS

Номер: US20220276574A1

A light source for EUV radiation is provided. The light source includes a target droplet generator, a laser generator, and a controller. The target droplet generator is configured to provide target droplets to a source vessel. The laser generator is configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel to generate plasma as the EUV radiation. The controller is configured to provide the control signal according to the temperature of the source vessel and droplet positions of the target droplets. When the temperature of the source vessel exceeds a temperature threshold value and a standard deviation of the droplet positions of the target droplets exceeds a first standard deviation threshold value, the controller is configured to provide the control signal to the laser generator, so as to stop providing the first laser pulses. 1. A light source for extreme ultraviolet (EUV) radiation , comprising:a target droplet generator configured to provide a plurality of target droplets to a source vessel;a laser generator configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel, so as to generate plasma as the EUV radiation; anda controller configured to provide the control signal according to process parameters comprising a temperature of the source vessel and droplet positions of the target droplets;wherein when the temperature of the source vessel exceeds a temperature threshold value and a standard deviation of the droplet positions of the target droplets exceeds a first standard deviation threshold value, the controller is configured to provide the control signal to the laser generator, so as to stop providing the first laser pulses.2. The light source as claimed in claim 1 , wherein the process parameters further comprise beam sizes of the first laser pulses claim 1 , and when the temperature of the source ...

Подробнее
03-06-2021 дата публикации

EUV RADIATION MODIFICATION METHODS AND SYSTEMS

Номер: US20210168923A1
Принадлежит:

A method and system for generating EUV light includes providing a laser beam having a Gaussian distribution. This laser beam can be then modified from a Gaussian distribution to a ring-like distribution. The modified laser beam is provided through an aperture in a collector and interfaces with a moving droplet target, which generates an extreme ultraviolet (EUV) wavelength light. The generated EUV wavelength light is provided to the collector away from the aperture. In some embodiments, a mask element may also be used to modify the laser beam to a shape. 1. A method , comprising:providing a beam from a laser source; altering the beam from a Gaussian shape to a second shape having an inner radius and an outer radius; and', 'modifying the second shape to block a portion of the second shape to form a third shape; and, 'modifying a shape of the beam to form a modified beam, wherein the modifying the shape of the beam includesusing the modified beam having the third shape in a process to generate an EUV light.2. The method of claim 1 , wherein the altering the beam from the Gaussian shape includes using a reflaxicon device.3. The method of claim 2 , wherein the beam having the Gaussian shape is incident a first end of the reflaxicon device.4. The method of claim 2 , wherein the beam having the second shape is output a second end of the reflaxicon device.5. The method of claim 1 , wherein the modifying the second shape includes using a wedge to block the portion.6. The method of claim 5 , wherein the portion of the beam is provided to a beam dump.7. The method of claim 6 , wherein the beam dump is a water cooled beam dump.8. The method of claim 1 , wherein the altering the Gaussian shape includes using a structure having an inner cone and an outer cone with an opening therebetween.9. The method of claim 1 , further comprising:providing the modified beam having the third shape to a collector plate.10. The method of claim 9 , further comprising:after providing the modified ...

Подробнее
08-09-2022 дата публикации

TARGET CONTROL IN EXTREME ULTRAVIOLET LITHOGRAPHY SYSTEMS USING ABERRATION OF REFLECTION IMAGE

Номер: US20220283507A1
Принадлежит:

A method of controlling an extreme ultraviolet (EUV) lithography system is disclosed. The method includes irradiating a target droplet with EUV radiation, detecting EUV radiation reflected by the target droplet, determining aberration of the detected EUV radiation, determining a Zernike polynomial corresponding to the aberration, and performing a corrective action to reduce a shift in Zernike coefficients of the Zernike polynomial. 1. A method of controlling an extreme ultraviolet (EUV) lithography system , the method comprising:irradiating a target droplet with laser radiation;guiding a return beam of laser radiation to metrology device of the EUV lithography system;determining aberration of the return beam using the metrology device;determining Zernike coefficients of a Zernike polynomial that corresponds to the aberration; anddetermining a change in a beam profile of the return beam based on at least one of Zernike coefficients of the Zernike polynomial.2. The method of claim 1 , wherein the change in the beam profile is determined based on a shift in the at least one Zernike coefficient.3. The method of claim 2 , wherein the shift in the at least one Zernike coefficient is reduced such that EUV energy generated by an interaction of the laser radiation and target droplet is increased.4. The method of claim 1 , further comprising:performing a corrective action by reducing a shift in the at least one Zernike coefficient.5. The method of claim 4 , wherein the corrective action includes adjusting an angle of incidence of the laser radiation.6. The method of claim 4 , wherein the corrective action includes generating a control signal to actuate one or more components of the EUV lithography system to adjust an interaction between the laser radiation and the target droplet.7. The method of claim 6 , wherein the interaction between the laser radiation and the target droplet is adjusted by changing a position of a droplet generator of the EUV lithography system claim 6 , ...

Подробнее
08-09-2022 дата публикации

SUBSTRATE STAGE, SUBSTRATE PROCESSING SYSTEM USING THE SAME, AND METHOD FOR PROCESSING SUBSTRATE

Номер: US20220283517A1
Принадлежит:

A semiconductor substrate stage for carrying a substrate is provided. The semiconductor substrate stage includes a base layer, a magnetic shielding layer disposed on the base layer, a carrier layer disposed on the magnetic shielding layer, and a receiver disposed on the carrier layer. The receiver is configured to receive a microwave signal from a signal source electrically isolated from the receiver, and the microwave signal is used for controlling the movement of the semiconductor substrate stage. 1. A semiconductor substrate stage for carrying a substrate , comprising:a base layer;a magnetic shielding layer disposed on the base layer;a carrier layer disposed on the magnetic shielding layer; anda receiver disposed on the carrier layer, wherein the receiver is configured to receive a microwave signal from a signal source electrically isolated from the receiver, and the microwave signal is used for controlling the movement of the semiconductor substrate stage.2. The semiconductor substrate stage as claimed in claim 1 , wherein the magnetic shielding layer comprises:an insulating layer disposed on the base layer; anda metal layer disposed on the insulating layer, wherein the base layer is insulated from the metal layer by the insulating layer.3. The semiconductor substrate stage as claimed in claim 2 , wherein the insulating layer is in contact with a side surface of the metal layer and a bottom surface of the carrier layer.4. The semiconductor substrate stage as claimed in claim 3 , wherein the receiver overlaps the metal layer in a vertical direction.5. The semiconductor substrate stage as claimed in claim 1 , further comprising a storage layer disposed between the base layer and the magnetic shielding layer claim 1 , wherein the storage layer comprises an energy storage device claim 1 , a water storage device claim 1 , and a gas container.6. The semiconductor substrate stage as claimed in claim 5 , wherein a channel is formed on the base layer claim 5 , and the ...

Подробнее
30-04-2020 дата публикации

SEMICONDUCTOR APPARATUS AND METHOD OF OPERATING THE SAME

Номер: US20200133136A1
Принадлежит:

A method for operating a semiconductor apparatus includes generating a plurality of target droplets, deforming the target droplets into a plurality of target plumes respectively, changing an orientation of at least one of the target plumes, and generating a plurality of EUV radiations from the target plumes respectively. At least one of the EUV radiations irradiates an area on the light collector different from other EUV radiations in response to the orientation of the at least one of the target plumes. 1. A method , comprising:generating a plurality of target droplets;deforming the target droplets into a plurality of target plumes respectively;changing an orientation of at least one of the target plumes; andgenerating a plurality of EUV radiations from the target plumes respectively, wherein at least one of the EUV radiations irradiates an area on an light collector different from other EUV radiations in response to the orientation of the at least one of the target plumes.2. The method of claim 1 , further comprising:introducing a reactant gas to a reflective surface of the light collector, wherein the reactant gas interacts with the EUV radiations to clean the reflective surface.3. The method of claim 1 , further comprising:changing another orientation of another one of the target plumes, wherein the orientation of the at least one of the target plumes is different from the another orientation of the another one of the target plumes.4. The method of claim 1 , wherein deforming the target droplets comprises:emitting a plurality of pre-pulse lasers; andcolliding with the target droplets by the pre-pulse lasers respectively, wherein the pre-pulse lasers are emitted one at a time with substantially the same period between two contiguous pre-pulse lasers and collide with the target droplets at substantially the same position thereon.5. The method of claim 4 , wherein changing the orientation comprises:emitting at least one of the pre-pulse lasers earlier than other pre ...

Подробнее
09-05-2019 дата публикации

Method and apparatus for collecting information used in image-error compensation

Номер: US20190137866A1

A reticle used for collecting information for image-error compensation is provided. The reticle includes a first black border structure and a second black border structure formed over a substrate. The first and second black borders are concentric with a center of the substrate. The reticle further includes a first image structure and a second image structure formed over the substrate. The first and second image structures each has patterns representing features to be patterned on a semiconductor wafer. In a direction away from the center of the substrate, the second image structure, the second black border structure, the first image structure and the first black border structure are arranged in order.

Подробнее
09-05-2019 дата публикации

LIGHT SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20190137882A1
Принадлежит:

A method for generating a radiation light in a lithography exposure system. The method includes producing a predetermined gas pressure in a storage chamber to supply a first load of a target fuel in the storage chamber via a nozzle. The method further includes irradiating the target fuel from the nozzle with a laser to generate the radiation light. The method also includes increasing the gas pressure in a buffer chamber which receives a second load of target fuel to the predetermined gas pressure. In addition, the method includes actuating the flow of the target fuel from the buffer chamber to the storage chamber. 1. A target fuel generator , comprising:a buffer chamber configured to receive a target fuel;a storage chamber connected to the buffer chamber and configured to receive the target fuel from the buffer chamber;a valve mechanism configured to control a flow of the target fuel from the buffer chamber to the storage chamber; anda nozzle connected to the storage chamber and configured to supply the target fuel.2. The target fuel generator as claimed in claim 1 , further comprising heating members connected to an outer wall of the buffer chamber and an outer wall of the storage chamber claim 1 , wherein the heating members are configured to control the buffer chamber and the storage chamber having the same temperature.3. The target fuel generator as claimed in claim 1 , further comprising:a gas source in communication with the buffer chamber and the storage chamber; andtwo gas pressure sensors each positioned in the buffer chamber and the storage chamber and configured to detect gas pressure in the buffer chamber and the storage chamber;wherein the gas source supplies gas into to the buffer chamber and the storage chamber based on the gas pressure detected by the two gas pressure sensors to control the buffer chamber and the storage chamber having the same gas pressure.4. The target fuel generator as claimed in claim 1 , further comprising a fuel source ...

Подробнее
10-06-2021 дата публикации

RADIATION SOURCE FOR LITHOGRAPHY PROCESS

Номер: US20210173316A1

A method for a lithography exposure process is provided. The method includes irradiating a target droplet with a laser beam to create an extreme ultraviolet (EUV) light. The method further includes reflecting the EUV light with a collector. The method also includes discharging a cleaning gas over the collector through a gas distributor positioned next to the collector. A portion of the cleaning gas is converted to free radicals before the cleaning gas leaves the gas distributor, and the free radicals are discharged over the collector along with the cleaning gas. 1. A method for a lithography exposure process , comprising:discharging a cleaning gas over a collector through a gas distributor;applying an electromagnetic radiant energy into the cleaning gas positioned in the gas distributor to convert a portion of the cleaning gas to a free radicals before discharging the cleaning gas;applying a thermal energy into the cleaning gas before applying the electromagnetic radiant energy to heat up the cleaning gas;trapping debris by vanes of a debris collection mechanism; andheating the vanes so that the trapped debris is melt and flows into a bucket.2. The method for a lithography exposure process as claimed in claim 1 , wherein the gas distributor includes two flow guiding members at two outer sides of the collector claim 1 , and flow rates in the flow guiding members are different.3. The method for a lithography exposure process as claimed in claim 2 , wherein each of the flow guiding member includes an end portion claim 2 , the end portion has two inner walls which intersects with an optical axis at an angle less than 90 degrees claim 2 , and one of the inner walls of the end portion is extends toward the collector claim 2 , and the other one of the inner walls of the end portion is extended along a direction substantially perpendicular to an optical axis claim 2 , so that the cleaning gas discharged by the flow guiding members forms a gas shield.4. The method for a ...

Подробнее
30-04-2020 дата публикации

SHOCK WAVE VISUALIZATION FOR EXTREME ULTRAVIOLET PLASMA OPTIMIZATION

Номер: US20200137864A1
Принадлежит:

A method for monitoring a shock wave in an extreme ultraviolet light source includes irradiating a target droplet in the extreme ultraviolet light source apparatus of an extreme ultraviolet lithography tool with ionizing radiation to generate a plasma and to detect a shock wave generated by the plasma. One or more operating parameters of the extreme ultraviolet light source is adjusted based on the detected shock wave. 1. A method , comprising:irradiating a target droplet in an extreme ultraviolet (EUV) light source apparatus of an EUV lithography tool with ionizing radiation to generate EUV radiation and a plasma;detecting a shock wave generated by the plasma; andadjusting one or more operating parameters of the EUV light source apparatus based on the detected shock wave.2. The method of claim 1 , further comprising:irradiating the shock wave with a non-ionizing light from a shock wave illumination module; andcapturing one or more images of the shock wave by a shock wave detection module.3. The method of claim 2 , further comprising:capturing consecutive images of the shock wave;detecting shock wave parameters based on the captured images of the shock wave; andadjusting the one or more operating parameters of the EUV light source apparatus based on the shock wave parameters.4. The method of claim 3 , wherein the shock wave parameters comprise a direction of expansion and a velocity of expansion of the shock wave.5. The method of claim 1 , wherein the one or more operating parameters of the EUV light source apparatus comprise one or more parameters of a droplet generator and a source of the ionizing radiation.6. The method of claim 5 , wherein the one or more operating parameters of the EUV light source apparatus comprise a first time delay between ionizing radiation pulses of the source of the ionizing radiation and a second time delay between droplets generated by the droplet generator.7. The method of claim 6 , wherein by adjusting one or both of the first time ...

Подробнее
16-05-2019 дата публикации

Method and apparatus for lithography in semiconductor fabrication

Номер: US20190146349A1

A method for lithography in semiconductor fabrication is provided. The method includes placing a semiconductor wafer having a plurality of exposure fields over a wafer stage. The method further includes projecting an extreme ultraviolet (EUV) light over the semiconductor wafer. The method also includes securing the semiconductor wafer to the wafer stage by applying a first adjusted voltage to an electrode of the wafer stage while the EUV light is projected to a first group of the exposure fields of the semiconductor wafer. The first adjusted voltage is in a range from about 1.6 kV to about 3.2 kV.

Подробнее
07-05-2020 дата публикации

PRESSURIZED TIN COLLECTION BUCKET WITH IN-LINE DRAINING MECHANISM

Номер: US20200142293A1
Принадлежит:

A droplet collection bucket includes a droplet collection tube, a level sensor positioned on the droplet collection tube, a gate valve configured to close a rear portion of the droplet collection tube, a gas supply configured to supply a gas into the rear portion of the droplet collection tube, a heating element wrapping around the droplet collection tube, and a drain tube connecting an interior of the droplet collection tube with an outside of the droplet collection tube. 1. A droplet collection bucket , comprising:a droplet collection tube,a level sensor positioned on the droplet collection tube and configured to detect a top surface of a collection of one or more droplets in the droplet collection bucket,a gate valve configured to close a rear portion of the droplet collection tube,a gas supply configured to supply a gas into the rear portion of the droplet collection tube, anda drain tube connected to the rear portion of the droplet collection tube and configured to allow a drainage of the collected droplets to an outside of the droplet collection tube.2. The droplet collection bucket of claim 1 , wherein the droplet collection tube includes a first portion claim 1 , a second portion claim 1 , and a third portion which is the rear portion claim 1 ,the second portion is located between the first portion and the third portion, andthe first portion has an inlet through which metal droplets enter into the droplet collection tube.3. The droplet collection bucket of claim 2 , wherein the gas supply comprises a gas valve between the gas supply and the rear portion of the droplet collection tube.4. The droplet collection bucket of claim 3 , wherein the gas valve is configured to be opened when the gate valve is closed.5. The droplet collection bucket of claim 3 , wherein the gas valve is configured to be closed when the gate valve is opened.6. The droplet collection bucket of claim 3 , further comprising a gate valve controller coupled to the gate valve and configured ...

Подробнее
16-05-2019 дата публикации

METHOD AND APPARATUS FOR LITHOGRAPHY IN SEMICONDUCTOR FABRICATION

Номер: US20190148203A1
Принадлежит:

A reticle holding tool is provided. The reticle holding tool includes a housing including a top housing member and a lateral housing member. The lateral housing member extends from the top housing member and terminates at a lower edge. The reticle holding tool further includes a reticle chuck. The reticle chuck is positioned in the housing and configured to secure a reticle. The reticle holding tool also includes a gas delivery assembly. The gas delivery assembly is positioned within the housing and configured to supply gas into the housing. 1. A reticle holding tool , comprising:a housing comprising a top housing member and a lateral housing member extending from the top housing member and terminating at a lower edge which is located on a predetermined plane;a reticle chuck positioned in the housing and having an effective surface configured to secure a reticle, wherein the effective surface is located between the predetermined plane and the top housing member; anda gas delivery assembly positioned within the housing and configured to supply gas into the housing.2. The reticle holding tool as claimed in claim 1 , wherein the housing further comprises a lower housing member connected to the lower edge and extending inwardly;wherein the projection of the lower edge in a direction that is perpendicular to the predetermined plane is outside of the effective surface.3. The reticle holding tool as claimed in claim 2 , wherein a recess is formed on the lower housing member;wherein the recess extends from an outer edge to an inner edge of the lower housing member.4. The reticle holding tool as claimed in claim 1 , further comprising:a stage connected to the reticle chuck and configured to move the reticle chuck in a direction that is parallel to the predetermined plane;wherein the top housing member is connected to the reticle chuck, and the reticle chuck together with the housing are movable relative to the stage.5. The reticle holding tool as claimed in claim 1 , wherein ...

Подробнее
16-05-2019 дата публикации

APPARATUS AND METHOD FOR GENERATING EXTREME ULTRAVIOLET RADIATION

Номер: US20190150263A1
Принадлежит:

A target droplet source for an extreme ultraviolet (EUV) source includes a droplet generator configured to generate target droplets of a given material. The droplet generator includes a nozzle configured to supply the target droplets in a space enclosed by a chamber. The target droplet source further includes a sleeve disposed in the chamber distal to the nozzle. The sleeve is configured to provide a path for the target droplets in the chamber. 1. An extreme ultraviolet (EUV) radiation source comprising:an EUV generation chamber enclosing a space;a droplet generator configured to generate target droplets of a given material, the droplet generator comprising a nozzle configured to supply the target droplets in the space enclosed by the EUV generation chamber;an excitation laser configured to heat the target droplets supplied by the nozzle to generate plasma, the excitation laser being focused at a focal position in the space enclosed by the EUV generation chamber; anda sleeve disposed in the EUV generation chamber between the nozzle and the focal position, the sleeve configured to provide a path for the target droplets between the nozzle and the focal position.2. The EUV radiation source of claim 1 , wherein the characteristic of the target droplets is one or more selected from the group consisting of a velocity of the target droplets claim 1 , a distance between successive target droplets claim 1 , a frequency of the target droplets claim 1 , a radius of the target droplets and a shape of the target droplets.3. The EUV radiation source of claim 1 , wherein the environment within the EUV generation chamber comprises one or more selected from the group consisting of a pressure inside the EUV generation chamber claim 1 , a temperature inside the EUV generation chamber claim 1 , a flow rate of gas inside the EUV generation chamber claim 1 , and a local pressure at a portion of the space enclosed by the EUV generation chamber.4. The EUV radiation source of claim 1 , ...

Подробнее
16-05-2019 дата публикации

Light source for lithography exposure process

Номер: US20190150265A1

A method for generating light is provided. The method includes generating targets with a fuel target generator. The method further includes measuring a period of time during which one of the targets passes through two detection positions on a path along which the targets move. The method also includes exciting the targets with a laser generator so as to generate plasma that emits light. In addition, the method includes adjusting at least one parameter of the fuel target generator or the laser generator according to the measured period of time, when the measured period of time is different from a predetermined value.

Подробнее
16-05-2019 дата публикации

DROPLET GENERATOR AND METHOD OF SERVICING EXTREME ULTRAVIOLET IMAGING TOOL

Номер: US20190150266A1
Принадлежит:

A droplet generator for an extreme ultraviolet imaging tool includes a reservoir for a molten metal, and a nozzle having a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. A gas inlet is connected to the nozzle, and an isolation valve is at the second end of the nozzle configured to seal the nozzle droplet generator from the ambient. 1. A droplet generator for an extreme ultraviolet imaging tool , comprising:a reservoir for a molten metal;a nozzle having a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle;a gas inlet connected to the nozzle; andan isolation valve at the second end of the nozzle configured to seal the nozzle droplet generator from the ambient.2. The droplet generator of claim 1 , further comprising an actuator to generate droplets at the second end of the nozzle.3. The droplet generator of claim 2 , wherein the actuator is a piezoelectric actuator.4. The droplet generator of claim 1 , further comprising a heating element connected to the nozzle.5. The droplet generator of claim 4 , wherein the heating element is connected to an uninterruptable power supply.6. The droplet generator of claim 1 , wherein an inert gas supply is connected to the gas inlet.7. The droplet generator of claim 1 , wherein the nozzle has a nozzle tip made of silicon or a silicon-based compound.8. An extreme ultraviolet lithography (EUVL) tool claim 1 , comprising:an extreme ultraviolet radiation source;an exposure device; anda laser source, a vacuum chamber;', 'a droplet generator configured to generate target droplets located on a first side of the vacuum chamber;', 'a laser produced plasma collector located on a second side of the vacuum chamber adjacent the first side configured to collect, focus, and direct extreme ultraviolet radiation towards the exposure device; and', 'a droplet catcher located on a third side of the vacuum chamber opposing ...

Подробнее
07-05-2020 дата публикации

Residual gain monitoring and reduction for EUV drive laser

Номер: US20200146137A1
Принадлежит:

A system includes a laser source operable to provide a laser beam, a laser amplifier having a gain medium operable to provide energy to the laser beam when the laser beam passes through the laser amplifier, and a residual gain monitor operable to provide a probe beam and operable to derive a residual gain of the laser amplifier from the probe beam when the probe beam passes through the laser amplifier while being offset from the laser beam in time or in path. 1. A system , comprising:a laser source operable to provide a laser beam;a laser amplifier having a gain medium operable to provide energy to the laser beam when the laser beam passes through the laser amplifier; anda residual gain monitor operable to provide a probe beam and operable to derive a residual gain of the laser amplifier from the probe beam when the probe beam passes through the laser amplifier while being offset from the laser beam in time or in path.2. The system of claim 1 , further comprising an extreme ultraviolet (EUV) vessel operable to receive the laser beam after travelling through the laser amplifier for interaction with a target to create EUV light.3. The system of claim 1 , further comprising a control module coupled to the residual gain monitor and configured to receive the residual gain claim 1 , wherein the control module is configured to adjust parameters of the gain medium according to a comparison between the residual gain and a threshold level.4. The system of claim 1 , wherein the laser amplifier is one of a plurality of laser amplifiers and the laser beam is configured to travel through each of the plurality of laser amplifiers.5. The system of claim 4 , wherein:a first laser amplifier of the plurality of laser amplifiers is configured to provide a first gain to the laser beam,a second laser amplifier of the plurality of laser amplifiers is configured to provide a second gain to the laser beam, the first gain being greater than the second gain, andthe residual gain monitor is ...

Подробнее
22-09-2022 дата публикации

SYSTEM AND METHOD FOR DETECTING DEBRIS IN A PHOTOLITHOGRAPHY SYSTEM

Номер: US20220299883A1
Принадлежит:

An extreme ultraviolet (EUV) photolithography system detects debris travelling from an EUV generation chamber to a scanner. The photolithography system includes a detection light source and a sensor. The detection light source outputs a detection light across a path of travel of debris particles from the EUV generation chamber. The sensor senses debris particles by detecting interaction of the debris particles with the detection light. 1. A method , comprising:generating extreme ultraviolet light from droplets in an extreme ultraviolet light generation chamber by irradiating the droplets with a laser;directing the extreme ultraviolet light from the extreme ultraviolet light generation chamber to a scanner;outputting a detection light; anddetecting debris particles traveling form the extreme ultraviolet light generation chamber based on interaction of the debris particles with the detection light.2. The method of claim 1 , further comprising outputting the detection light adjacent to an aperture joining the scanner and the extreme ultraviolet light generation chamber.3. The method of claim 1 , wherein detecting debris includes sensing emissions from the debris responsive to the detection light.4. The method of claim 1 , wherein detecting debris particles includes sensing an interruption in the detection light with a light sensor.5. The method of claim 1 , further comprising counting a number of debris particles that have traveled from the extreme ultraviolet light generation chamber into the scanner.6. The method of claim 1 , further comprising initiating a debris removal process responsive to detecting debris particles.7. The method of claim 6 , wherein the debris removal process includes flowing a cleaning fluid into the scanner.8. The method of claim 1 , further comprising adjusting extreme ultraviolet light generation parameters responsive to detecting debris particles.9. The method of claim 8 , wherein adjusting extreme ultraviolet light generation parameters ...

Подробнее
23-05-2019 дата публикации

Method for discharging static charges on reticle

Номер: US20190155139A1

A reticle, a reticle container and a method for discharging static charges accumulated on a reticle are provided. The reticle includes a mask substrate, a reflective multilayer (ML) structure, a capping layer, an absorption structure and a conductive material structure. The mask substrate has a front-side surface and a back-side surface. The reflective ML structure is positioned over the front-side surface of mask substrate. The capping layer is positioned over the reflective ML structure. The absorption structure is positioned over the capping layer. The conductive material structure is positioned over a sidewall surface of the mask substrate and a sidewall surface of the absorption structure.

Подробнее
23-05-2019 дата публикации

LIGHT SOURCE FOR LITHOGRAPHY EXPOSURE PROCESS

Номер: US20190155157A1
Принадлежит:

A method for generating a radiation light in a lithography exposure system is provided. The method includes connecting a first nozzle assembly coupled to a support to an outlet of a storage member that receives a target fuel inside. The method further includes guiding the target fuel flowing through the first nozzle assembly and supplying a droplet of the target fuel into an excitation zone via the first nozzle assembly. The method also includes moving the support to connect a second nozzle assembly coupled to the support with the outlet. In addition, the method includes guiding the target fuel flowing through the second nozzle assembly and supplying a droplet of the target fuel into the excitation zone via the second nozzle assembly. The method further includes irradiating the droplet of the target fuel in the excitation zone with a laser pulse. 1. A lithography exposure system , comprising:a selection mechanism;a plurality of nozzle assemblies coupled to the selection mechanism and each configured to eject a droplet of a target fuel;a storage member configured to store the target fuel and having an outlet, wherein the selection mechanism is configured to selectively and fluidly connect the outlet with one of the plurality of nozzle assemblies to enable the target fuel to be supplied from the storage member via the outlet to the one of the plurality of nozzle assemblies that connected with the outlet; anda laser generator configured to generate a laser pulse to hit the droplet.2. The lithography exposure system as claimed in claim 1 , wherein the storage member further comprises a valve mechanism connected to the outlet and configured to control flow of target fuel in the outlet.3. The lithography exposure system as claimed in claim 1 , wherein the storage member extends along a first extending axis claim 1 , and the one of the plurality of nozzle assemblies connected with the outlet extends along a second extending axis not parallel to the first extending axis.4. ...

Подробнее
23-05-2019 дата публикации

Radiation source for lithography process

Номер: US20190155179A1

A method for a lithography exposure process is provided. The method includes irradiating a target droplet with a laser beam to create an extreme ultraviolet (EUV) light. The method further includes reflecting the EUV light with a collector. The method also includes discharging a cleaning gas over the collector through a gas distributor positioned next to the collector. A portion of the cleaning gas is converted to free radicals before the cleaning gas leaves the gas distributor, and the free radicals are discharged over the collector along with the cleaning gas.

Подробнее
24-06-2021 дата публикации

LITHOGRAPHY SYSTEM AND CLEANING METHOD THEREOF

Номер: US20210191284A1

A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. 1. A lithography system , comprising: a collector;', 'a droplet generator, disposed at a side of the collector, and configured to emit droplets across a reflective side of the collector;', 'a droplet catcher, disposed at another side of the collector, and configured to catch at least a portion of the droplets emitted from the droplet generator;', 'a vessel, covering the reflective side of the collector, wherein a light reflected by the collector passes through a central passage of the vessel; and', 'a cleaning apparatus, attached to a surface of the vessel facing the collector and adjacent to the droplet catcher, wherein the cleaning apparatus is configured to remove a deposit formed by a portion of the droplets not being caught by the droplet catcher., 'a light source generator, comprising'}2. The lithography system according to claim 1 , wherein the cleaning apparatus is configured to remove the deposit without shifting any one of the collector claim 1 , the droplet generator and the droplet catcher out of the light source generator.3. The lithography system according to claim 1 , wherein the cleaning apparatus comprises a ...

Подробнее
22-09-2022 дата публикации

RADIATION SOURCE APPARATUS AND METHOD FOR USING THE SAME

Номер: US20220304131A1

A method for using an extreme ultraviolet radiation source is provided. The method includes assembling a first droplet generator onto a port of a vessel; ejecting a target droplet from the first droplet generator to a zone of excitation in front of a collector; emitting a laser toward the zone of excitation, such that the target droplet is heated by the laser to generate extreme ultraviolet (EUV) radiation; stopping the ejection of the target droplet; after stopping the ejection of the target droplet, disassembling the first droplet generator from the port of the vessel; after disassembling the first droplet generator from the port of the vessel, inserting a cleaning device into the vessel through the port; and cleaning the collector by using the cleaning device. 1. A method , comprising:assembling a first droplet generator onto a port of a vessel;ejecting a target droplet from the first droplet generator to a zone of excitation in front of a collector at an end of the vessel;emitting a laser toward the zone of excitation, such that the target droplet is heated by the laser to generate extreme ultraviolet (EUV) radiation;stopping the ejection of the target droplet;after stopping the ejection of the target droplet, disassembling the first droplet generator from the port of the vessel;after disassembling the first droplet generator from the port of the vessel, inserting a cleaning device into the vessel through the port; andcleaning the collector by using the cleaning device.2. The method of claim 1 , wherein cleaning the collector comprises:moving a front end of the cleaning device from a first position in the vessel to a second position in the vessel.3. The method of claim 1 , wherein cleaning the collector comprises:rotating a first portion of the cleaning device with respect to a second portion of the cleaning device connected with the first portion of the cleaning device.4. The method of claim 1 , wherein cleaning the collector comprising:providing a vacuuming ...

Подробнее
30-05-2019 дата публикации

Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning

Номер: US20190163046A1

A method of controlling reticle masking blade positioning to minimize the impact on critical dimension uniformity includes determining a target location of a reticle masking blade relative to a reflective reticle and positioning the reticle masking blade at the target location. A position of the reticle masking blade is monitored during an imaging operation. The position of the reticle masking blade is compared with the target location and the position of the reticle masking blade is adjusted if the position of the reticle masking blade is outside a tolerance of the target location.

Подробнее
29-09-2022 дата публикации

METHOD AND APPARATUS FOR REMOVING CONTAMINATION

Номер: US20220308465A1
Принадлежит:

Cleaning equipment for an EUV wafer chuck or clamp, which removes particles that have accumulated between burls on the surface the wafer chuck. The equipment includes a spinning bi-polar electrode placed in proximity to the surface, which can attract and adsorb the charged particle residue therefrom using its generated symmetric electric field when the wafer chuck is not in use. 1. A lithography apparatus comprising:a wafer chuck having a surface;a cleaning electrode configured to generate an electric field; anda motor for spinning the cleaning electrode, wherein when the cleaning electrode is spinning in proximity to the wafer chuck, electrically-charged particles are adsorbed by the cleaning electrode from the surface.2. The apparatus of claim 1 , wherein the wafer clamp is an electrostatic chuck.3. The apparatus of claim 1 , wherein the cleaning electrode comprises a shape with at least two axes of symmetry.4. The apparatus of claim 3 , wherein the shape is circular and the electric field is a bi-polar electric field.5. The apparatus of claim 3 , wherein the shape is a regular polygon and the electric field is a bi-polar electric field.6. The apparatus of claim 3 , wherein the shape is one of a star shape and a fan shape claim 3 , and wherein the electric field is a bi-polar electric field.7. The apparatus of further comprising at least one of: an alternating current (AC) power source claim 3 , a direct current (DC) power source and a wireless electrical power source for charging the cleaning electrode.8. The apparatus of claim 7 , the cleaning electrode further having a first positively-charged portion and second negatively-charged portion that are electrically isolated.9. The apparatus of claim 8 , wherein the first positively-charged portion comprises substantially half the shape and the second negatively-charged portion comprises substantially half the shape.10. The apparatus of claim 1 , further comprising a motor for spinning the cleaning electrode and an ...

Подробнее
29-09-2022 дата публикации

SEMICONDUCTOR PROCESSING METHOD AND APPARATUS

Номер: US20220310431A1
Принадлежит:

A method includes: positioning a wafer on an electrostatic chuck of an apparatus; and securing the wafer to the electrostatic chuck by: securing a first wafer region of the wafer to a first chuck region of the electrostatic chuck by applying a first voltage at a first time. The method further includes securing a second wafer region of the wafer to a second chuck region of the electrostatic chuck by applying a second voltage at a second time different from the first time; and processing the wafer by the apparatus while the wafer is secured to the electrostatic chuck. 1. A method , comprising:positioning a wafer on an electrostatic chuck of an apparatus; securing a first wafer region of the wafer to a first chuck region of the electrostatic chuck by applying a first voltage at a first time; and', 'securing a second wafer region of the wafer to a second chuck region of the electrostatic chuck by applying a second voltage at a second time different from the first time; and, 'securing the wafer to the electrostatic chuck byprocessing the wafer by the apparatus while the wafer is secured to the electrostatic chuck.2. The method of claim 1 , wherein the securing the wafer further includes:securing a third wafer region of the wafer to a third chuck region of the electrostatic chuck by applying a third voltage at a third time different from the first and second times; andsecuring a fourth wafer region of the wafer to a fourth chuck region of the electrostatic chuck by applying a fourth voltage at a fourth time different from the first, second and third times.3. The method of claim 1 , wherein the second time follows the first time.4. The method of claim 3 , wherein the second time is during a period in which a first chuck electrode of the first chuck region is partially charged.5. The method of claim 1 , wherein the first voltage has greater magnitude than the second voltage.6. The method of claim 1 , wherein:the first chuck region is a central chuck region;the second chuck ...

Подробнее
30-05-2019 дата публикации

System and Method for Extreme Ultraviolet Source Control

Номер: US20190166680A1

An EUV radiation source module includes a target droplet generator configured to generate target droplets; a first laser source configured to generate first laser pulses that heat the target droplets to produce target plumes; a second laser source configured to generate second laser pulses that heat the target plumes to produce plasma emitting EUV radiation; third and fourth laser sources configured to generate first and second laser beams, respectively, that are directed onto a travel path of the target plumes, wherein the first and second laser beams are substantially parallel; and a monitor configured to receive the first and second laser beams reflected by the target plumes.

Подробнее
21-06-2018 дата публикации

Extreme Ultraviolet Lithography System with Debris Trapper on Exhaust Line

Номер: US20180173117A1
Принадлежит:

An extreme ultraviolet (EUV) lithography system includes a collector designed to collect and reflect EUV radiation, a cover integrated with the collector, a first exhaust line connected to the cover and configured to receive debris vapor from the collector, a debris trapper connected to the first exhaust line and configured to trap the debris vapor, and a second exhaust line connected to the debris trapper. 1. An extreme ultraviolet (EUV) lithography system , comprising:a collector designed to collect and reflect EUV radiation;a cover integrated with the collector;a first exhaust line connected to the cover and configured to receive debris vapor from the collector;a debris trapper connected to the first exhaust line and configured to trap the debris vapor; anda second exhaust line connected to the debris trapper.2. The EUV lithography system of claim 1 , wherein the first exhaust line is configured to operate at a first temperature higher than a melting point of a material included in the debris vapor claim 1 , and the second exhaust line is configured to operate at a second temperature lower than the melting point of the material included in the debris vapor.3. The EUV lithography system of claim 1 , wherein the debris vapor includes tin.4. The EUV lithography system of claim 1 , wherein the debris trapper includes a labyrinth path structure having a cooling surface.5. The EUV lithography system of claim 1 , wherein the debris trapper is detachably attached to the first and second exhaust lines.6. The EUV lithography system of claim 1 , wherein the debris trapper includes nano rods or porous macro structures.7. The EUV lithography system of claim 1 , further comprising:a pump connected to the second exhaust line for extracting the debris vapor out of the cover.8. The EUV lithography system of claim 7 , further comprising:a gas supply module for supplying a gas into the collector.9. The EUV lithography system of claim 1 , wherein the debris trapper has a housing ...

Подробнее
28-05-2020 дата публикации

EXTREME ULTRAVIOLET PHOTOLITHOGRAPHY SYSTEM AND METHOD

Номер: US20200166848A1
Принадлежит:

A method of operating an extreme ultraviolet (EUV) lithography system includes directing a metallic droplet along a shroud, wherein the shroud has a first opening adjacent a droplet generator and a second opening adjacent an excitation region; partially shielding the second opening of the shroud; and emitting a laser beam encountering the metallic droplet to generate an EUV light. 1. A method of operating an extreme ultraviolet (EUV) lithography system , the method comprising:guiding a first metallic droplet passing through a shroud having a shutter cover at a first velocity, wherein an aperture of the shutter cover has a first area to allow the first metallic droplet passing through;guiding a second metallic droplet passing through the shroud at a second velocity, wherein the second velocity is greater than the first velocity;adjusting the aperture of the shutter cover, such that the aperture of the shutter cover has a second area that is smaller than the first area;after adjusting the shutter cover, guiding a third metallic droplet passing through the aperture of the shroud at a third velocity; andemitting a laser beam encountering the third metallic droplet to generate an EUV light.2. The method of claim 1 , wherein a travel path of the third metallic droplet is closer to a center of the aperture than that of the first metallic droplet.3. The method of claim 1 , wherein the third velocity is greater than the second velocity.4. The method of claim 1 , wherein the third velocity is equals to the second velocity claim 1 , and the laser beam encounters the second metallic droplet.5. The method of claim 1 , wherein the shroud is an enclosed shroud having a first opening and a second opening claim 1 , and the shutter cover is disposed at the second opening.6. The method of claim 1 , wherein adjusting the aperture of the shutter cover is performed by moving shutter blades of the shutter cover.7. The method of claim 1 , further comprising:adjusting the aperture of the ...

Подробнее
08-07-2021 дата публикации

EUV RADIATION SOURCE APPARATUS FOR LITHOGRAPHY

Номер: US20210208508A1
Принадлежит:

An EUV collector mirror for an extreme ultra violet (EUV) radiation source apparatus includes an EUV collector mirror body on which a reflective layer as a reflective surface is disposed, a heater attached to or embedded in the EUV collector mirror body and a drain structure to drain melted metal from the reflective surface of the EUV collector mirror body to a back side of the EUV collector mirror body. 1. A method of operating an extreme ultra violet (EUV) radiation source apparatus , comprising:generating an EUV light by the EUV radiation source apparatus, the radiation source apparatus including an EUV collector mirror comprising a EUV collector mirror body on which a reflective layer as a reflective surface is disposed;heating the EUV collector mirror by a heater provided to the EUV collector mirror body to melt metal deposited on the reflective surface of the EUV collector mirror; anddraining the melted metal through a drain structure and a drain hole provided to the EUV collector mirror body such that the melted metal flows through the drain structure by gravity to the drain hole disposed at a lowest position of the EUV collector mirror.2. The method of claim 1 , wherein the drain structure includes an opening at the reflective surface and a conduit connecting the opening and the drain hole.3. The method of claim 2 , wherein the opening is a slit passing through the EUV collector mirror body.4. The method of claim 3 , wherein the drain structure further includes a support provided at a bottom of the slit and having an EUV reflective surface.5. The method of claim 4 , wherein the support having the EUV reflective surface has a curvature such that EUV radiation reflected at the support having the EUV reflective surface and EUV radiation reflected at the reflective surface on the EUV collector mirror body have a same focal point.6. The method of claim 2 , wherein the opening is a groove formed in the EUV collector mirror body having a bottom surface on the EUV ...

Подробнее
15-07-2021 дата публикации

SYSTEM AND APPARATUS FOR LITHOGRAPHY IN SEMICONDUCTOR FABRICATION

Номер: US20210216015A1
Принадлежит:

A lithography apparatus is provided. The lithography apparatus includes a wafer stage configured to secure a semiconductor wafer and having a plurality of electrodes. The lithography apparatus also includes an exposure tool configured to perform an exposure process by projecting an extreme ultraviolet (EUV) light on the semiconductor wafer. The lithography apparatus further includes a controller configured to control power supplied to the electrodes to have a first adjusted voltage during the exposure process for a first group of exposure fields on the semiconductor wafer so as to secure the semiconductor wafer to the wafer stage. The first adjusted voltage is in a range from about 1.6 kV to about 3.2 kV. 1. A lithography apparatus , comprising:a wafer stage configured to secure a semiconductor wafer and having a plurality of electrodes;an exposure tool configured to perform an exposure process by projecting an extreme ultraviolet (EUV) light on the semiconductor wafer; anda controller configured to control power supplied to the electrodes to have a first adjusted voltage during the exposure process for a first group of exposure fields on the semiconductor wafer so as to secure the semiconductor wafer to the wafer stage, wherein the first adjusted voltage is in a range from about 1.6 kV to about 3.2 kV.2. The lithography apparatus as claimed in claim 1 , further comprising:an optical measurement tool configured to perform a measuring process;wherein the controller is further configured to determine the first adjusted voltage based on a topology produced in the measuring process.3. The lithography apparatus as claimed in claim 1 , further comprising a thermal chamber configured to heat the wafer before the radiation is projected onto the semiconductor wafer;wherein the controller is further configured to control the power from the power supply to the electrodes to have an initial voltage before the exposure process;wherein the initial voltage is different from the ...

Подробнее
22-07-2021 дата публикации

EXTREME ULTRAVIOLET CONTROL SYSTEM

Номер: US20210223701A1
Принадлежит:

A method of controlling a droplet illumination module/droplet detection module system of an extreme ultraviolet (EUV) radiation source includes irradiating a target droplet with light from a droplet illumination module and detecting light reflected and/or scattered by the target droplet. The method includes determining whether an intensity of the detected light is within an acceptable range. In response to determining that the intensity of the detected light is not within the acceptable range, a parameter of the droplet illumination module is automatically adjusted to set the intensity of the detected light within the acceptable range. 1. A method of controlling an excitation laser of an extreme ultraviolet (EUV) radiation source , the method comprising:generating a target droplet in a droplet generator;irradiating the target droplet with light from a droplet illumination module (DIM) before the target droplet is irradiated with the excitation laser, the droplet illumination module including an adjustable slit;detecting light reflected and/or scattered by the target droplet by a droplet detection module (DDM), droplet detection module providing a signal based on the light detected at the droplet detection module to a timing energy module; anddetermining a trigger time of the timing energy module (TEM), by the signal, for triggering an excitation pulse from the excitation laser based on an intensity of the light detected at the droplet detection module,wherein the adjustable slit is positioned between the droplet illumination module and the target droplet.2. The method according to claim 1 , wherein the light irradiating the target droplet has a wavelength of about 1070 nm.3. The method according to claim 1 , wherein a source of light from the droplet illumination module is a laser.4. The method according to claim 1 , wherein the droplet illumination module has the adjustable slit having a slit width in a range of 10 μm to 300 μm and a parameter comprises the slit ...

Подробнее
22-07-2021 дата публикации

EUV LITHOGRAPHY SYSTEM AND METHOD FOR DECREASING DEBRIS IN EUV LITHOGRAPHY SYSTEM

Номер: US20210223708A1

Extreme ultraviolet (EUV) lithography systems are provided. A EUV scanner is configured to perform a lithography exposure process in response to EUV radiation. A light source is configured to provide the EUV radiation to the EUV scanner. A measuring device is configured to measure concentration of debris caused by unstable target droplets in the chamber. A controller is configured to adjust a first gas flow rate and a second gas flow rate in response to the measured concentration of the debris and a control signal from the EUV scanner. A exhaust device is configured to extract the debris out of the chamber according to the first gas flow rate. A gas supply device is configured to provide a gas into the chamber according to the second gas flow rate. The control signal indicates the lithography exposure process is completed. 1. An extreme ultraviolet (EUV) lithography system , comprising:an EUV scanner configured to perform a lithography exposure process in response to EUV radiation; a chamber;', 'a measuring device configured to measure concentration of debris caused by unstable target droplets in the chamber; and', 'a controller configured to adjust a first gas flow rate and a second gas flow rate in response to the measured concentration of the debris and a control signal from the EUV scanner;, 'a light source configured to provide the EUV radiation to the EUV scanner, wherein the light source comprisesan exhaust device configured to extract the debris out of the chamber according to the first gas flow rate; anda gas supply device configured to provide a gas into the chamber according to the second gas flow rate,wherein the control signal indicates the lithography exposure process is completed,wherein the first gas flow rate is proportional to the second gas flow rate.2. The EUV lithography system as claimed in claim 1 , wherein the exhaust device comprises:a first exhaust line connected to the chamber;a valve connected to the first exhaust line, wherein the valve ...

Подробнее
22-07-2021 дата публикации

EUV LIGHT SOURCE AND APPARATUS FOR EUV LITHOGRAPHY

Номер: US20210227676A1
Принадлежит:

A metal reuse system for an extreme ultra violet (EUV) radiation source apparatus includes a first metal collector for collecting metal from vanes of the EUV radiation source apparatus, a first metal storage coupled to the first metal collector via a first conduit, a metal droplet generator coupled to the first metal storage via a second conduit, and a first metal filtration device disposed on either one of the first conduit and the second conduit. 1. A metal reuse system for an extreme ultra violet (EUV) radiation source apparatus , comprising:a first metal collector for collecting metal from an inside a chamber of the EUV radiation source apparatus;a first metal storage coupled to the first metal collector via a first conduit;a second conduit coupled to the first metal storage; anda first metal filtration device disposed either one of the first conduit or the second conduit.2. The metal reuse system of claim 1 , wherein the second conduit is coupled to a metal droplet generator of the EUV radiation source apparatus.3. The metal reuse system of claim 1 , wherein the first metal collector is a heated tin vane bucket.4. The metal reuse system of claim 1 , further comprising a second metal filtration device claim 1 , wherein the first metal filtration device is disposed on the first conduit and the second metal filtration device is disposed on the second conduit.5. The metal reuse system of claim 2 , further comprising:a first pressurizing device coupled to the first metal storage via a third conduit;a first valve disposed on the first conduit;a second valve disposed on the second conduit;a third valve disposed on the third conduit; anda controller that controls the first pressurizing device, the first valve, the second valve and the third valve.6. The metal reuse system of claim 5 , wherein the controller is configured to:close the second and third valves and open the first valve when an amount of metal in the metal droplet generator is more than a threshold amount, ...

Подробнее