Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 4832. Отображено 100.
01-03-2012 дата публикации

Cleaning solution and damascene process using the same

Номер: US20120052686A1
Автор: An-Chi Liu, Tien-Cheng Lan
Принадлежит: United Microelectronics Corp

A cleaning solution is provided. The cleaning solution includes a fluorine containing compound, an inorganic acid, a chelating agent containing a carboxylic group and water for balance. The content of the fluorine containing compound is 0.01-0.5 wt % of. The content of the inorganic acid is 1-5 wt %.

Подробнее
21-06-2012 дата публикации

Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Номер: US20120157367A1
Автор: Anh Duong, Indranil De
Принадлежит: Intermolecular Inc

A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.

Подробнее
09-08-2012 дата публикации

Process for the preparation of a powder comprising one or more complexing agent salts

Номер: US20120202731A1
Принадлежит: BASF SE

A process is proposed for the preparation of a powder comprising one or more complexing agent salts of the general formula starting from an aqueous solution comprising the one or more complexing agent salts in a concentration of from 10 to 80% by weight, based on the total weight of the aqueous solution, in a spray-drying process, comprising an atomization step and a drying step, wherein the atomization step is carried out with the addition of crystalline fine dust of the same complexing agent salt(s) as are present in the aqueous solution, or one or more complexing agent salts different therefrom, with an upper limit for the average particle diameter of the crystalline fine dust which is lower by at least a factor of 2 than the lower limit of the average particle diameter of the powder obtained after the process, in a fraction of from 0.1 to 20% by weight, based on the weight of the powder obtained after the process.

Подробнее
25-10-2012 дата публикации

Compositions and methods for collecting or containing a hydrophilic polymer

Номер: US20120266917A1
Принадлежит: Innovative Next Generation Products Inc

Compounds and related methods are present to assist in the collection and/or disposal of polymers and/or coagulants, such as hydrophilic long chain polymers. A compound may be provided as a mixture of a polysaccharide, a salt, and a substrate. Such mixture may be cast over an area including, e.g., a spilled hydrophilic long chain polymer, and the polymer and mixture may be easily swept up and disposed of.

Подробнее
28-03-2013 дата публикации

METHOD FOR PRODUCING HIGH CONCENTRATION OZONATED WATER AND DEVICE FOR PRODUCING HIGH CONCENTRATION OZONATED WATER

Номер: US20130079269A1
Принадлежит:

The disclosed device and method produce high concentration ozonated water by connecting a high concentration ozone gas-supplying system that comprises an ozone gas-generating unit () for forming ozone gas, an ozone gas-concentrating unit () for concentrating the ozone gas formed, a concentrated ozone gas-pressurizing unit () for pressurizing the concentrated ozone gas output from the ozone gas-concentrating unit (), and a cooling mechanism () for cooling the concentrated ozone gas-pressurizing unit (), to an ozone gas-dissolving unit () to dissolve the high pressure, concentrated ozone gas in pure water and produce the high concentration ozonated water. 1. A method for producing high concentration ozonated water , with a combination of an ozone gas generating operation , an ozone gas concentrating operation , a concentrated ozone gas boosting operation , a cooling operation in the ozone gas boosting operation , and an operation of dissolving the boosted concentrated ozone gas into water.241232133. A device for producing high concentration ozonated water , wherein a high-pressure concentrated ozone gas supplying system is connected to an ozone gas dissolving unit () so as to establish communication between each other , the high-pressure concentrated ozone gas supplying system including an ozone gas generating unit () for generating ozone gas , an ozone gas concentrating unit () for concentrating the generated ozone gas , a concentrated ozone gas pressurizing unit () for boosting the concentrated ozone gas led out from the ozone gas concentrating unit () , and a cooling mechanism () for cooling the concentrated ozone gas pressurizing unit ().3. The device for producing high concentration ozonated water according to claim 2 , wherein{'b': '3', 'the concentrated ozone gas pressurizing unit () includes a monitor that measures temperature, pressure, and flow rate of the boosted high pressure concentrated ozone gas, the device comprising'}{'b': 5', '3, 'a control unit () ...

Подробнее
18-04-2013 дата публикации

LIQUID CONCENTRATE FOR CLEANING COMPOSITION, CLEANING COMPOSITION AND CLEANING METHOD

Номер: US20130096044A1
Принадлежит: Kaken Tech Co., Ltd.

Provided is a liquid concentrate for cleaning composition which could exhibit excellent environmental safety etc. by adding afterward a predetermined amount of water, and also has excellent regeneration efficiency, and provided are a cleaning composition and a cleaning method thereof. Disclosed is a liquid concentrate for cleaning composition which is used as a mixture with water and is intended for cleaning an object to be cleaned in a clouded state, with a predetermined amount of water having been added thereto, the liquid concentrate for cleaning composition including, a first organic solvent which is a predetermined hydrophobic glycol ether compound or the like, and a second organic solvent which is a predetermined hydrophilic amine compound. 1. A liquid concentrate for cleaning composition for cleaning intended for being used as a mixture with water and also for cleaning an object to be cleaned in a clouded state with an amount of water which is a value in the range of 50 to 1900 parts by weight relative to 100 parts by weight of the liquid concentrate for cleaning composition ,the liquid concentrate for cleaning composition comprising, as organic solvents, at least a first organic solvent and a second organic solvent,wherein the first organic solvent is at least one compound selected from the group consisting of a hydrophobic glycol ether compound, a hydrophobic hydrocarbon compound, a hydrophobic aromatic compound, a hydrophobic ketone compound and a hydrophobic alcohol compound, which has a boiling point in the range of 140° C. to 190° C. and a solubility in water (measurement temperature: 20° C.) of 50% by weight or less;the second organic solvent is a hydrophilic amine compound having a boiling point in the range of 140° C. to 190° C. and a solubility in water (measurement temperature: 20° C.) of greater than 50% by weight;the amount of incorporation of the second organic solvent is adjusted to a value in the range of 0.3 parts to 30 parts by weight ...

Подробнее
27-06-2013 дата публикации

STRIPPER SOLUTIONS EFFECTIVE FOR BACK-END-OF-LINE OPERATIONS

Номер: US20130161840A1
Принадлежит: DYNALOY LLC

Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods. 2. The stripper solution of claim 1 , wherein the stripper solution additionally contains glycerine and the polar aprotic solvent is selected from the group consisting of dimethyl sulfoxide and 1-formylpiperidine.3. The stripper solution of claim 1 , wherein the aprotic solvent comprises from about 40% to about 90% of the composition; water comprises from about 2% to about 15% of the composition; the quaternary hydroxide comprises from about 1% to about 10% of the composition; and the amine comprises from about 2% to about 60% of the composition.4. The stripper solution of claim 3 , wherein the aprotic solvent is dimethyl sulfoxide.5. The stripper solution of claim 1 , wherein Z is P.6. The stripper solution of claim 3 , wherein said amine is an alkanolamine having at least two carbon atoms claim 3 , at least one amino substituent and at least one hydroxyl substituent claim 3 , the amino and hydroxyl substituents attached to different carbon atoms.7. The stripper solution of claim 3 , wherein the quaternary hydroxide comprises tetrabutyl phosphonium hydroxide claim 3 , tetraphenyl phosphonium hydroxide claim 3 , methyl triphenyl phosphonium hydroxide claim 3 , ethyl triphenyl phosphonium hydroxide claim 3 , propyl triphenyl phosphonium hydroxide claim 3 , butyl triphenyl phosphonium hydroxide claim 3 ...

Подробнее
12-09-2013 дата публикации

Aluminum post-etch residue removal with simultaneous surface passivation

Номер: US20130237469A1
Автор: Chia-Yin Joyce Wei
Принадлежит: EKC Technology Inc

Al post-etch residue removal composition doped with an alkanoic acid of the formula R—COOH, where R can be a linear or branched alkyl group in the form of C n H 2n+1 , where n is from 4 to 19, simultaneously passivates exposed Al surfaces while removing post-etch residues.

Подробнее
03-10-2013 дата публикации

SUBSTRATE CLEANER FOR COPPER WIRING, AND METHOD FOR CLEANING COPPER WIRING SEMICONDUCTOR SUBSTRATE

Номер: US20130261040A1
Принадлежит: WAKO PURE CHEMICAL INDUSTRIES, LTD.

A cleaning agent for a substrate having a copper wiring consisting of an aqueous solution comprising [I] an amino acid represented by the following general formula [1], and [II] an alkylhydroxylamine; and a method for cleaning a semiconductor substrate having a copper wiring characterized by using the relevant cleaning agent for a substrate having a copper wiring; 2. The cleaning agent according to claim 1 , wherein the copper wiring is the one coated with a Cu (I)-benzotriazole complex formed by monovalent copper and benzotriazole or a derivative thereof.3. The cleaning agent according to claim 1 , wherein the copper wiring is the one coated with a Cu (II)-quinaldic acid complex formed by divalent copper and quinaldic acid or a derivative thereof.4. The cleaning agent according to claim 1 , wherein the substrate is the one after chemical mechanical polishing (CMP).5. The cleaning agent according to claim 2 , wherein the cleaning agent is for removing at least either of copper hydroxide (II) and copper oxide (II).6. The cleaning agent according to claim 3 , wherein the cleaning agent is for removing a copper (II)-quinaldic acid complex claim 3 , and at least either of copper hydroxide (II) and copper oxide (II).7. The cleaning agent according to claim 1 , wherein pH of the aqueous solution is in a range of 9 to 11.8. The cleaning agent according to claim 1 , wherein pH of the aqueous solution is in a range of 4 to 7.9. The cleaning agent according to claim 1 , wherein the aqueous solution is a solution further comprising [III] an amine or an ammonium salt.10. The cleaning agent according to claim 1 , wherein the aqueous solution is a solution further comprising [IV] a hydrochloric acid claim 1 , a sulfuric acid claim 1 , a phosphoric acid claim 1 , or any salts selected from these.11. The cleaning agent according to claim 9 , wherein the aqueous solution is a solution consisting of only the [I] claim 9 , [II] claim 9 , [III] and water.12. The cleaning agent ...

Подробнее
10-10-2013 дата публикации

Colored Speckles For Use In Granular Detergents

Номер: US20130267452A1
Принадлежит:

This invention relates to non-bleeding, non-staining colored speckles for use in granular or powdered detergents such as laundry detergents and automatic dishwashing detergents. The colored speckles are comprised of a salt or salt-containing carrier and a coloring agent and are characterized as being substantially uniformly colored throughout the cross-sectional volume of the speckle. 2. The colored speckle of claim 1 , wherein the at least one salt or salt-containing carrier material is selected from the group consisting of lithium salts claim 1 , sodium salts claim 1 , potassium salts claim 1 , rubidium salts claim 1 , cesium salts claim 1 , francium salts claim 1 , beryllium salts claim 1 , magnesium salts claim 1 , calcium salts claim 1 , strontium salts claim 1 , barium salts claim 1 , radium salts claim 1 , scandium salts claim 1 , titanium salts claim 1 , zirconium salts claim 1 , hafnium salts claim 1 , vanadium salts claim 1 , chromium salts claim 1 , molybdenum salts claim 1 , tungsten salts claim 1 , manganese salts claim 1 , iron salts (II & Ill) claim 1 , ruthenium salts claim 1 , cobalt salts claim 1 , iridium salts claim 1 , nickel salts claim 1 , palladium salts claim 1 , platinum salts claim 1 , copper (I & II) salts claim 1 , silver salts claim 1 , gold salts claim 1 , zinc salts claim 1 , cadmium salts claim 1 , boron salts claim 1 , aluminum salts claim 1 , thallium salts claim 1 , antimony salts claim 1 , bismuth salts claim 1 , ammonium salts claim 1 , quaternary ammonium salts claim 1 , pyridinium salts claim 1 , nitrate salts claim 1 , nitrite salts claim 1 , chloride salts claim 1 , chlorate salts claim 1 , chromate salts claim 1 , cyanate salts claim 1 , cyanide salts claim 1 , fluoride salts claim 1 , acetate salts claim 1 , bromide salts claim 1 , boride salts claim 1 , iodide salts claim 1 , sulfate salts claim 1 , sulfite salts claim 1 , sulfide salts claim 1 , sulfamate salts claim 1 , sulfonate salts claim 1 , carbonate salts claim 1 ...

Подробнее
24-10-2013 дата публикации

LIQUID LAUNDRY DETERGENTS COMPRISING A SILICONE ANTI-FOAM

Номер: US20130276243A1
Принадлежит:

Dual-usage aqueous liquid detergent compositions having suds compatability and improved cleaning, said composition containing from about 1% to about 60%, by weight of the composition, of a surfactant system wherein said surfactant system contains at least 35%, by weight of the surfactant system, of alkylethoxysulfate; from 0% to about 10%, by weight of the surfactant system, of nonionic surfactant; from 0% to about 10%, by weight of the surfactant system, of soap; further contains from about 0.001% to about 4.0%, by weight of the composition, of an anti-foam selected from organomodified silicone polymers with aryl or alkylaryl substituents combined with silicone resin and the primary filler is modified silica; and mixtures thereof; and contains from about 0.01% to about 2.5%, by weight of the composition, of a structurant. 1. An aqueous liquid detergent composition having suds compatibility and improved cleaning , said composition comprising: i) at least 35%, by weight of the surfactant system, of alkylethoxysulfate;', 'ii) from about 1% to about 10%, by weight of the surfactant system, of nonionic surfactant;', 'iii) from about 1% to about 10%, by weight of the surfactant system, of soap;, 'a) from about 1% to about 60%, by weight of the composition, of a surfactant system wherein said surfactant system comprisesb) from about 0.001% to about 4.0%, by weight of the composition, of an anti-foam selected from organomodified silicone polymers with aryl or alkylaryl substituents combined with silicone resin and a primary filler, which is modified silica;c) from about 0.01% to about 2.5%, by weight of the composition, of a structurant, wherein the structurant is selected from: crystalline, hydroxyl-containing stabilizers, polymer gums, and mixtures thereof.2. An aqueous liquid detergent composition according to claim 1 , wherein the surfactant system further comprises an additional surfactant selected from the group consisting of anionic surfactants claim 1 , cationic ...

Подробнее
24-10-2013 дата публикации

N-propyl Bromide Based Solvent Compsitions And Methods for Cleaning Articles

Номер: US20130276830A1
Автор: Ando Keiji, Miwa Hisashi
Принадлежит: Albemarle Corporation

n-Propyl bromide based solvent compositions and methods for cleaning articles using said solvent compositions are provided. Such solvent composition comprise about 50 weight percent to 99 weight percent of n-propyl bromide, about 0.5 weight percent to 50 weight percent of alcohol, and at least 0.005 weight percent of a surfactant. Such solvent compositions remove at least one of water or water soluble contaminants. The solvent compositions and methods of the present invention are useful as a degreaser and/or cleaner in both for cold cleaning and hot rinsing systems for cleaning articles. 1. A solvent composition comprising:a. about 50 weight percent to about 99 weight percent of n-propyl bromide;b. about 0.5 weight percent to about 50 weight percent of alcohol; andc. at least 0.005 weight percent of surfactant.2. The solvent composition of wherein the concentration of n-propyl bromide is about 80 weight percent to about 98 weight percent.3. The solvent composition of wherein the concentration of n-propyl bromide is about 88 weight percent to about 95 weight percent.4. The solvent composition of wherein the alcohol is a monohydric aliphatic alcohol.5. The solvent composition of wherein the alcohol comprises 1-propanol claim 4 , isopropyl alcohol claim 4 , 1-butanol claim 4 , 2-butanol claim 4 , isobutyl alcohol claim 4 , tertiary butyl alcohol claim 4 , 1-heptanol claim 4 , or 1-hexanol and pentanol.6. The solvent composition of wherein the surfactant comprises a non-ionic surfactant claim 1 , or a product of reaction between a fatty acid reactant and an amine reactant.7. The solvent composition of wherein the non-ionic surfactant comprises polyoxyethylene alkyl ether claim 6 , polyoxyethylene sec-alcohol ether or polyoxyethylene alkyl phenyl ether.8. The solvent composition of wherein the non-ionic surfactant is biodegradable.9. The solvent composition of wherein the fatty acid reactant comprises a Cto C-containing aliphatic monocarboxylic acid.10. The solvent ...

Подробнее
31-10-2013 дата публикации

SOLID FORMULATIONS, THEIR PREPARATION AND USE

Номер: US20130284210A1
Принадлежит: BASF SE

Use of solid formulations with a residual moisture content in the range from 0.1 to 15% by weight, comprising 1. A method for washing a dish or a kitchen utensil , the method comprising: washing the dish or the kitchen utensil with a solid formulation having a residual moisture content of from 0.1 to 15% by weight to the dish or kitchen utensil ,wherein the solid formulation comprises:a first at least one compound selected from the group consisting of an aminocarboxylate and a polyaminocarboxylate;a cationic (co)polymer having a cationic charge density of at least 5 milliequivalents/g;at least one silicate selected from the group consisting of an sodium silicate, a potassium silicate, and an alumosilicate;optionally, a second at least one compound selected from the group consisting of an alkali metal percarbonate, an alkali metal perborate, and an alkali metal persulfate; andoptionally, polyvinyl alcohol.2. The method according to claim 1 , wherein the solid formulation is free from a phosphate and a polyphosphate.3. The method according to claim 1 , wherein the cationic (co)polymer is polyvinylamine or a linear or branched homopolymer of alkyleneimine.4. The method according to claim 1 , wherein the solid formulation has a heavy metal content below 0.05 ppm claim 1 , based on a solid content of the solid formulation.5. The method according to claim 1 , wherein the aminocarboxylate or the polyaminocarboxylates of the first at least one compound is selected from the group consisting of methylglycine diacetate (MGDA) claim 1 , iminodisuccinic acid (IDS) claim 1 , glutamic acid diacetate claim 1 , and a salt thereof.6. The method according to claim 1 , wherein the at least one silicate has an average primary particle diameter of at most 1 μm.7. The method according to claim 1 , wherein the solid formulation comprises:from 1 to 50% by weight of the first at least one compound;from 0.001 to 2% by weight of the cationic (co)polymer;from 1 to 30% by weight of the at least ...

Подробнее
14-11-2013 дата публикации

LABEL REMOVAL SOLUTION FOR RETURNABLE BEVERAGE BOTTLES

Номер: US20130303422A1
Принадлежит: ECOLAB USA INC.

According to the invention, the compositions and methods provide for the complete removal of synthetic glues or adhesives from a plurality of surfaces through the use of organic solvents and/or amine solvents in combination with surfactants, chelants, acidulants and/or additional bottle wash additives. Beneficially, the compositions and methods are suitable for use at lower temperatures and pH conditions that conventional caustic-based adhesive removal compositions. 1. A method for removing adhesive material from a surface comprising:applying a cleaning composition to a surface in need of removal of an adhesive material; andremoving said adhesive material from the surface within a period of time less than about 10 minutes;wherein the cleaning composition comprises an aqueous or non-aqueous basic organic solvent and/or an amine solvent, a chelant, a surfactant and less than about 25 wt-% sodium hydroxide (caustic), wherein the organic and/or amine solvent replaces at least a portion of a caustic solution, andwherein the temperature of the cleaning composition is below about 70° C.2. The method of wherein the cleaning composition has a pH of at least about 2 and less than 13.5.3. The method of wherein the cleaning composition completely replaces the caustic solution.4. The method of wherein the cleaning composition is at a temperature below about 60° C. and removes said adhesive material within a period of time less than about 5 minutes.5. The method of wherein the organic solvent is selected from the group consisting of alcohols claim 1 , polyols claim 1 , lower alkanols claim 1 , lower alkyl ethers claim 1 , glycols claim 1 , aryl glycol ethers claim 1 , lower alkyl glycol ethers claim 1 , and combinations thereof claim 1 , and wherein the amine solvent is selected from the group consisting of monoethanolamine claim 1 , diethanolamine claim 1 , triethanolamine claim 1 , furfurylamine claim 1 , 4-methylbenzylamine claim 1 , Tris[2-(2-methoxyethoxy)-ethyl]amine claim ...

Подробнее
21-11-2013 дата публикации

Granulated Organopolysiloxane Products

Номер: US20130309498A1
Принадлежит: Dow Corning Corporation

A granulated product comprises a liquid organosilicon compound supported on a particulate carrier which is agglomerated into granules by a binder. A process for the production of a granulated product comprises depositing an organosilicon compound and a binder in a liquid state on a particulate carrier and subjecting the carrier thus treated to conditions in which the binder is solidified, thereby agglomerating carrier particles into granules. The particulate carrier is anhydrous sodium sulfate of mean particle size 1 to 40 μm. 1. A granulated product comprising a liquid organosilicon compound supported on a particulate carrier which is agglomerated into granules by a binder , wherein the particulate carrier is anhydrous sodium sulfate having a mean particle size of from 1 to 40 μm.2. A granulated product according to wherein the liquid organosilicon compound is an organopolysiloxane.3. A granulated product according to which is an antifoam claim 2 , wherein the organopolysiloxane is a polydiorganosiloxane having a hydrophobic filler dispersed therein.4. A granulated product according to claim 3 , wherein the polydiorganosiloxane is a branched polydimethylsiloxane.7. A granulated product according to claim 2 , wherein the organopolysiloxane is a polydiorganosiloxane having a fabric softening effect.8. A granulated product according to claim 7 , wherein the polydiorganosiloxane contains aminoalkyl groups.9. A granulated product according to claim 1 , wherein the liquid organosilicon compound is a silicone polyether.10. A granulated product according to claim 1 , wherein the liquid organosilicon compound is a hydrophobic organosilane or organopolysiloxane.11. A granulated product according to claim 1 , wherein the binder comprises a waxy material having a melting point of from 35 to 100° C.12. A granulated product according to claim 1 , wherein the binder comprises a water-soluble or water-dispersible polymer.13. A granulated product according to claim 1 , wherein the ...

Подробнее
05-12-2013 дата публикации

SPRAY-DRYING PROCESS

Номер: US20130320575A1
Принадлежит: The Procter & Gamble Company

The present invention is to a process for preparing a spray-dried detergent powder comprising: (a) forming an aqueous detergent slurry in a mixer; (b) transferring the aqueous detergent slurry from the mixer to a pipe leading through a first pump and then through a second pump to a spray nozzle; (c) contacting a liquid detergent ingredient having a viscosity of less than 2 Pa·s to the aqueous detergent slurry in the pipe after the first pump and before the second pump to form a mixture; (d) spraying the mixture through the spray nozzle into a spray-drying tower; and (e) spray-drying the mixture to form a spray-dried powder, wherein a nitrogen-rich gas is introduced between the first and second pumps. 1. A process for preparing a spray-dried detergent powder comprising:(i) detersive surfactant; and(ii) other detergent ingredients; (a) forming an aqueous detergent slurry in a mixer;', '(b) transferring the aqueous detergent slurry from the mixer to a pipe leading through a first pump and then through a second pump to a spray nozzle;', '(c) contacting a liquid detergent ingredient having a viscosity of less than about 2 Pa·s to the aqueous detergent slurry in the pipe after the first pump and before the second pump to form a mixture;', '(d) spraying the mixture through the spray nozzle into a spray-drying tower; and', '(e) spray-drying the mixture to form a spray-dried powder,, 'wherein the process comprises the steps ofwherein a nitrogen-rich gas is introduced between the first and second pumps.2. The process according to claim 1 , wherein the nitrogen-rich gas is pumped into the liquid detergent ingredient claim 1 , and the liquid detergent ingredient is then passed through a pipe and contacted with the aqueous detergent slurry.3. The process according to claim 2 , wherein the nitrogen-rich gas is pumped into the liquid detergent ingredient at a pressure of between about 0.1 MPa and about 2 MPa.4. The process according to claim 1 , wherein the nitrogen-rich gas is ...

Подробнее
05-12-2013 дата публикации

SPRAY-DRIED DETERGENT POWDER

Номер: US20130324452A1
Принадлежит: The Procter & Gamble Company

The present invention is a spray-dried powder comprising: (i) from 20 to 80 wt % of a first spray-dried particle comprising less than 5 wt % sulphate, anionic detersive surfactant and having a bulk density of from 300 g/l to 450 g/l: and (ii) from 20 to 80 wt % of a second spray-dried particle comprising at least 45 wt % sulphate, 0 wt % to 15 wt % anionic detersive surfactant, and having a bulk density of from 350 g/l to 700 g/l. 1. A spray-dried powder comprising:(i) from about 20 to about 80 wt % of a first spray-dried particle comprising less than about 5 wt % sulphate, anionic detersive surfactant, and having a bulk density of from about 300 g/l to about 450 g/l: and(ii) from about 20 to about 80 wt % of a second spray-dried particle comprising at least about 45 wt % sulphate and having a bulk density of from about 350 g/l to about 700 g/l.2. The spray-dried powder according to claim 1 , wherein the first particle has a mean particle size of between about 350 μm and about 500 μm and the second particle has a mean particle size between about 350 μm and about 500 μm.3. The spray-dried powder according to wherein the first particle has a mean particle size of between about 375 μm and about 425 μm claim 2 , and the second particle has a mean particle size between about 375 μm and about 425 μm.4. The spray-dried powder according to claim 1 , wherein the first particle claim 1 , the second particle or both particles comprise a polymer independently selected from a polycarboxylate homopolymer or a polycarboxylate copolymer.6. The spray-dried powder according to wherein the first particle comprises from about 0 to about 5 wt % polymer.7. The spray-dried powder according to wherein the first particle comprises from about 1.5 to about 3 wt % polymer.8. The spray-dried powder according to claim 1 , wherein the anionic detersive surfactant in the first particle comprises linear alkylbenzene sulfonate.9. The spray-dried powder according to claim 1 , wherein the anionic ...

Подробнее
05-12-2013 дата публикации

METHOD FOR MAKING A PARTICLE COMPRISING SULPHATE

Номер: US20130324453A1
Принадлежит: The Procter & Gamble Company

A process for making a particle comprising at least 45 wt % sulphate, from 0 wt % to 15 wt % anionic detersive surfactant, and having a bulk density of from 350 g/l to 700 g/l, comprising the steps of; (a) preparing an aqueous slurry comprising sulphate, and optionally detersive surfactant; (b) drying the particle; and wherein the sulphate added to the aqueous slurry has a volume average particle size of from 10 micrometers to 50 micrometers. 1. A process for making a particle comprising at least about 45 wt % sulphate , from about 0 wt % to about 15 wt % anionic detersive surfactant , and having a bulk density of from about 350 g/l to about 700 g/l , comprising the steps of;a) preparing an aqueous slurry comprising sulphate and optionally detersive surfactant;b) drying the particle;and wherein the sulphate added to the aqueous slurry has a volume average particle size of from about 10 micrometers to about 50 micrometers.2. The process according to claim 1 , wherein the sulphate is dry ground or wet ground to achieve a volume average particle size of from about 10 micrometers to about 50 micrometers.3. The process according to claim 1 , wherein the particle comprises at least about 55 wt % sulphate.4. The process according to claim 3 , wherein the particle comprises at least about 65 wt % sulphate.5. The process according to wherein the sulphate has a volume average particle size of from about 20 micrometers to about 45 micrometers.6. The process according to wherein the sulphate has a volume average particle size of from about 30 micrometers to about 42 micrometers.7. The process according to wherein the particle is dried by spray-drying or flash-drying.8. The process according to claim 7 , wherein the slurry is at a temperature of above about 30° C. prior to being spray-dried or flash-dried.9. The process according to claim 8 , wherein the slurry is at a temperature of above about 32° C. prior to being spray-dried or flash-dried.10. The process according to claim ...

Подробнее
05-12-2013 дата публикации

Laundry detergent compositions

Номер: US20130324454A1
Принадлежит: Procter and Gamble Co

The present invention is to a laundry detergent powder comprising: (i) from 20 to 80 wt % of a first particle comprising less than 55 wt % sulphate, anionic detersive surfactant, and having a bulk density of from 300 g/l to 1100 g/l: and (ii) from 20 to 80 wt % of a second particle comprising at least 55 wt % sulphate and, having a bulk density of from 350 g/l to 600 g/l, and a process to making the laundry detergent powder.

Подробнее
05-12-2013 дата публикации

SPRAY-DRIED DETERGTENT POWDER

Номер: US20130324456A1
Принадлежит:

The present invention is a spray-dried powder comprising: at least 5 wt % of a spray-dried particle comprising at least 40 wt % anionic detersive surfactant, from 15 to 40 wt % carbonate and less than 20 wt % sulphate and having a bulk density of from 300 g/l to 450 g/l. 1. A granular detergent composition comprising at least about 5 wt % of a spray-dried particle comprising at least about 40 wt % anionic detersive surfactant , from about 15 to about 40 wt % carbonate , less than about 20 wt % sulphate and having a bulk density of from about 300 g/l to about 450 g/l.2. The granular detergent composition according to claim 1 , wherein the particle has a mean particle size of between about 350 μm and about 500 μm claim 1 ,3. The granular detergent composition according to claim 2 , wherein the particle has a mean particle size of between about 375 μm and about 425 μm.4. The granular detergent composition according to claim 1 , wherein the particle comprises from about 0 to about 5 wt % polymer.5. The granular detergent composition according to claim 4 , wherein the polymer is selected from a polycarboxylate homopolymer or a polycarboxylate copolymer or a mixture thereof.6. The granular detergent composition according to claim 5 , wherein the polymer is selected from polyacrylate homopolymer or acrylic acid/maleic acid copolymer or a mixture thereof.8. The granular detergent composition according to claim 1 , wherein the anionic detersive surfactant is linear alkylbenzene sulfonate claim 1 , alkyl ethoxylated sulphate or a mixture thereof.9. The granular detergent composition according to claim 1 , wherein the particle comprises sodium hydroxide.10. The granular detergent composition according to claim 1 , wherein the particle comprises HEDP claim 1 , brighteners or a mixture thereof.11. A process for making a spray-dried particle according to comprising the steps of;a) preparing an aqueous slurry comprising anionic surfactant, carbonate and water, and if present ...

Подробнее
26-12-2013 дата публикации

VISUALLY CONTRASTING AESTHETIC PARTICLES HAVING INCREASED WATER SOLUBILITY, PARTICULARLY USEFUL FOR COMBINATION WITH POWDERED OR GRANULAR COMPOSITIONS

Номер: US20130345108A1
Принадлежит:

The present invention is directed to visually contrasting aesthetic particles having increased water solubility, particularly useful for combination with granular laundry detergent composition. 1. A particulate laundry detergent composition comprising a major proportion of detergent particles having a white or colored appearance and a minor proportion of visually contrasting aesthetic particles , the visually contrasting aesthetic particles comprising one or more fatty acids , a sodium montmorillonite clay , and a calcium montmorillonite clay , wherein the visually contrasting aesthetic particle leave less than about 15% residue when subjected to a dissolution test defined herein.2. A composition as claimed in claim 1 , wherein the visually contrasting aesthetic particles are of regular shape.3. A composition as claimed in claim 1 , wherein the visually contrasting aesthetic particles are of uniform size and shape.4. A composition as claimed in claim 1 , wherein the visually contrasting aesthetic particles are of brightly colored material having a distinct contrast from the white or light-colored detergent particles.5. A composition as claimed in claim 1 , wherein the visually contrasting aesthetic particles are of highly reflective material.6. A composition as claimed in claim 1 , which comprises from 5 to 90% wt % of detergent-active material claim 1 , from 0 to 80 wt % of detergency builder claim 1 , from 0.02 to 3 wt % of the visually contrasting aesthetic particles claim 1 , and optionally other detergent ingredients to 100 wt %.7. A composition as claimed in claim 1 , wherein the fatty acid is at least 50 wt. % oleic acid claim 1 , based on the total weight of fatty acids in the composition.8. A method of adding a colored water-soluble dye or water-soluble pigment to water comprising adding the colored dye or pigment to particles comprising one or more fatty acids claim 1 , a sodium montmorillonite clay claim 1 , and a calcium montmorillonite clay to form ...

Подробнее
16-01-2014 дата публикации

TREATMENT OF NON-TRANS FATS WITH ACIDIC TETRA SODIUM L-GLUTAMIC ACID, N, N-DIACETIC ACID (GLDA)

Номер: US20140014137A1
Принадлежит: ECOLAB USA INC.

The invention relates to methods and compositions for treating non-trans fats with a souring composition that acts as both a souring agent and a chelating agent. The invention also relates to methods for reducing the frequency of laundry fires with acidic GLDA. 1. A souring composition for treating or removing non-trans fat soils on an article , the composition comprising:a. an effective amount of tetra sodium L-glutamic acid, N,N-diacetic acid (GLDA) in an acidic form.2. The souring composition of wherein the effective amount of GLDA is in an amount that hinders polymerization of the non-trans fat soils.3. The souring composition of wherein the effective amount of GLDA is an amount that acts as a chelating agent.4. The souring composition of wherein the effective amount of GLDA is an amount that acts as a souring agent.5. The souring composition of wherein the effective amount of GLDA is an amount that lowers an area of exotherm of the non trans fat soils by about 20%.6. The souring composition of wherein the effective amount of GLDA is an amount that delays a time of peak heat flow of the non-trans fat soils by about 20%.7. The souring composition of wherein the GLDA is also in an effective amount to hinder metal complexation of free fatty acid salts.8. The souring composition of wherein the effective amount of GLDA is an amount that prevents skin irritation.9. The souring composition of wherein the effective amount of GLDA is an amount that lowers pH of the article during a rinsing step in a laundry cycle.10. The souring composition of wherein the GLDA is also in an effective amount to prevent fire in the article that is in contact with the non-trans fat soil.11. The souring composition of wherein the GLDA is in an amount of about 100 ppm.12. The souring composition of wherein the GLDA is an amount that is soluble in a low pH.13. The souring composition of wherein the effective amount of GLDA is an amount that neutralizes any left over alkalinity from a detergent ...

Подробнее
20-02-2014 дата публикации

Method Of Cleaning

Номер: US20140048107A1
Принадлежит: Reckitt Benckiser N.V.

The invention comprises a method of carrying out machine dishwashing, using a cleaning composition, the method comprising delivery of the cleaning composition into the machine, characterised in that the cleaning composition is delivered to the machine from a pressurised aerosol canister as a foam or as a foam precursor which forms foam before the machine is operated. 1. A method of washing with a machine comprising:delivering a cleaning composition into a machine from a pressurized source; andenabling the cleaning composition to foam before the machine is operated.2. The method as claimed in claim 1 , the chemical composition having an unfoamed condition and a foamed condition claim 1 , wherein the expansion co-efficient of the foamed cleaning composition to when unfoamed is up to 400%.3. (canceled)4. The method as claimed in claim 1 , wherein the cleaning composition has a pH in the range of from 7 to 13.5. The method as claimed in claim 1 , wherein the cleaning composition comprises:up to 80% wt water;up to 8% wt propellant; and 5-70% wt builder;', '0.1-10% wt surfactant;', '0.01-5% wt anti-corrosion agent;', '0.1-20% wt performance polymer; and', '0.1-5% wt enzyme., 'one or more of the following components6. The method as claimed in claim 13 , wherein the canister contains a bag which contains the cleaning composition which includes a propellant claim 13 , and the canister contains a second propellant around the bag.7. (canceled)8. The method as claimed in claim 1 , wherein the cleaning composition is in the form of a liquid or gel when it enters the machine claim 1 , and it subsequently foams.9. The method as claimed in claim 13 , wherein the cleaning composition undergoes two stages of foaming: one substantially caused by the pressure drop on leaving the canister and the other substantially caused by loss of propellant from within the cleaning composition.10. The method as claimed in claim 1 , further comprising pre-cleaning an article to be cleaned in the ...

Подробнее
06-03-2014 дата публикации

COKE COMPOSITIONS FOR ON-LINE GAS TURBINE CLEANING

Номер: US20140066349A1
Принадлежит: ENVIROCHEM SOLUTIONS LLC

A particulate coke composition including expandable coke is capable of removing deposits from rotating parts of a gas turbine engine while under full fire or idle speed. The coke composition may be introduced directly into the combustion chamber (combustor) of the gas turbine or, alternatively, anywhere in the fuel stream, water washing system, or the combustion air system. By kinetic impact with the deposits on blades and vanes, the deposits will be dislodged and will thereby restore the gas turbine to rated power output. If introduced into the compressor section, the coke particles impinge on those metal surfaces, cleaning them prior to entering the hot gas section where the process is repeated. 2. The composition of claim 1 , wherein the high purity cokes are greater than 99.5% carbon.3. The composition of claim 1 , wherein the high purity cokes are greater than 99.75% carbon.4. The composition of claim 1 , wherein the high purity cokes are greater than 99.9% carbon.5. The composition of claim 1 , wherein the selected sections are associated with a compressor.6. The composition of claim 1 , wherein the selected sections are associated with a hot gas path.7. The composition of claim 1 , wherein the selected sections are associated with heat recovery equipment.8. The composition of claim 1 , wherein the system burns wherein the system burns a fuel selected from the group consisting of heavy fuel oil claim 1 , Light Arabian crude oil claim 1 , light distillate fuel oil claim 1 , natural gas fuel and mixtures or combinations thereof. This application is a divisional application of U.S. patent application Ser. No. 13/529,271 filed Jun. 21, 2012 (21 Jun. 2012) now U.S. Pat. No. 8,525,449 issued Sep. 17, 2013 (17 Sep. 2013), which claims priority to and the benefit of U.S. Provisional Patent Application Ser. No. 61/500,049 filed 22 Jun. 2011 (Jun. 22, 2011).1. Field of the InventionEmbodiments of this invention relate generally to gas turbine cleaning methods.More ...

Подробнее
13-03-2014 дата публикации

CLEANING COMPOSITIONS COMPRISING STRUCTURED PARTICLES

Номер: US20140073551A1
Принадлежит: The Procter & Gamble Company

The present invention relates to a cleaning composition, preferably a granular detergent product, comprising a structured particle, preferably in an agglomerated form, comprising a cleaning active and a silica-based structurant having a hydrated particle size distribution of no more than 30 wt % greater than 45 micrometers and a tapped bulk density of from about 200 g/L to about 300 g/L. Process for preparing the structured particle, and methods of use, are also disclosed. 1. A cleaning composition , preferably a granular detergent product , comprising a structured particle , wherein the structured particle comprises;(a) at least 10 wt % of a cleaning active selected from the group comprising of: a surfactant, a chelant, a polymer, an enzyme, a bleaching active, a perfume, a hueing agent, a silicone; and any mixture thereof, preferably a surfactant, a chelant and a polymer; and [{'sub': 2', '2, 'i. from about 55 wt % to about 90 wt % of a silica having a [NaO]/[SiO] molar ratio of, from about 0.02 to about 0.14, preferably from about 0.02 to about 0.10, more preferably from about 0.04 to 0.08; and'}, 'ii. preferably at least about 10 wt % of an adjunct salt;, '(b) from about 1 wt % to about 40 wt % of a structurant, wherein the structurant compriseswherein the structurant has a hydrated particle size distribution such that no more than 30 wt % of the structurant has a hydrated particle size greater than 45 micrometers according to the Structurant Residue Test Method described herein, and a tapped bulk density of, from about 200 g/L to about 300 g/L, preferably from about 200 g/L to about 280 g/L, more preferably from about 220 g/L to about 280 g/L.2. The cleaning composition according to claim 1 , wherein the structured particle has a dispersion profile having less than 20% residue claim 1 , preferably less than 10% residue claim 1 , more preferably less than 5% residue claim 1 , and even more preferably less than 2% residue according to the Dispersion Profile Test ...

Подробнее
07-01-2016 дата публикации

Cleaning Gas and Cleaning Method

Номер: US20160002574A1
Принадлежит: CENTRAL GLASS COMPANY, LIMITED

A cleaning gas according to the present invention is intended for removing a silicon carbide-containing deposit on a base of at least partially graphitized carbon and is characterized by containing iodine heptafluoride. It is possible by the use of such a cleaning gas to remove silicon carbide without etching of graphite. 1. A cleaning gas for removing a silicon carbide-containing deposit on a base of at least partially graphitized carbon , the cleaning gas comprising iodine heptafluoride.2. The cleaning gas according to claim 1 , further comprising at least one kind of oxidizing gas selected from the group consisting of F claim 1 , ClF claim 1 , COF claim 1 , O claim 1 , O claim 1 , NO claim 1 , NO claim 1 , NO and NO.3. The cleaning gas according to claim 1 , further comprising at least one kind of inert gas selected from the group consisting of He claim 1 , Ne claim 1 , Ar claim 1 , Xe claim 1 , Kr and N.4. The cleaning gas according to claim 1 , wherein the base is an inner wall or attachment device of silicon carbide single crystal production equipment.5. The cleaning gas according to claim 4 , wherein the silicon carbide single crystal production equipment is for production of silicon carbide epitaxial films.6. A cleaning method comprising claim 1 , while heating a base of at least partially graphitized carbon claim 1 , removing a silicon carbide-containing deposit on the base by the cleaning gas according to .7. The cleaning method according to claim 6 , wherein the cleaning gas is brought into contact with the base while the base is heated to a temperature of 150 to 700° C.8. The cleaning method according to claim 6 , wherein the cleaning gas further comprises at least one kind of oxidizing gas selected from the group consisting of F claim 6 , ClF claim 6 , COF claim 6 , O claim 6 , O claim 6 , NO claim 6 , NO claim 6 , NO and NO.9. The cleaning method according to claim 6 , wherein the cleaning gas further comprises at least one kind of inert gas selected ...

Подробнее
05-01-2017 дата публикации

Compositions Containing Multiple Populations of Microcapsules

Номер: US20170002301A1
Принадлежит:

A consumer product including a fabric and home composition providing for multiple blooms of fragrance, the multiple blooms being provided for by different populations of microcapsules and method related thereto. 1. A consumer product comprising a composition , the composition comprising:an adjunct material;a first population of microcapsules, the first population having a first median volume weighted particle size and comprising microcapsules comprising a partitioning modifier and a first perfume oil at a first weight ratio; anda second population of microcapsules, the second population having a second median volume weighted particle size and comprising microcapsules comprising the partitioning modifier and a second perfume oil at a second weight ratio;wherein the first weight ratio and the second weight ratio are different, and/or the first median volume weighted particle size and the second median volume weighted particle size are different;wherein the composition is a fabric and home care composition.2. The consumer product of claim 1 , wherein the first weight ratio is a weight ratio of from 2:3 to 3:2 of the partitioning modifier to the first perfume oil; and wherein the second weight ratio is a weight ratio of greater than 0 to less than 2:3 of the partitioning modifier to the second perfume oil.3. The consumer product of claim 1 , wherein the weight ratio of the first population of microcapsules to the second population of microcapsules is greater than 0 to less than 1:1.4. The consumer product of claim 1 , wherein the weight ratio of the first population of microcapsules to the second population of microcapsules exceeds 1:1.5. The consumer product of claim 1 , wherein the median volume-weighted particle size is from 2 microns to 80 microns.6. The consumer product of claim 1 , wherein the first median volume weighted particle size is different from the second median volume weighted particle size.7. The consumer product of claim 1 , wherein the first perfume ...

Подробнее
04-01-2018 дата публикации

AQUEOUS SOLUTION CONTAINING COMBINATION OF COMPLEXING AGENTS

Номер: US20180002643A1
Принадлежит:

Aqueous formulation with a content of (A) and (B) in the range of % to %, containing 115.-. (canceled)17. The aqueous formulation according to claim 16 , wherein polymer (C) is selected from polyalkylenimines and polyvinylamines claim 16 , partially or fully substituted with CHCOOH groups claim 16 , partially or fully neutralized with alkali metal cations.18. The aqueous formulation according to claim 16 , wherein salt (D) is selected from potassium formate and potassium acetate.19. The aqueous formulation according to claim 16 , wherein said aqueous formulation has a pH value in the range of from 10.5 to 11 claim 16 , determined at a 1% by weight aqueous solution.20. The aqueous formulation according to claim 16 , wherein the weight ratio of complexing agent (A) to complexing agent (B) is in the range of from 4:1 to 1:4.21. The aqueous formulation according to claim 16 , wherein the weight ratio of complexing agent (A) to complexing agent (B) is in the range of from 1.5:1 to 1:1.5.22. The aqueous formulation according to wherein said aqueous formulation has a dynamic viscosity in the range of from 100 to 400 mPa·s claim 16 , determined according to DIN 53018-1:2008-09 at 25° C.23. The aqueous formulation according to wherein said formulation has a total solids content in the range of 40 to 70%.24. The aqueous solution according to wherein complexing agent (B) is essentially L-glutamic acid (L-GLDA) that is at least partially neutralized with alkali metal.25. The aqueous formulation according to containingin the range of from 10 to 50% by weight of complexing agent (A),in the range of from 10 to 50% by weight of complexing agent (B),in the range of from zero to 5% by weight of polymer (C),in the range of from zero to 30% by weight of salt (D),percentages referring to the total solids of the respective aqueous solution.26. The aqueous formulation according to wherein such formulation is phosphate-free.27. The aqueous formulation according to wherein such formulation ...

Подробнее
02-01-2020 дата публикации

Cleaning agent composition for semiconductor device substrate, method of cleaning semiconductor device substrate, method of manufacturing semiconductor device substrate, and semiconductor device substrate

Номер: US20200002652A1
Принадлежит: Mitsubishi Chemical Corp

According to the present invention, there is provided a cleaning agent composition for a semiconductor device substrate including at least one of wiring and an electrode in which the wiring and the electrode contain cobalt or a cobalt alloy, the cleaning agent composition including a component (A): at least one compound selected from the group consisting of specific compounds; and a component (B): water.

Подробнее
04-01-2018 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20180003672A1
Автор: Ball James, Reed Brian
Принадлежит:

A sensor array includes a plurality of sensors. A sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array. A method of treating the sensor array includes exposing at least the sensor pad to a wash solution including sulfonic acid and an organic solvent and rinsing the wash solution from the sensor pad. 1. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of sensors having a sensor pad exposed at a surface of the sensor array , the method comprising:exposing at least the sensor pad to a wash solution including acid and an organic solvent; andrinsing the wash solution from the sensor pad.2. The method of claim 1 , wherein the acid includes sulfonic acid.3. The method of claim 2 , wherein the sulfonic acid includes alkyl sulfonic acid claim 2 , alkyl aryl sulfonic acid claim 2 , or a combination thereof.4. The method of claim 3 , wherein the alkyl aryl sulfonic acid includes an alkyl group having between 1 and 20 carbons.5. The method of claim 4 , wherein the alkyl group has between 9 and 18 carbons.6. The method of claim 5 , wherein the alkyl group has between 10 and 14 carbons.7. The method of claim 4 , wherein the alkyl group has between 1 and 6 carbons.8. The method of any one of - and - claim 4 , wherein the sulfonic acid includes methanesulfonic acid claim 4 , ethanesulfonic acid claim 4 , propane sulfonic acid claim 4 , butane sulfonic acid claim 4 , or combinations thereof.9. The method of any one of - and - claim 4 , wherein the sulfonic acid includes dodecyl benzene sulfonic acid.10. The method of any one of - and - claim 4 , wherein the sulfonic acid includes para toluene sulfonic acid.11. The method of any one of - and - claim 4 , wherein the wash solution includes between 10 mM and 500 mM of the acid.12. The method of claim 11 , wherein the wash solution includes between 50 mM and 250 mM of the acid.13. The method of any one of - and - claim 11 , wherein ...

Подробнее
14-01-2021 дата публикации

PROCESS FOR PREPARING A SPRAY-DRIED LAUNDRY DETERGENT PARTICLE

Номер: US20210009929A1
Принадлежит:

The present invention relates to a process for preparing a spray-dried laundry detergent particle, wherein the process includes the step of contacting water-insoluble silicate salt to monomeric organic carboxylic acid in an aqueous mixture, wherein the aqueous mixture has a pH of 4.2 or less, wherein the aqueous mixture includes detersive surfactant, wherein the aqueous mixture is substantially free of carbonate salt, wherein the water-insoluble silicate salt reacts with the monomeric organic carboxylic acid to form silica, wherein the aqueous mixture is spray-dried to form a spray-dried laundry detergent particle, wherein the particle comprises: detersive surfactant; monomeric organic carboxylic acid; and silica, wherein the particle is substantially free of carbonate salt. 1. A process for preparing a spray-dried laundry detergent particle ,wherein the process comprises the step of contacting water-insoluble magnesium silicate salt to monomeric organic carboxylic acid in an aqueous mixture,wherein the aqueous mixture has a pH of about 4.2 or less,wherein the aqueous mixture comprises detersive surfactant, wherein the aqueous mixture is substantially free of carbonate salt,wherein the water-insoluble magnesium silicate salt reacts with the monomeric organic carboxylic acid to form silica,wherein the aqueous mixture is spray-dried to form a spray-dried laundry detergent particle, wherein the particle comprises: detersive surfactant; monomeric organic carboxylic acid; and silica,wherein the particle is substantially free of carbonate salt.2. A process according claim 1 , wherein the aqueous mixture has a pH of about 3.5 or less.3. A process according to claim 1 , wherein the particle has a pH of about 6.0 or less claim 1 , upon dissolution in de-ionized water at a concentration of about 10 wt % and a temperature of about 25° C.4. A process according to claim 1 , wherein the particle has a pH of about 4.2 or less claim 1 , upon dissolution in de-ionized water at a ...

Подробнее
10-01-2019 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHOD

Номер: US20190010430A1
Принадлежит:

Disclosed are a substrate treating apparatus and a substrate treating method. The substrate treating method includes applying a treatment liquid containing a monomeric substance to a substrate that is intended to be cleaned, curing the treatment liquid with a cleaning film by irradiating light to the treatment liquid and polymerizing the monomeric substance, and removing the cleaning film. 1. A substrate treating method comprising:applying a treatment liquid containing a monomeric substance to a substrate that is intended to be cleaned;curing the treatment liquid with a cleaning film by irradiating light to the treatment liquid and polymerizing the monomeric substance; andremoving the cleaning film.2. The substrate treating method of claim 1 , wherein the cleaning film has a net structure that is formed by polymerizing the monomeric substance.3. The substrate treating method of claim 1 , wherein a solvent for the treatment liquid is water.4. The substrate treating method of claim 1 , wherein the light has a wavelength of an ultraviolet ray band.5. The substrate treating method of claim 1 , wherein the treatment liquid includes a photo initiator.6. The substrate treating method of claim 1 , wherein the monomeric substance forms an acrylate-based compound through a polymerization.7. A substrate treating apparatus comprising:a support member configured to support a substrate;a treatment liquid discharging member configured to discharge a treatment liquid containing a monomeric substance to the substrate located in the support member; anda light irradiator configured to irradiate light to the treatment liquid discharged to the substrate.8. The substrate treating apparatus of claim 7 , wherein the light irradiator irradiates light to an area between the center of rotation of the substrate and an outer end of the substrate.9. The substrate treating apparatus of claim 7 , wherein the light irradiator irradiates light such that the light passes through the center of ...

Подробнее
12-01-2017 дата публикации

Solvent-Based Mitigating Of Organic Contaminants In A Hard Disk Drive

Номер: US20170011778A1
Принадлежит:

Mitigating organic contaminants within a hard disk drive (HDD) may include introducing an organic solvent into the HDD to dissolve organic contaminants and, therefore, to inhibit such contaminants from fouling operation of the HDD device. Organic solvents such as toluene and/or hexane may be used to dissolve organic contaminants such as hydrocarbons and siloxanes. 1. A hard disk drive comprising:a recording disk medium rotatably mounted on a spindle;a read-write head slider comprising a read-write transducer configured to read from and to write to said disk medium;a voice coil actuator configured to move said head slider to access portions of said disk medium; anda solvent introduction mechanism comprising an organic solvent without a corresponding solute;wherein said organic solvent acts to dissolve organic contaminants within said hard disk drive.2. (canceled)3. The hard disk drive of claim 1 , wherein said organic solvent acts to dissolve at least a portion of hydrocarbons within said hard disk drive.4. The hard disk drive of claim 1 , wherein said organic solvent acts to dissolve at least a portion of siloxanes within said hard disk drive.5. The hard disk drive of claim 1 , wherein said organic solvent comprises toluene (CH).6. The hard disk drive of claim 1 , wherein said organic solvent comprises hexane (CH).7. The hard disk drive of claim 1 , wherein said solvent introduction mechanism comprises:a fabric in which said organic solvent is absorbed.8. The hard disk drive of claim 1 , wherein said solvent introduction mechanism comprises:a recirculation filter comprising said organic solvent.9. A method for mitigating organic contaminants within a hard disk drive claim 1 , the method comprising:introducing an organic solvent, without a corresponding solute, into said hard disk drive to dissolve said organic contaminants.10. The method of claim 9 , wherein said organic solvent comprises toluene (CH).11. The method of claim 9 , wherein said organic solvent ...

Подробнее
19-01-2017 дата публикации

ENERGY-EFFICIENT PROCESS FOR PURIFYING VOLATILE COMPOUNDS AND DEGREASING

Номер: US20170014872A1
Принадлежит:

Disclosed is an energy-efficient method for degreasing or defluxing comprising a) providing a heated distillation vessel capable of being operated under positive pressure; b) charging with a solvent comprising HCFO 1233zd; c) heating to provide positive pressure so that solvent boils at about 30-100° C.; d) distilling using an air-cooled heat exchanger; e) releasing the pressure; f) cooling by channeling through an immersion tank subfloor and/or side; g) collecting the solvent; h) performing degreasing operations; and i) pumping soiled solvent back to the heated distillation vessel. Also disclosed are an energy-efficient method for purifying volatile compounds, and pressurized solvent degreasing system capable of use with HCFO 1233zd. 1. A method for vapor degreasing of articles containing a contaminant comprising:a) providing a vessel capable of operating under positive pressure;b) charging said vessel with a liquid solvent containing contaminant;c) heating the solvent in said vessel to produce vapor containing said solvent at sufficient pressure such that said vapor temperature is from about 30° C. to about 100° C., more preferably from about 50° C. to about 100° C.;d) condensing said vapor using ambient air; and(e) using at least a portion of the solvent condensed in step d) to clean the article.2. The method of claim 1 , further comprising the step of releasing the pressure to ambient pressure to collect purified solvent and wherein said condensing step comprises passing said vapor through an ambient-air-cooled heat exchanger.3. The method of claim 1 , wherein said solvent comprises 1-chloro-3 claim 1 ,3 claim 1 ,3-trifluoropropene.4. The method of claim 1 , wherein said solvent comprises an azeotropic mixture or azeotrope-like mixture comprising 1-chloro-3 claim 1 ,3 claim 1 ,3-trifluoropropene and an alcohol selected from the group consisting of methanol claim 1 , ethanol and isopropanol.5. An energy-efficient method for degreasing or defluxing claim 1 , ...

Подробнее
03-02-2022 дата публикации

Cleaning liquid composition

Номер: US20220033744A1
Автор: Areji TAKANAKA
Принадлежит: Kanto Chemical Co Inc

An object of the present invention is to provide a cleaning liquid that effectively removes in a short time organic residues and abrasive grains derived from a slurry in a semiconductor substrate in which a Co contact plug and/or Co wiring are present.The present invention relates to a cleaning liquid composition for cleaning a substrate having a Co contact plug and/or Co wiring, which contains one or more reducing agents and water. Furthermore, the present invention relates to a cleaning liquid composition for cleaning a substrate having Co and not having Cu, which contains one or more reducing agents and water and has a pH of 3 or more and less than 12.

Подробнее
19-01-2017 дата публикации

SEMICONDUCTOR ELEMENT CLEANING LIQUID AND CLEANING METHOD

Номер: US20170015955A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

The present invention makes it possible to provide a semiconductor element cleaning method that is characterized in that: a hard mask pattern is formed on a substrate that has a low relative permittivity film and at least one of a cobalt, a cobalt alloy, or a tungsten plug; and a cleaning liquid that contains 0.001-20% by mass of an alkali metallic compound, 0.1-30% by mass of quaternary ammonium hydroxide, 0.01-60% by mass of a organic water-soluble solvent, 0.0001-0.1% by mass of hydrogen peroxide, and water is subsequently used on a semiconductor element in which, using the hard mask pattern as a mask, the hard mask, the low relative permittivity film, and a barrier insulating film are dry etched, and dry etch residues are removed. 1. A method for cleaning a semiconductor element which is obtained by forming a hardmask pattern on a substrate that has a low-dielectric-constant film and at least one of cobalt , a cobalt alloy and a tungsten plug , and then subjecting a hardmask , the low-dielectric-constant film and a barrier insulating film to a dry etching treatment using said hardmask pattern as a mask , the method comprising the step of removing dry etch residues with a cleaning liquid containing 0.001-20% by mass of an alkali metal compound , 0.1-30% by mass of a quaternary ammonium hydroxide , 0.01-60% by mass of an organic water-soluble solvent , 0.0001-0.1% by mass of hydrogen peroxide and water.2. The method according to claim 1 , wherein the alkali metal compound is at least one or more selected from the group consisting of sodium hydroxide claim 1 , sodium sulfate claim 1 , sodium carbonate claim 1 , sodium hydrogen carbonate claim 1 , sodium nitrate claim 1 , sodium fluoride claim 1 , sodium chloride claim 1 , sodium bromide claim 1 , sodium iodide claim 1 , potassium hydroxide claim 1 , potassium sulfate claim 1 , potassium carbonate claim 1 , potassium hydrogen carbonate claim 1 , potassium nitrate claim 1 , potassium fluoride claim 1 , potassium ...

Подробнее
19-01-2017 дата публикации

WASHING HYDROGEN WATER PRODUCING METHOD AND PRODUCING APPARATUS

Номер: US20170015956A1
Принадлежит:

A method of producing washing hydrogen water in an embodiment, includes: a step of storing ammonia water in a first tank; a step of transferring the ammonia water from the first tank to a second tank; a step of diluting the transferred ammonia water with ultrapure water in the second tank; a step of mixing the diluted ammonia water into hydrogen water; and a washing step of washing an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank. 1. A method of producing washing hydrogen water , the method comprising:storing ammonia water in a first tank;transferring the ammonia water from the first tank to a second tank;diluting the transferred ammonia water with ultrapure water in the second tank;mixing the diluted ammonia water into hydrogen water; andwashing an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank.2. The method of claim 1 , further comprising:measuring the ammonia water in the first tank.3. An apparatus of producing washing hydrogen water claim 1 , the apparatus comprising:a hydrogen water generating unit configured to dissolve hydrogen gas in ultrapure water;a first tank configured to store ammonia water;a second tank connected to a lower part of the first tank via a first pipeline, and configured to dilute the ammonia water supplied from the first tank via the first pipeline with ultrapure water;a third tank connected to a lower part of the second tank via a second pipeline, and configured to store the diluted ammonia water supplied from the second tank via the second pipeline;a mixing unit configured to mix the diluted ammonia water from the third tank into the hydrogen water to generate washing hydrogen water; anda washing unit configured to wash an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank.4. The apparatus according to claim 3 , further comprising: ...

Подробнее
15-01-2015 дата публикации

POST CHEMICAL-MECHANICAL-POLISHING (POST-CMP) CLEANING COMPOSITION COMPRISING A SPECIFIC SULFUR-CONTAINING COMPOUND AND A SUGAR ALCOHOL OR A POLYCARBOXYLIC ACID

Номер: US20150018261A1
Принадлежит: BASF SE

A post chemical-mechanical-polishing (post-CMP) cleaning composition comprising: (A) at least one compound comprising at least one thiol (—SH), thioether (—SR) or thiocarbonyl (>C═S) group, wherein Ris alkyl, aryl, alkylaryl or arylalkyl, (B) at least one sugar alcohol which contains at least three hydroxyl (—OH) groups and does not comprise any carboxylic acid (—COOH) or carboxylate (—COO—) groups, and (C) an aqueous medium. 1. A post chemical-mechanical-polishing (post-CMP) cleaning composition , comprising:{'sup': 1', '1, '(A) at least one compound comprising at least one thiol (—SH), thioether (—SR) or thiocarbonyl (>C═S) group, wherein Ris alkyl, aryl, alkylaryl or arylalkyl,'}(B) erythritol, threitol, a stereoisomer thereof, or a mixture thereof, and(C) an aqueous medium.2. The composition according to claim 1 , wherein the compound (A) further comprises at least one amino (—NH claim 1 , —NHR claim 1 , or —NRR) group claim 1 , and wherein{'sup': 1', '2', '3', '4, 'R, R, Rand Rare, independently from each other, alkyl, aryl, alkylaryl, or arylalkyl.'}3. The composition according to claim 2 , wherein the compound (A) is thiourea or a derivative thereof.4. The composition according to claim 2 , wherein compound (A) is an amino acid comprising at least one thiol (—SH) claim 2 , thioether (—SR) group claim 2 , or a derivative thereof claim 2 ,{'sup': '1', 'wherein Ris alkyl, aryl, alkylaryl or arylalkyl.'}5. The composition according to claim 4 , wherein the compound (A) is cysteine claim 4 , cystine claim 4 , glutathione claim 4 , N-acetylcysteine claim 4 , or a derivative thereof.6. (canceled)7. (canceled)8. (canceled)9. (canceled)10. (canceled)11. (canceled)12. The composition according to claim 1 , wherein the composition further comprises(D) at least one metal chelating agent.13. The composition according to claim 12 , wherein at least one metal chelating agent (D) is selected from the group consisting of propane-1 claim 12 ,2 claim 12 ,3-tricarboxylic acid ...

Подробнее
15-01-2015 дата публикации

LAUNDRY DETERGENT COMPOSITION

Номер: US20150018263A1
Принадлежит:

A laundry detergent composition comprising an agglomerate particle wherein the agglomerate particle comprises; 1. A laundry detergent composition comprising an agglomerate particle wherein the agglomerate particle comprises;i) from about 10% to about 35% by weight of the agglomerate particle of linear alkybenzene sulphonate;ii) optionally alkoxylated alkyl sulphate;iii) less than about 35% by weight of the agglomerate particle of carbonate material;iv) about 30% or higher by weight of the agglomerate particle of a process aid;wherein the ratio of linear alkylbenzene sulphonate to carbonate is from about 3:1 to about 1:1.5; and wherein the particle has a Mettler moisture content of between about 1 and about 3% and wherein the Mettler moisture content is a measure of the percentage decrease in the weight of about a 2 g sample of the agglomerate which has been heated at a temperature of about 160° C. for a period of about 5 minutes.2. The laundry detergent composition according to comprising alkoxylated alkyl sulphate and preferably wherein the ratio of linear alkylbenzene sulphonate to alkoxylated alkyl sulphate is from about 13:1 to about 4:1.3. The laundry detergent composition according to claim 1 , wherein the agglomerate comprises a polymer.5. The laundry detergent composition according to comprising between about 5 wt % and about 90 wt % of the agglomerate particle.6. The laundry detergent composition according to comprising between about 15 wt % and about 80 wt % of the agglomerate particle.7. The laundry detergent composition according to comprising between about 20 wt % and about 75 wt % of the agglomerate particle.8. The laundry detergent composition according to claim 1 , wherein the process aid comprises zeolite claim 1 , sulphate claim 1 , an alkali metal chloride claim 1 , silicate claim 1 , citric claim 1 , silica or a mixture thereof.9. The laundry detergent composition according to claim 1 , wherein the agglomerate comprises from about 2 wt % to about ...

Подробнее
18-01-2018 дата публикации

CLEANING COMPOSITIONS INCLUDING FERMENTED FRUIT SOLUTIONS AND METHODS FOR MAKING AND USING THE SAME

Номер: US20180016526A1
Принадлежит: EQUATOR GLOBAL LIMITED

Described herein are cleaning compositions comprising fermented fruit solutions and builders, methods for making the same, and methods for using the same. The fermented fruit solutions can contain fruit, sugar and water. The builder can be selected from the group consisting of a non-phosphate builder, such as sodium citrate and sodium bicarbonate, boric acid and mixtures thereof. The cleaning compositions can be used to clean articles, launder articles, clean stains from articles, and clean surfaces. 195-. (canceled)96. A method for cleaning an article , comprising:cleaning the article with a cleaning composition comprising:(a) a fermented fruit solution having a total acid content of greater than or equal to 3.0%, prepared by fermenting with lactic acid bacteria a pre-fermented fruit solution comprising: (i) about 2 to about 20 weight percent of a sugar based on the total weight of the pre-fermented fruit solution, (ii) about 20 to about 50 weight percent of a fruit puree based on the total weight of the pre-fermented fruit solution, wherein the fruit is more than 90% pineapple, and (iii) about 30 to about 75 weight percent of a water based on the total weight of the pre-fermented fruit solution, and(b) an amount of about 2 to about 30 weight percent based on the total weight of the composition of sodium citrate, sodium bicarbonate, boric acid, or a mixture thereof.97. The method of claim 96 , wherein the total weight percent of sodium citrate claim 96 , sodium bicarbonate claim 96 , and boric acid claim 96 , or a mixture thereof is about 15 to about 27.5 weight percent based on the total weight of the composition.98. The method of claim 96 , wherein the cleaning composition comprises sodium citrate in an amount of about 10 to about 25 weight percent based on the total weight of the composition.99. The method of claim 96 , wherein the cleaning composition comprises a surfactant.100. The method of claim 99 , wherein the surfactant is selected from the group ...

Подробнее
17-01-2019 дата публикации

CLEANING COMPOSITION FOR LIQUID CRYSTAL ALIGNMENT LAYER AND MANUFACTURING METHOD OF LIQUID CRYSTAL ALIGNMENT LAYER USING THE SAME

Номер: US20190016998A1
Принадлежит: LG CHEM, LTD.

The present invention relates to a cleaning composition for a liquid crystal alignment layer, a manufacturing method of a liquid crystal alignment layer using the same, and a liquid crystal display device including the liquid crystal alignment layer manufactured by the manufacturing method. More specifically, the present invention relates to a cleaning composition for a liquid crystal alignment layer that is capable of solving a non-uniformity problem of the liquid crystal alignment layer and effectively removing an ionic byproduct on a polymer surface to increase anisotropy of the liquid crystal alignment layer, by using a cleaning composition including a specific solvent in a cleaning process after a UV alignment process, and a manufacturing method of a liquid crystal alignment layer. 1. A cleaning composition for a liquid crystal alignment layer comprising:tetrahydrofurfuryl alcohol or methyl 2-hydroxyisobutyrate,wherein the cleaning composition for a liquid crystal alignment layer is used for cleaning a UV-aligned liquid crystal alignment layer including polyimide or a polyimide precursor.2. The cleaning composition for a liquid crystal alignment layer of claim 1 , further comprising:at least one compound selected from the group consisting of an alkylene glycol-based compound having a viscosity of 10 cP or less and a boiling point of at least 150° C. or more and a polar solvent having a viscosity of 5 cP or less and a boiling point of at least 100° C. or more.3. The cleaning composition for a liquid crystal alignment layer of claim 1 , wherein:the cleaning composition includesa) 100 wt % of the tetrahydrofurfuryl alcohol or the methyl 2-hydroxyisobutyrate, orb) 1 to 99 wt % of the compound a); and 0.1 to 99 wt % of at least one compound selected from the group consisting of an alkylene glycol-based compound and a polar solvent.4. The cleaning composition for a liquid crystal alignment layer of claim 1 , further comprising:1 to 70 wt % of deionized water.5. The ...

Подробнее
17-01-2019 дата публикации

CLEANING COMPOSITION

Номер: US20190016999A1
Принадлежит:

This disclosure relates to a composition (e.g., a cleaning and/or stripping composition) containing (a) 0.5-25 percent by weight an alkaline compound; (b) 1-25 percent by weight an alcohol amine compound; (c) 0.1-20 percent by weight a hydroxylammonium compound; (d) 5-95 percent by weight an organic solvent; (e) 0.1-5 percent by weight a corrosion inhibitor compound; and (f) 2-25 percent by weight water. 1. A composition , comprising:(a) 0.5-25 percent by weight an alkaline compound;(b) 1-25 percent by weight an alcohol amine compound;(c) 0.1-20 percent by weight a hydroxylammonium compound;(d) 5-95 percent by weight an organic solvent;(e) 0.1-5 percent by weight a corrosion inhibitor compound; and(f) 2-25 percent by weight water.2. The composition of claim 1 , wherein the alkaline compound is selected from the group consisting of tetramethyl ammonium hydroxide (TMAH) claim 1 , 2-hydroxyltrimethyl ammonium hydroxide claim 1 , tetraethyl ammonium hydroxide (TEAH) claim 1 , tetrapropyl ammonium hydroxide (TPAH) claim 1 , tetrabutyl ammonium hydroxide (TBAH) claim 1 , and a mixture thereof.3. The composition of claim 1 , wherein the alcohol amine compound is selected from the group consisting of monoethanolamine (MEA) claim 1 , diethanolamine claim 1 , triethanolamine claim 1 , 2-(2-aminoethoxy)ethanol claim 1 , monoisopropanolamine claim 1 , diisopropanolamine claim 1 , triisopropanolamine claim 1 , N-methyldiethanolamine claim 1 , N-ethylethanolamine claim 1 , N-butyl ethanolamine claim 1 , diethanolamine claim 1 , diglycolamine. 2-(2-aminoethoxy)ethanol claim 1 , N claim 1 ,N-dimethylethanolamine claim 1 , N claim 1 ,N-diethylethanolamine claim 1 , N claim 1 ,N-dibutylethanolamine claim 1 , N-methyl-N-ethyl ethanolamine and a mixture of thereof.4. The composition of claim 1 , wherein the hydroxylammonium compound is selected from the group consisting of hydroxylammonium sulfate claim 1 , hydroxylammonium hydrochloride claim 1 , hydroxylammonium nitrate claim 1 , and ...

Подробнее
21-01-2021 дата публикации

COMPOSITIONS, METHODS AND SYSTEMS FOR REMOVAL OF STARCH

Номер: US20210017472A1
Принадлежит: Ecochem Australia Pty Ltd

The present invention is directed to compositions, methods and systems for the removal of starch. The methods include: providing cleaning solution and rinsing fluid along supply line(s); connecting the supply line(s) to one or more cleaning applicators positioned to apply the cleaning solution or the rinsing fluid to one or more surfaces of a starch applicator system; and providing a controller which is able to control application of the cleaning solution and the rinsing fluid through the one or more cleaning applicators. The systems include the components described in relation to the methods. The compositions include about 5 to 15% w/w alpha amylase to break down the starch into water-soluble units; and non- ionic surfactant(s) and/or solvent(s) to react at the interface of the starch and surface it is attached to as well as liquefy the resins. 1. A composition for removal of resin-injected starch on a surface of machinery or equipment , the composition comprising:at least one non-ionic surfactant in an amount effective to react at an interface of a starch and the surface of machinery or equipment to which the starch is attached;at least one solvent in an amount, more than 2% w/w, effective to liquefy resins in the starch; andalpha amylase in an amount, in a range of about to 15% w/w, effective to break down the starch into water-soluble units.2. The composition according to claim 1 , wherein the at least one solvent is present in an amount of about 4% w/w.3. The composition according to claim 1 , wherein the at least one solvent is Ethylene Glycol Mono Butyl Ether.4. The composition according to claim 1 , wherein the alpha amylase is present in an amount of about 10% w/w.5. The composition according to claim 1 , wherein the at least one non-ionic surfactant is present in an amount in a range of about 3 to 15% w/w.6. The composition according to claim 1 , wherein the at least one non-ionic surfactant is present in an amount of about 9% w/w.7. The composition ...

Подробнее
16-01-2020 дата публикации

DETERGENT BARS

Номер: US20200017804A1
Принадлежит:

A detergent bar comprising from 0.01 to 1 wt % polyethylene glycol having a molecular weight of at least 100,000 and at least one of: 1. A detergent bar comprising from 0.01 to 1 wt % polyethylene glycol having a molecular weight of at least 100 ,000 and at least one of:(a) from 0.01 to 5 wt % polyacrylic acid having a molecular weight from 1,000 to 20,000; (b) from 0.01 to 1 wt % hydroxypropyl methylcellulose; and (c) from 0.01 to 1 wt % hydroxyethyl cellulose.2. The detergent bar of comprising from 0.05 to 0.8 wt % polyethylene glycol having a molecular weight from 500 claim 1 ,000 to 8 claim 1 ,000 claim 1 ,000.3. The detergent bar of comprising from 0.4 to 4.5 wt % polyacrylic acid having Mfrom 1 claim 2 ,500 to 15 claim 2 ,000.4. The detergent bar of comprising from 0.05 to 0.6 wt % hydroxypropyl methylcellulose.5. The detergent bar of comprising from 0.15 to 0.9 wt % hydroxyethyl cellulose.6. The detergent bar of in which the hydroxypropyl methylcellulose has a methoxyl content between 15 and 30 wt % and a hydroxypropoxyl content between 10 and 30%; and the hydroxyethylcellulose has an ethylene oxide molar substitution from 0.5 to 5.7. A detergent bar comprising from 0.01 to 5 wt % polyacrylic acid having a molecular weight from 1 claim 5 ,000 to 20 claim 5 ,000 and at least one of:(a) from 0.01 to 1 wt % hydroxypropyl methylcellulose; and (b) from 0.01 to 1 wt % hydroxyethyl cellulose.8. A detergent bar comprising from 0.01 to 1 wt % hydroxypropyl methylcellulose and from 0.01 to 1 wt % hydroxyethyl cellulose. This invention relates to a detergent bar having improved properties.Surfactant-containing bars are used for hand dishwashing or hand laundry. Structural integrity of the bars on prolonged exposure to water is a known problem. The bars are susceptible to swelling and increased wear, along with “mushiness.” Addition of cellulose at amounts in excess of 1% has been proposed as a solution to this problem, as in, e.g., GB2222410. However, cellulose does not ...

Подробнее
10-02-2022 дата публикации

STABLE PERCARBOXYLIC ACID COMPOSITIONS AND USES THEREOF

Номер: US20220041473A1
Принадлежит: ECOLAB USA, Inc.

The present invention relates generally to stable percarboxylic acid compositions comprising, inter alia, at least two stabilizing agents, and various uses for water treatments, including water treatments in connection with oil- and gas-field operations. The present invention also relates to slick water compositions and gel based compositions that comprise stable percarboxylic acid compositions and the use thereof in oil- and gas-field operations. 2. The composition of claim 1 , wherein the C-Cpercarboxylic acid has a concentration of at least about 6 times of the concentration of the hydrogen peroxide.3. The composition of claim 1 , wherein the C-Ccarboxylic acid comprises acetic acid claim 1 , octanoic acid and/or sulfonated oleic acid.4. The composition of claim 1 , wherein the C-Ccarboxylic acid has a concentration of about 70 wt-% claim 1 , the C-Cpercarboxylic acid has a concentration of about 15 wt-% claim 1 , and the hydrogen peroxide has a concentration of at least about 1 wt-%.5. The composition of claim 1 , wherein the first stabilizing agent is a 2 claim 1 ,6-pyridinedicarboxylic acid claim 1 , or a salt thereof claim 1 , and the second stabilizing agent is HEDP claim 1 , or a salt thereof.6. The composition of claim 1 , wherein the first and second stabilizing agents delay or prevent the composition from exceeding its self-accelerating decomposition temperature (SADT).7. The composition of claim 1 , which retains at least about 80% of the C-Cpercarboxylic acid activity after storage of about 30 days at about 50° C.8. A method for treating water claim 1 , which method comprises providing a composition of to a water source in need of treatment to form a treated water source claim 1 , wherein said treated water source comprises from about 1 ppm to about 1 claim 1 ,000 ppm of said C-Cpercarboxylic acid.9. The method of claim 8 , wherein the water source in need of treatment is selected from the group consisting of fresh water claim 8 , pond water claim 8 , ...

Подробнее
10-02-2022 дата публикации

HYDROFLUOROOLEFINS AND METHODS OF USING SAME

Номер: US20220041532A1
Принадлежит:

A hydrofluoroolefin compound represented by the following general formula (II): Formula (II) where Rf is a linear, branched, or cyclic perfluoroalkyl group having 1-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen; n is 0 or 1; X is Cl or Br; with the following proviso: when Rf is CF3, then n is 1. 1. A hydrofluoroolefin compound represented by the following general formula (II):{'br': None, 'sub': f', 'n, 'R(CFH)CF═CHX\u2003\u2003(II)'}{'sub': 'f', 'where Ris a linear, branched, or cyclic perfluoroalkyl group having 1-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen;'}n is 0 or 1;X is Cl or Br;with the following proviso:{'sub': 'f', 'when Ris CF3, then n is 1.'}2. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IIA):{'br': None, 'RfCF═CHCl\u2003\u2003(IIA)'}{'sub': 'f', 'where Ris a linear, branched, or cyclic perfluoroalkyl group having 2-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen.'}3. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IIB):{'br': None, 'RfCF═CHCl\u2003\u2003(IIB)'}{'sub': 'f', 'where Ris a perfluoroalkyl group having 2-3 carbon atoms.'}4. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IIC):{'br': None, 'RfCF═CHBr\u2003\u2003(IIC)'}{'sub': 'f', 'where Ris a linear, branched, or cyclic perfluoroalkyl group having 2-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen.'}5. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IID):{'br': None, 'RfCF═CHBr\u2003\u2003(IID)'}{'sub': 'f', 'where Ris a perfluoroalkyl group having 2-3 carbon atoms.'}6. ...

Подробнее
23-01-2020 дата публикации

COMPOSITION FOR SURFACE TREATMENT, METHOD FOR PRODUCING THE SAME, SURFACE TREATMENT METHOD USING COMPOSITION FOR SURFACE TREATMENT, AND METHOD FOR PRODUCING SEMICONDUCTOR SUBSTRATE

Номер: US20200024547A1
Принадлежит: FUJIMI INCORPORATED

An objective of the present invention is to provide a means for sufficiently removing residues remaining on a surface of a polished object to be polished. 1. A composition for surface treatment comprising:a polymer compound having at least one ionic functional group selected from the group consisting of a sulfonic acid (salt) group, a phosphoric acid (salt) group, a phosphonic acid (salt) group, and an amino group; andwater, whereinpH is less than 7, and [{'br': None, '[Mathematical Formula 1]'}, {'br': None, 'Ionic functional group density (%)=100×(Number of constituent unit derived from monomer having ionic functional group/Number of constituent unit derived from polymer compound) \u2003\u2003Formula (1)'}], 'the polymer compound has a pKa of 3 or less and an ionic functional group density represented by the following formula (1)of more than 10%.2. The composition for surface treatment according to claim 1 , wherein the polymer compound comprises a copolymer comprising a constituent unit having at least one ionic functional group selected from the group consisting of a sulfonic acid (salt) group and an amino group and another constituent unit.3. The composition for surface treatment according to claim 2 , wherein the other constituent unit comprises a constituent unit derived from an ethylenically unsaturated monomer.4. The composition for surface treatment according to claim 1 , wherein the polymer compound comprises a homopolymer consisting of only a constituent unit having at least one acid functional group selected from the group consisting of a sulfonic acid (salt) group claim 1 , a phosphoric acid (salt) group claim 1 , and a phosphonic acid (salt) group.5. The composition for surface treatment according to claim 1 , wherein the polymer compound comprises a polymer compound having a sulfonic acid (salt) group.6. The composition for surface treatment according to claim 5 , wherein a polymer compound having the sulfonic acid (salt) group is at least one ...

Подробнее
23-01-2020 дата публикации

Cleaning Agent Comprising A Surfactant-Containing Gel Phase

Номер: US20200024548A1
Принадлежит:

A cleaning agent, preferably a dishwashing detergent, in particular an automatic dishwashing detergent, having at least one low-water, preferably substantially water-free, gel phase, which contains at least one particular non-ionic surfactant, preferably in quantities from 0.1 to 15 wt. %, from 0.5 to 10 wt. %, in particular from 0.8 to 8.5 wt. %, particularly preferably from 1 to 7 wt. %, for example from 1.0 to 4.0 wt. %, based on the total weight of the gel phase. 1. A cleaning agent comprising at least one low-water gel phase , which contains at least one non-ionic surfactant that has a melting point of greater than 30° C. in quantities from 0.1 to 15 wt. % based on the total weight of the gel phase.4. The cleaning agent according to claim 1 , characterized in that it contains claim 1 , as a gelling agent claim 1 , polyvinyl alcohol and/or derivatives thereof in a quantity from 4 to 40 wt. % in each case based on the total weight of the gel phase.5. The cleaning agent according to claim 1 , characterized in that at least one organic solvent is contained in the gel phase.6. The cleaning agent according to claim 5 , characterized in that the at least one organic solvent is present in the gel phase in quantities from 30 to 90 wt. % based on the total weight of the gel phase.7. The cleaning agent according to claim 1 , characterized in that the gel phase contains a polymer comprising a sulfonic acid group-containing monomer selected from acrylamidopropanesulfonic acids claim 1 , methacrylamidomethylpropanesulfonic acids or acrylamidomethylpropanesulfonic acid.8. The cleaning agent according to claim 1 , characterized in that it contains claim 1 , in the gel phase claim 1 , at least one water-soluble zinc salt in a quantity from 0.05 to 3 wt. % based on the total weight of the gel phase.9. The cleaning agent according to claim 1 , characterized in that it additionally comprises at least one solid phase.10. The cleaning agent according to claim 1 , characterized in ...

Подробнее
23-01-2020 дата публикации

CLEANING COMPOSITION WITH CORROSION INHIBITOR

Номер: US20200024554A1
Принадлежит:

A cleaning composition and process for cleaning an in-process microelectronic device substrate, e.g., by post-chemical mechanical polishing (CMP) cleaning, to remove residue from a surface thereof, wherein the cleaning composition may be especially effective for cleaning a substrate surface that includes exposed metal such as cobalt, copper, or both, along with dielectric or low k dielectric material, and wherein the cleaning composition includes corrosion inhibitor to inhibit corrosion of the exposed metal. 1. A cleaning composition effective to clean a microelectronic device substrate , the cleaning composition comprising:water,base to provide a pH of at least 8,cleaning compound, andcorrosion inhibitor selected from: a guanidine functional compound, a pyrazolone functional compound, and a hydroxyquinoline compound.2. A cleaning composition of claim 1 , wherein the corrosion inhibitor is selected from:a guanidine functional compound selected from dicyandiamide, guanylurea, a guanidine salt, and glycocyamine,a pyrazolone functional compound selected from 2-methyl-3-butyn-2-ol, 3-methyl-2-pyrazolin-5-one, 3-methyl-1-4(sulfophenyl)-2-pyrazolin-5-one, 3-methyl-1-p-tolyl-5-pyrazolone, anda hydroxyquinoline compound selected from: 8-hydroxyquinoline, 8-hydroxyquinoline-2-carboxylic acid, 5-chloro7-iodo-quinolin-8-ol, 5,7-dichloro-2-[(dimethylamino)methyl)quinolin-8-ol, 8-hydroxyquinoline-4-carbaldehyde, 8-hydroxyquinoline-4-carbaldehyde-oxime, 8-hydroxyquinoline-5-sulfonic acid monohydrate3. A cleaning composition of claim 1 , wherein the base is selected from: choline hydroxide claim 1 , tetraethylammonium hydroxide claim 1 , tetramethylammonium hydroxide claim 1 , a quaternary ammonium compound claim 1 , and a combination thereof.4. A cleaning composition of claim 1 , wherein the cleaning compound is an alkanol amine.5. A cleaning composition of claim 1 , wherein the corrosion inhibitor is a guanine.6. A cleaning composition of claim 1 , wherein the corrosion ...

Подробнее
23-01-2020 дата публикации

Multi-Phase Cleaning Agent Pouch

Номер: US20200024555A1
Принадлежит:

A washing and/or cleaning agent portion which includes at least one chamber and a water-soluble wrapping, characterized in that it includes at least one particulate phase. The at least one particulate phase being brought into direct contact with at least one liquid composition, and to corresponding preparation methods. 1. A washing and/or cleaning agent portion which comprises at least one chamber and a water-soluble wrapping , characterized in that it comprises at least one particulate phase , the at least one particulate phase being brought into direct contact with at least one liquid composition.2. The washing and/or cleaning agent portion according to claim 1 , characterized in that the at least one particulate phase is free-flowing.3. The washing and/or cleaning agent portion according to claim 1 , characterized in that the at least one liquid composition comprises surfactants claim 1 , and/or perfume preparations.4. The washing and/or cleaning agent portion according to claim 3 , characterized in that the weight ratio of the total quantity of the at least one liquid composition to the total quantity of the at least one particulate phase is from 1:800 to 5:1 and/or the weight proportion of the at least one liquid composition to the total weight of the composition formed from the at least one liquid composition and the at least one particulate composition is from 0.0001 to 25 wt. %.5. The washing and/or cleaning agent portion according to claim 3 , characterized in that the surfactant content of the at least one liquid composition is at least 50 wt. % based on the total weight of the liquid composition.6. The washing and/or cleaning agent portion according to claim 1 , characterized in that claim 1 , in addition to the at least one particulate phase and the at least one liquid composition claim 1 , at least one additional gel phase is contained therein.7. The washing and/or cleaning agent portion according to claim 1 , characterized in that the particulate phase ...

Подробнее
02-02-2017 дата публикации

NOVEL SOLID BLOCK COMPRISING ONE OR MORE DOMAINS OF PRISMATIC OR CYLINDRICAL SHAPE AND PRODUCTION THEREOF

Номер: US20170029749A1
Принадлежит:

The present invention relates to a solid block comprising a solidified material, characterized in that the solid block comprises one or more domains of prismatic or cylindrical shape extending between two parallel surfaces of the solid block from one surface to the other, wherein the solidified powder inside the one or more domains and the solidified powder outside the one or more domains each comprises one or more chemical substances, and wherein the chemical composition of the solidified powder inside the one or more domains is different from the chemical composition of the solidified powder outside the one or more domains. The present invention further relates to methods for producing such solid block. The present invention also relates to the use of such solid block as detergent in warewashing applications. 1: A solid block comprising a solidified material , characterized in that the solid block comprises one or more domains of prismatic or cylindrical shape extending between two parallel surfaces of the solid block from one surface to the other , wherein the solidified powder inside the one or more domains and the solidified powder outside the one or more domains each comprises one or more chemical substances , and wherein the chemical composition of the solidified powder inside the one or more domains is different from the chemical composition of the solidified powder outside the one or more domains.2: The solid block according to claim 1 , characterized in that the solubility in water at 25° C. of the solidified powder inside the one or more domains and the solubility in water at 25° C. of the solidified powder outside the one or more domains differ by not more than 10%.3: The solid block according to claim 1 , characterized in that the solidified powder inside the one and more domains and/or outside the one or more domains is a solidified powder or a congealed melt.4: The solid block according to claim 1 , characterized in that the solidified powder inside ...

Подробнее
04-02-2016 дата публикации

CLEANING COMPOSITION FOR SEMICONDUCTOR SUBSTRATE AND CLEANING METHOD

Номер: US20160032227A1
Принадлежит: JSR Corporation

A cleaning composition for a semiconductor substrate contains a solvent, and a polymer that includes a fluorine atom, a silicon atom or a combination thereof. The content of water in the solvent is preferably no greater than 20% by mass. The cleaning composition preferably further contains an organic acid which is a non-polymeric acid. The organic acid is preferably a polyhydric carboxylic acid. The acid dissociation constant of the polymer is preferably less than that of the organic acid. The solubility of the organic acid in water at 25° C. is preferably no less than 5% by mass. The organic acid is preferably a solid at 25° C. 1. A cleaning composition for a semiconductor substrate comprising:a solvent; anda polymer comprising a fluorine atom, a silicon atom or a combination thereof.2. The cleaning composition according to claim 1 , wherein a content of water in the solvent is no greater than 20% by mass.3. The cleaning composition according to claim 1 , further comprising an organic acid which is a non-polymeric acid.4. The cleaning composition according to claim 3 , wherein the organic acid is a polyhydric carboxylic acid.5. The cleaning composition according to claim 3 , wherein an acid dissociation constant of the polymer is smaller than an acid dissociation constant of the organic acid.6. The cleaning composition according to claim 3 , wherein a solubility of the organic acid in water at 25° C. is no less than 5% by mass.7. The cleaning composition according to claim 3 , wherein the organic acid is a solid at 25° C.8. A cleaning method comprising:coating a cleaning composition on a surface of a semiconductor substrate to form a film, the cleaning composition comprising a solvent and a polymer which comprises a fluorine atom, a silicon atom or a combination thereof; andremoving the film from the substrate.9. The cleaning method according to claim 8 , wherein a content of water in the solvent is no greater than 20% by mass.10. The cleaning method according to ...

Подробнее
01-02-2018 дата публикации

DETERGENTS AND CLEANING PRODUCTS CONTAINING A POLYMER ACTIVE INGREDIENT

Номер: US20180030380A1
Принадлежит:

The aim of the invention is to improve the primary detergent power of detergents and cleaning products, in particular with respect to soiling containing oil and/or grease. For this purpose, copolymers including ethylenically unsaturated carboxylic acid-derived sulfobetaine units and hydroxyalkyl(meth)acrylic acid esters are incorporated into the products. 2. The detergent or cleaning product according to claim 1 , wherein the product further comprises alkylbenzene sulfonate having linear Calkyl groups.3. The detergent or cleaning product according to claim 2 , wherein the weight ratio of linear alkylbenzene sulfonate to polymer consisting of the units A and B lies in the range from 20:1 to 1:1.4. The detergent or cleaning product according to claim 1 , wherein claim 1 , in the polymer claim 1 , the units A and B are present in molar ratios in the range from 1:99 to 99:1.5. The detergent or cleaning product according to claim 1 , wherein the polymer consisting of the units A and B has an average molecular weight in the range from 1000 g/mol to 300 claim 1 ,000 g/mol.6. The product according to claim 1 , wherein it contains 0.1% by weight to 10% by weight claim 1 , of polymer consisting of the units A and B.8. The method according to claim 7 , wherein the concentration of polymer consisting of the units A and B in the liquor lies in the range from 0.01 g/l to 0.5 g/l.9. The method according to wherein the detergent or cleaning product further comprises alkylbenzene sulfonate having linear Calkyl groups.10. The method according to claim 9 , wherein the weight ratio of linear alkylbenzene sulfonate to polymer consisting of the units A and B lies in the range from 8:1 to 2:1. The present invention relates to the use of particular betaine unit-containing polymers for enhancing the primary detergent power of detergents or cleaning products when washing textiles or cleaning hard surfaces, in particular with respect to soiling containing oil and/or grease, and to detergents ...

Подробнее
17-02-2022 дата публикации

PROCESS FOR MAKING A LAUNDRY DETERGENT COMPOSITION

Номер: US20220049194A1
Принадлежит:

The present invention relates to a process for preparing a solid free-flowing particulate laundry detergent composition, wherein the process includes the steps of: (a) forming a mixture by contacting: (i) molten fatty acid; (ii) liquid alkaline ingredient, and (iii) non-ionic surfactant, to obtain a mixture, wherein the mixture includes: (i) partially neutralized fatty acid component; (ii) non-ionic surfactant; and (iii) water, wherein the molar ratio of fatty acid to liquid alkaline ingredient contacted together in step (a) is above 1:1; (b) contacting the mixture obtained in step (a) to a detergent powder to form a solid free-flowing particulate laundry detergent composition, wherein in step (b) the mixture is contacted to the detergent powder by spraying the mixture at a temperature of greater than 50° C. onto the detergent powder, wherein the detergent powder includes a detergent ingredient. 1. A process for preparing a solid free-flowing particulate laundry detergent composition , wherein the process comprises the steps of: (i) molten fatty acid;', '(ii) liquid alkaline ingredient, and', '(iii) non-ionic surfactant,, '(a) forming a mixture by contacting (i) partially neutralized fatty acid component, wherein the partially neutralized fatty acid component comprises fatty acid and soap;', '(ii) non-ionic surfactant; and', '(iii) water,, 'to obtain a mixture, wherein the mixture compriseswherein the molar ratio of fatty acid to liquid alkaline ingredient contacted together in step (a) is above about 1:1;(b) contacting the mixture obtained in step (a) to a detergent powder to form a solid free-flowing particulate laundry detergent composition,wherein in step (b) the mixture is contacted to the detergent powder by spraying the mixture at a temperature of greater than about 50° C. onto the detergent powder,wherein the detergent powder comprises a detergent ingredient, (i) non-ionic surfactant;', '(ii) soap;', '(iii) fatty acid;', '(iv) water; and', '(v) detergent ...

Подробнее
30-01-2020 дата публикации

POST ETCH RESIDUE CLEANING COMPOSITIONS AND METHODS OF USING THE SAME

Номер: US20200032177A1
Принадлежит:

A microelectronic device (semiconductor substrate) cleaning composition is provided that comprises water; oxalic acid, and two or more corrosion inhibitors and methods of using the same. 1. A semiconductor substrate cleaning composition comprising water; oxalic acid , and two or more types of corrosion inhibitors selected from the following three types of corrosion inhibitors: (a) amino acids; (b) non-phenolic-type organic acids , non-phenolic-type organic acid salts or other derivatives of non-phenolic-type organic acids , and (c) phenol and derivatives of phenol.2. The cleaning composition of comprising one or more of said type (a) corrosion inhibitors and one or more of said type (b) corrosion inhibitors.3. The cleaning composition of claim 2 , wherein one or more of said type (a) corrosion inhibitors are selected from glycine claim 2 , histidine claim 2 , lysine claim 2 , alanine claim 2 , leucine claim 2 , threonine claim 2 , serine claim 2 , valine claim 2 , aspartic acid claim 2 , glutamic acid claim 2 , arginine claim 2 , cysteine claim 2 , asparagine claim 2 , glutamine claim 2 , isoleucine claim 2 , methionine claim 2 , phenylalanine claim 2 , proline claim 2 , tryptophan claim 2 , and tyrosine.4. The cleaning composition of wherein one or more of said type (b) corrosion inhibitors are selected from ascorbic acid and derivatives of ascorbic acid.5. The cleaning composition of wherein one or more of said type (b) corrosion inhibitors are selected from ascorbic acid and derivatives of ascorbic acid.6. The cleaning composition of comprising one or more of said type (a) corrosion inhibitors and one or more of said type (c) corrosion inhibitors.7. The cleaning composition of claim 6 , wherein said one or more of said type (a) corrosion inhibitors are selected from glycine claim 6 , histidine claim 6 , lysine claim 6 , alanine claim 6 , leucine claim 6 , threonine claim 6 , serine claim 6 , valine claim 6 , aspartic acid claim 6 , glutamic acid claim 6 , ...

Подробнее
04-02-2021 дата публикации

2-ETHYLHEXANOL ETHOXYLATE AS A HYDROTROPE IN LIQUID DETERGENTS

Номер: US20210032569A1
Принадлежит:

The present disclosure relates to a detergent composition including an alkyl benzyl sulfonate surfactant and ethoxylated 2-ethylhexanol. The composition may be formed by neutralizing a alkylbenzene sulfonic acid with an alkaline solution in the presence of a hydrotrope. 1. A method comprising:forming an alkaline solution;combining the alkaline solution with a portion of ethoxylated 2-ethylhexanol to provide an aqueous solution, wherein the ethoxylated 2-ethylhexanol comprises 7 moles ethylene oxide units; andslowly adding linear alkyl benzene sulfonic acid to the aqueous solution to provide a linear alkyl benzene sulfonate solution wherein the linear alkyl benzene sulfonate solution exhibits a viscosity that is at least about 40% less than the viscosity of a substantially similar solution, wherein the substantially similar solution comprises the alkaline solution and the linear alkyl benzene sulfonic acid in the absence of the ethoxylated 2-ethylhexanol, andwherein the linear alkyl benzene sulfonate solution exhibits values for surface tension and foaming properties within about 5% of the values for surface tension and foaming of the substantially similar solution in the absence of the ethoxylated 2-ethylhexanol.2. The method of claim 1 , wherein an application of the linear alkyl benzene sulfonate solution to a stained fabric effects a reflectance observed at 460 nm of the stained fabric that is greater than a reflectance observed at 460 nm of the stained fabric that has been treated with the substantially similar solution.3. The method of claim 2 , wherein the stain comprises any one of the following stain types soy sauce WFK 20V claim 2 , pigment vegetable fat WFK 20PF claim 2 , makeup WFK 20MU claim 2 , curry WFK 20U claim 2 , pigment lanolin WFK 20C claim 2 , spinach WFK 20SP claim 2 , Coca Cola™ WFK 20H claim 2 , egg yolk WFK claim 2 , lipstick WFK 20LS claim 2 , pigment sebum WFK 20D claim 2 , red grape WFK 20LIU claim 2 , pigment egg WFK 20N claim 2 , used ...

Подробнее
04-02-2021 дата публикации

PHOSPHORUS FREE LOW TEMPERATURE WARE WASH DETERGENT FOR REDUCING SCALE BUILD-UP

Номер: US20210032575A1
Принадлежит:

Phosphorus-free detergent compositions are provided. Detergent compositions including an aminocarboxylate, water conditioning agent, source of alkalinity and water beneficially do not require the use of additional surfactants and/or polymers to provide suitable detergency and prevent scale build-up on treated surfaces. The detergent compositions are used with a sanitizer to employ the phosphorus-free detergent compositions for use as low temperature ware wash detergents that beneficially reduce scale build-up. Methods of employing the phosphorus-free detergent compositions are also provided. 1. A phosphorus-free detergent composition comprising:an alkalinity source;from about 0.1-15 wt-% of an aminocarboxylate;from about 0.1-15 wt-% of a water conditioning polymer;from about 20-80 wt-% of water,wherein the composition is phosphorus-free, does not contain surfactants and reduces or eliminates scale build-up on treated surfaces, andwherein the detergent composition provides a use solution having a pH of at least about 8 and an effective sanitizing effect at wash temperatures that are not heated above about 140° F. when employed with a sanitizer.2. The composition of claim 1 , wherein the aminocarboxylate is an aminocarboxylic acid or a salt of an aminocarboxylic acid.3. The composition of claim 2 , wherein the aminocarboxylate is methylglycinediacetic acid.4. The composition of claim 1 , wherein the water conditioning polymer is a polyacrylate claim 1 , polycarboxylate or polycarboxylic acid.5. The composition of claim 1 , wherein the alkalinity source is sodium hydroxide.6. The composition of claim 1 , wherein the ratio of the aminocarboxylate to the water conditioning polymer is from about 1:5 to about 5:1.7. The composition of claim 1 , wherein the composition comprises between about 1 wt-% and about 10 wt-% aminocarboxylate claim 1 , between about 0.1 wt-% and about 10 wt-% water conditioning polymer claim 1 , and between about 30 wt-% and about 80 wt-% water.8. A ...

Подробнее
09-02-2017 дата публикации

Photoresist Cleaning Composition Used in Photolithography and a Method for Treating Substrate Therewith

Номер: US20170037344A1
Принадлежит: AIR PRODUCTS AND CHEMICALS, INC.

It is disclosed a photoresist cleaning composition for stripping a photoresist pattern having a film thickness of 3-150 μm, which contains (a) quaternary ammonium hydroxide (b) a mixture of water-soluble organic solvents (c) at least one corrosion inhibitor and (d) water, and a method for treating a substrate therewith. 1. A photoresist cleaning composition for stripping a photoresist pattern having a film thickness of 3-150 μm , which comprises (a) 0.5-5 mass % of at least one quaternary ammonium hydroxide or mixtures of two or more quaternary ammonium hydroxides; (b) 60-97.5 mass % of a mixture of water-soluble organic solvent comprising dimethylsulfoxide (DMSO) , sulfolane or dimethylsulfone or mixtures thereof , and at least one additional organic solvent or two or more additional organic solvents; (c) 0.5-15 mass % of at least one corrosion inhibitor or a mixture of two or more corrosion inhibitors; and (d) 0.5-25 mass % of water.2. The photoresist cleaning composition of claim 1 , which comprises (a) 0.5-5 mass % of at least one quaternary ammonium hydroxide or mixtures of two or more quaternary ammonium hydroxides; (b) 82-97.5 mass % of a mixture of water-soluble organic solvent comprising dimethylsulfoxide (DMSO) claim 1 , sulfolane or dimethylsulfone or mixtures thereof claim 1 , and at least one additional organic solvent or two or more additional organic solvents; (c) 1-5 mass % of at least one corrosion inhibitor or mixtures of two or more corrosion inhibitors; and (d) 1-10 mass % of water.3. The photoresist cleaning composition of claim 1 , wherein (b) comprises 80-96 mass % said dimethylsulfoxide (DMSO) claim 1 , sulfolane or dimethylsulfone or mixtures thereof claim 1 , and 1-4 mass % of said at least one additional organic solvent or two or more additional organic solvents; and said (c) comprises 1-5 mass %; and said (d) comprises 1-10 mass %.4. The photoresist cleaning composition of claim 2 , wherein said (c) comprises a mixture of two or more ...

Подробнее
24-02-2022 дата публикации

MECHANISM OF UREA/SOLID ACID INTERACTION UNDER STORAGE CONDITIONS AND STORAGE STABLE SOLID COMPOSITIONS COMPRISING UREA AND ACID

Номер: US20220053757A1
Принадлежит:

Solid rinsing, cleaning and/or sanitizing compositions for various applications are provided. In particular, solid compositions include a complex of urea and an acid having desirable storage stability previously unavailable in solid urea/acid compositions. Stable solid compositions are disclosed and methods of making the same to overcome conventional limitations associated with with forming kinetically and thermodynamically stable solids that utilize urea/acid compositions. 127-. (canceled)29. The composition of claim 28 , wherein the urea is in the form of prilled beads or powder claim 28 , and wherein the acid is a solid or liquid organic acid.30. The composition of claim 28 , wherein at least a portion of the urea is in a complex with a quaternary ammonium compound.31. The composition of claim 28 , wherein the acid is water soluble claim 28 , sparingly water soluble claim 28 , or water insoluble.32. The composition of claim 28 , wherein the acid is citric acid claim 28 , glutamic acid claim 28 , sulfamic acid claim 28 , malic acid claim 28 , maleic acid claim 28 , tartaric acid claim 28 , lactic acid claim 28 , aspartic acid claim 28 , succinic acid claim 28 , adipic acid claim 28 , hydroxyacetic acid claim 28 , formic acid claim 28 , acetic acid claim 28 , propionic acid claim 28 , butyric acid claim 28 , valeric acid claim 28 , caproic acid claim 28 , gluconic acid claim 28 , itaconic acid claim 28 , trichloroacetic acid claim 28 , benzoic acid claim 28 , oxalic acid claim 28 , malonic acid claim 28 , succinic acid claim 28 , glutaric acid claim 28 , maleic acid claim 28 , fumaric acid claim 28 , adipic acid claim 28 , and/or terephthalic acids.33. The composition of claim 28 , wherein the quaternary ammonium compound is selected from the group consisting of monoalkyltrimethyl ammonium salts claim 28 , monoalkyldimethylbenzyl ammonium salts claim 28 , dialkyldimethyl ammonium salts claim 28 , heteroaromatic ammonium salts claim 28 , polysubstituted quaternary ...

Подробнее
08-02-2018 дата публикации

Metal-compound-removing solvent and method in lithography

Номер: US20180039182A1

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9.

Подробнее
24-02-2022 дата публикации

Cleaning solvent compositions exhibiting azeotrope-like behavior and their use

Номер: US20220056368A1
Автор: Venesia L. Hurtubise
Принадлежит: ZYNON TECHNOLOGIES LLC

An azeotropic cleaning solvent composition has from about 96 to about 98 weight percent 1,1,1,3,3,3-hexafluoro-2-methoxypropane (“HFMOP”) and from about 2 to about 4 weight percent acetone, for example, about 97 weight percent HFMOP and about 3 weight percent acetone. Another composition of the invention has a weight ratio of HFMOP to acetone of about 24 to about 99, for example, about 24 to 49. Conventional additives such as surfactants, lubricants and co-solvents may be present in an amount not to exceed about 10 weight percent of the composition. A method of the invention comprises contacting an article of manufacture with the solvent composition in order to clean the article of manufacture and then removing the solvent composition from the article of manufacture.

Подробнее
24-02-2022 дата публикации

CLEANING LIQUID COMPOSITION AND CLEANING METHOD USING SAME

Номер: US20220056373A1
Принадлежит: KCTECH CO., LTD.

The present disclosure relates to a cleaning liquid composition and a cleaning method using the same. A polishing slurry composition according to an embodiment of the present disclosure includes: a chelating agent containing an organic salt; and an anionic surfactant. 1. A cleaning liquid composition comprising:a chelating agent comprising an organic salt; andan anionic surfactant.2. The cleaning liquid composition of claim 1 , wherein the chelating agent comprises:at least one organic salt selected from a group consisting of a carboxyl group, a carbonic acid group, a phosphoric acid group, and a sulfuric acid group; oran ammonium salt thereof.3. The cleaning liquid composition of claim 2 , wherein the organic salt containing the carboxyl group comprises at least one selected from a group consisting of acetate claim 2 , citrate claim 2 , hydrogen citrate claim 2 , tartrate claim 2 , oxalate claim 2 , lactate claim 2 , benzonate claim 2 , formate claim 2 , phthalate claim 2 , and malate.4. The cleaning liquid composition of claim 2 , wherein the organic salt containing the carbonic acid group comprises at least one selected from a group consisting of carbonate claim 2 , bicarbonate claim 2 , tricarboante claim 2 , ethylcarbonate claim 2 , 2-cyanoethylcarbonate claim 2 , octadecylcarbonate claim 2 , dibutylcarbonate claim 2 , dioctadecylcarbonate claim 2 , methyldecylcarbonate claim 2 , hexamethylene iminecarbonate claim 2 , mopholinium morpholinecarbonate claim 2 , benzylcarbonate claim 2 , triethoxy silylpropylcarbonate claim 2 , pyridinium ethylhexyl bicarbonate (pyridinium ethylhexylcarbonate) claim 2 , and triethylene diaminium bicarbonate.5. The cleaning liquid composition of claim 2 , wherein the organic salt containing the phosphoric acid group comprises at least one selected from a group consisting of phosphate claim 2 , hydrogen phosphate claim 2 , diammonium hydrogen phosphate claim 2 , triammonium hydrogen phosphate claim 2 , monobutyl phosphate claim 2 , ...

Подробнее
24-02-2022 дата публикации

SUBSTRATE CLEANING SOLUTION AND METHOD FOR MANUFACTURING DEVICE

Номер: US20220056383A1
Принадлежит:

To obtain a substrate cleaning solution capable of cleaning a substrate and removing particles. The present invention is a substrate cleaning solution comprising a polymer (A), an alkaline component (B), and a solvent (C), provided that the alkaline component (B) does not comprise ammonia. 115.-. (canceled)16. A substrate cleaning solution comprising a polymer (A) , an alkaline component (B) , and a solvent (C) ,provided that the alkaline component (B) does not comprise ammonia.17. The substrate cleaning solution according to claim 16 , wherein the alkaline component (B) comprises at least one of primary amine claim 16 , secondary amine claim 16 , tertiary amine claim 16 , and quaternary ammonium salt claim 16 , and the alkaline component (B) comprises hydrocarbon.18. The substrate cleaning solution according to claim 16 , wherein the solvent (C) comprises an organic solvent.19. The substrate cleaning solution according to claim 16 , wherein the boiling point of the alkaline component (B) at one atmospheric pressure is 20-400° C.20. The substrate cleaning solution according to claim 16 , wherein the polymer (A) comprises at least one of novolak claim 16 , polyhydroxy styrene claim 16 , polystyrene claim 16 , polyachrylate derivative claim 16 , polymaleic acid derivative claim 16 , polycarbonate claim 16 , polyvinyl alcohol derivatives claim 16 , polymethacrylate derivatives claim 16 , and copolymer of any combination of any of these.21. The substrate cleaning solution according to claim 20 , wherein the polymer (A) does not contain fluorine and/or silicon.22. The substrate cleaning solution according to claim 16 , further comprising a crack accelerating component (D) claim 16 ,wherein the crack accelerating component (D) comprises hydrocarbon and further comprises a hydroxy group and/or a carbonyl group.23. The substrate cleaning solution according to claim 16 , wherein the content of the polymer (A) is 0.1-50 mass % based on the total mass of the substrate cleaning ...

Подробнее
12-02-2015 дата публикации

POST-CMP FORMULATION HAVING IMPROVED BARRIER LAYER COMPATIBILITY AND CLEANING PERFORMANCE

Номер: US20150045277A1
Принадлежит: ENTEGRIS, INC.

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one azole corrosion inhibitor, at least one reducing agent, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers, wherein the barrier layers are substantially devoid of tantalum or titanium. 1. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon , said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device , wherein the cleaning composition includes at least one quaternary base , at least one amine , at least one azole corrosion inhibitor , at least one reducing agent , and at least one solvent , wherein the microelectronic device comprises exposed barrier layer that reduces diffusion of copper into low-k dielectric materials.2. (canceled)3. The method of claim 1 , wherein the residue is selected from the group consisting of post-CMP residue claim 1 , post-etch residue claim 1 , and post-ash residue.4. The method of claim 1 , wherein the cleaning compositions are substantially devoid of oxidizing agents; fluoride-containing sources; abrasive materials; gallic acid; alkali and/or alkaline earth metal bases; organic solvents; purines and purine-derivatives; amidoxime; cyanuric acid; triaminopyrimidine; barbituric acid and derivatives thereof;glucuronic acid; squaric acid; pyruvic acid; phosphonic acid and derivatives thereof; phenanthroline;glycine; nicotinamide and derivatives thereof; flavonoids such as flavonols and ...

Подробнее
06-02-2020 дата публикации

CONDUCTIVE AQUEOUS SOLUTION PRODUCTION DEVICE AND CONDUCTIVE AQUEOUS SOLUTION PRODUCTION METHOD

Номер: US20200040285A1
Автор: OGAWA Yuuichi
Принадлежит:

A conductive aqueous solution production device 1 has an ion exchange device mounted on the way of the main pipe supplying ultrapure water W as raw water, a supply pipe which joins the main pipe on the downstream side of the ion exchange device and a conductivity-imparting substance supply device For example, if the conductivity-imparting substance is ammonia, since the ions are cations, that is, ammonium ions (NH), it is preferable that an ion exchanger which fills the ion exchange device be a cation exchange resin. If the conductivity-imparting substance is carbon dioxide, the ions are anions, that is, bicarbonate ions (HCO) or carbonate ions (CO), and therefore it is preferable that the ion exchange device be filled with an anion exchange resin. Such a conductive aqueous solution production device is capable of producing a conductive aqueous solution with a stable concentration, and achieves excellent follow-up performance with respect to a change in concentration. 1. A conductive aqueous solution production device comprising:an ion exchange device configured to circulate raw water; anda conductivity-imparting substance supply device for adding a conductivity-imparting substance to the raw water, which has passed through the ion exchange device, to generate a conductive aqueous solution,wherein if ions, which are generated by dissolving the conductivity-imparting substance in the raw water having passed through the ion exchange device and impart conductivity to the raw water, are cations, the ion exchange device is filled with a cation exchanger, whereas if the ions are anions, the ion exchange device is filled with an anion exchanger.2. The conductive aqueous solution production device according to claim 1 , wherein a separation distance between an outlet of the ion exchange device and an addition point of the conductivity-imparting substance by the conductivity-imparting substance supply device is 5 m or less.3. The conductive aqueous solution production device ...

Подробнее
18-02-2021 дата публикации

COMPOSITIONS AND METHODS FOR CLEANING AND STRIPPING

Номер: US20210047525A1
Принадлежит:

The present disclosure provides a composition for cleaning or stripping a material from a substrate. The composition includes a primary solvent and a co-solvent. The co-solvent includes one or more caprolactam-derived solvents. 2. The composition of claim 1 , wherein a concentration of the co-solvent is 5 wt. %-49 wt. % of the composition.3. The composition of claim 1 , wherein the one or more caprolactam-derived solvents include at least one of: N-methylcaprolactam claim 1 , N-ethylcaprolactam claim 1 , and N-butylcaprolactam.4. The composition of claim 1 , wherein the one or more caprolactam-derived solvents include two caprolactam-derived solvents.5. The composition of claim 4 , wherein each of the two caprolactam-derived solvents is from 5 wt. %-95 wt. % of the co-solvent.6. The composition of claim 4 , wherein the two caprolactam-derived solvents are N-methylcaprolactam and N-ethylcaprolactam.7. The composition of claim 4 , wherein the two caprolactam-derived solvents are N-methylcaprolactam and N-butylcaprolactam.8. The composition of claim 4 , wherein the two caprolactam-derived solvents are N-ethylcaprolactam and N-butylcaprolactam.9. The composition of claim 4 , wherein the caprolactam-derived solvents further include a third caprolactam-derived solvent.10. The composition of claim 9 , wherein each of the three caprolactam-derived solvents is from 5 wt. %-90 wt. % of the co-solvent.11. The composition of claim 9 , wherein the three caprolactam-derived solvents are N-methylcaprolactam claim 9 , N-ethylcaprolactam claim 9 , and N-butylcaprolactam.12. The composition of claim 1 , further including a surfactant.13. The composition of claim 1 , further including thickening agent.15. The method of claim 14 , wherein the one or more caprolactam-derived solvents include at least one of: N-methylcaprolactam claim 14 , N-ethylcaprolactam claim 14 , and N-butylcaprolactam.16. The method of claim 14 , wherein the one or more caprolactam-derived solvents include at ...

Подробнее
18-02-2021 дата публикации

CLEANING SOLUTION FOR REMOVING DRY ETCHING RESIDUE AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE USING SAME

Номер: US20210047594A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

The present invention can provide a cleaning solution containing 0.2-20 mass % of an amine compound (A), 40-70 mass % of a water-soluble organic solvent (B), and water, wherein the amine compound (A) contains at least one selected from the group consisting of n-butylamine, hexylamine, octylamine, 1,4-butanediamine, dibutylamine, 3-amino-1-propanol, N,N-diethyl-1,3-diaminopropane, and bis(hexamethylene)triamine, and the water-soluble organic solvent (B) has a viscosity of 10 mPa·s or less at 20° C. and a pH of 9.0-14. 1. A cleaning solution , comprising:from 0.2 to 20 mass % of an amine compound,from 40 to 70 mass % of a water-soluble organic solvent andwater,wherein:the amine compound comprises one or more selected from the group consisting of n-butylamine, hexylamine, octylamine, 1,4-butanediamine, dibutylamine, 3-amino-1-propanol, N,N-diethyl-1,3-diaminopropane and bis(hexamethylene)triamine;the water-soluble organic solvent has a viscosity of 10 mPa·s or less at 20° C.; andpH is in a range of from 9.0 to 14.2. The cleaning solution according to claim 1 , wherein a content of the amine compound is from 2.0 to 4.0 mass %.3. The cleaning solution according to claim 1 , wherein a content of water is from 28 to 59 mass %.4. The cleaning solution according to claim 1 , wherein the water-soluble organic solvent comprises one or more selected from the group consisting of diethylene glycol monomethyl ether claim 1 , diethylene glycol monobutyl ether claim 1 , triethylene glycol monomethyl ether claim 1 , dipropylene glycol monomethyl ether and N claim 1 ,N-dimethyl isobutylamide.5. The cleaning solution according to claim 1 , wherein the amine compound comprises one or more selected from the group consisting of 3-amino-1-propanol claim 1 , N claim 1 ,N-diethyl-1 claim 1 ,3-diaminopropane and bis(hexamethylene)triamine.6. The cleaning solution according to claim 1 , which is suitable for removing dry etching residue.7. A method for manufacturing a semiconductor substrate ...

Подробнее
24-02-2022 дата публикации

SUBSTRATE CLEANING SOLUTION, AND USING THE SAME, METHOD FOR MANUFACTURING CLEANED SUBSTRATE AND METHOD FOR MANUFACTURING DEVICE

Номер: US20220059344A1
Принадлежит:

[Problem] To obtain a substrate cleaning solution capable of cleaning a substrate and removing particles. [Means for Solution] The present invention is a substrate cleaning solution comprising an insoluble or hardly soluble solute (A), a soluble solute (B), and a solvent (C). 114.-. (canceled)15. A substrate cleaning solution comprising an insoluble or hardly soluble solute (A); a soluble solute (B); and a solvent (C) ,wherein the substrate cleaning solution is dripped on a substrate and dried to remove the solvent (C), and filmed insoluble or hardly soluble solute (A) together with the soluble solute (B) remains in the film on the substrate, the film being then removed from the substrate by a remover.16. The substrate cleaning solution according to claim 15 , wherein the insoluble or hardly soluble solute (A) is insoluble or hardly insoluble in the remover; and the soluble solute (B) is soluble in the remover.17. The substrate cleaning solution according to claim 15 , the solvent (C) comprises an organic solvent claim 15 , andthe solvent (C) has volatility; andthe boiling point of the solvent (C) at one atmospheric pressure is 50-250° C.18. The substrate cleaning solution according to claim 15 , wherein the insoluble or hardly soluble solute (A) comprises at least one of novolak claim 15 , polyhydroxy styrene claim 15 , polystyrene claim 15 , polyacrylate derivatives claim 15 , polymaleic acid derivatives claim 15 , polycarbonate claim 15 , polyvinyl alcohol derivatives claim 15 , polymethacrylate derivatives claim 15 , and copolymer of any combination of any of these claim 15 , and the insoluble or hardly soluble solute (A) does not contain fluorine and/or silicon.19. The substrate cleaning solution according to claim 15 , wherein the soluble solute (B) is a crack accelerating component (B′) claim 15 ,where the crack accelerating component (B′) comprises hydrocarbon and further comprises a hydroxy group and/or a carbonyl group.21. The substrate cleaning solution ...

Подробнее
16-02-2017 дата публикации

Cleaning Formulations for Chemically Sensitive Individuals: Compositions and Methods

Номер: US20170044466A1
Принадлежит:

The present disclosure relates to cleaning compositions in general, and cleaning compositions that are well suited for use by individuals, who experience adverse health effects that may occur upon exposure to certain chemicals. This condition, characterized as multiple chemical sensitivities (MCS), makes it virtually impossible for certain individuals to use commercially available cleaning products without inducing immunological responses. The instant disclosure presents and describes protocols for the formulation and evaluation of a variety of cleaning products using a combination of C assay, head space analysis and screening of ingredients and products by highly sensitized individuals. The methods and compositions newly presented herein avoid causing adverse health responses in individuals and are suitable for use by any person, particularly individuals who experience MCS. 1. A method for providing a cleaning formulation for use especially by chemically-sensitized individuals , comprising:a. assessing the bio-basis of an ingredient or ingredients for use in the cleaning formulation;b. evaluating the ingredient or ingredients for acceptability by at least one individual who manifests multiple chemical sensitivities; andc. formulating a cleaning product using the acceptable ingredient or ingredients from step b; wherein each ingredient contains at least 80% pMC.2. The method for providing a cleaning formulation according to claim 1 , further comprising the step of:{'sup': '3', 'd. performing a VOC headspace analysis of the cleaning product, wherein a headspace analysis of less than about 100 μg/mis regarded as acceptable.'}3. The method for providing a cleaning formulation according to claim 2 , further comprising at least one of the steps of:e. confirming the cleaning efficacy of the cleaning product; andf. evaluating the cleaning product for acceptability for use by at least one individual who manifests multiple chemical sensitivities.4. The method for providing a ...

Подробнее
16-02-2017 дата публикации

WET CLEAN PROCESS FOR REMOVING CxHyFz ETCH RESIDUE

Номер: US20170044470A1
Принадлежит:

A method for cleaning etch residues that may include treating an etched surface with an aqueous lanthanoid solution, wherein the aqueous lanthanoid solution removes an etch residue that includes a majority of hydrocarbons and at least one element selected from the group consisting of carbon, oxygen, fluorine, nitrogen and silicon. In one example, the aqueous solution may be cerium ammonium nitrate (Ce(NH)(NO)),(CAN). 1. An etch chemistry for removing hydrocarbon etch residues comprising an aqueous solution including a complex including at least one element of the lanthanide family.2. The etch chemistry of claim 1 , wherein the lanthanoid element that provides said at least one element of the lanthanide family is present in the aqueous lanthanoid solution in a concentration ranging from 100 g/L to 500 g/L.3. The etch chemistry of claim 1 , wherein the aqueous solution further includes at least one nitrogen including compound selected from the group consisting of ammonia (NH) claim 1 , nitrate (NO) and a combination thereof.4. The etch chemistry of claim 1 , wherein the lanthanoid element in the aqueous solution is cerium ammonium nitrate (Ce(NH)(NO))(CAN).5. The etch chemistry of claim 1 , wherein the lanthanoid element includes a lanthanoid selected from the group consisting of lanthanum claim 1 , cerium claim 1 , praseodymium claim 1 , promethium claim 1 , samarium claim 1 , europium claim 1 , gadolinium claim 1 , terbium claim 1 , dysprosium claim 1 , holmium claim 1 , erbium claim 1 , thulium claim 1 , ytterbium claim 1 , lutetium and combinations thereof.6. The etch chemistry of claim 1 , wherein the etch residue is graphitic.7. An etch chemistry for removing hydrocarbon etch residues comprising an aqueous solution including a complex including at least one cerium containing lanthanide.8. The etch chemistry of claim 7 , wherein the cerium containing lanthanide is present in the aqueous lanthanoid solution in a concentration ranging from 100 g/L to 500 g/L.9. The ...

Подробнее
03-03-2022 дата публикации

Cleaning Compositions

Номер: US20220064575A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one chelating agent, the chelating agent being a polyaminopolycarboxylic acid; 3) at least one corrosion inhibitor, the corrosion inhibitor being a substituted or unsubstituted benzotriazole; 4) at least one sulfonic acid; and 5) water.

Подробнее
03-03-2022 дата публикации

CLEANING COMPOSITIONS AND METHODS OF USE THEREOF

Номер: US20220064577A1
Принадлежит:

The present disclosure relates to cleaning compositions that are used to clean semiconductor substrates. These cleaning compositions can remove the defects/contaminants arising from previous processing on the semiconductor substrates and thereby make the substrates appropriate for further processing. The cleaning compositions described herein primarily contain at least one pH adjusting agent and at least one biosurfactant. 1. A cleaning composition , comprising:at least one pH adjusting agent; andat least one biosurfactant selected from the group consisting of glycolipids, lipopeptides, and mixtures thereof:wherein the composition has a pH of from about 1 to about 14.2. The composition of claim 1 , wherein the at least one pH adjusting agent comprises a carboxylic acid claim 1 , an amino acid claim 1 , a sulfonic acid claim 1 , phosphoric acid claim 1 , or a phosphonic acid.3. The composition of claim 1 , wherein the at least one adjusting agent comprises at least one carboxylic acid.4. The composition of claim 1 , wherein the at least one pH adjusting agent is selected from the group consisting of formic acid claim 1 , acetic acid claim 1 , malonic acid claim 1 , citric acid claim 1 , propionic acid claim 1 , malic acid claim 1 , adipic acid claim 1 , succinic acid claim 1 , lactic acid claim 1 , oxalic acid claim 1 , hydroxyethylidene diphosphonic acid claim 1 , 2-phosphono-1 claim 1 ,2 claim 1 ,4-butane tricarboxylic acid claim 1 , aminotrimethylene phosphonic acid claim 1 , hexamethylenediamine tetra(methylenephosphonic acid) claim 1 , bis(hexamethylene)triamine phosphonic acid claim 1 , amino acetic acid claim 1 , peracetic acid claim 1 , potassium acetate claim 1 , phenoxyacetic acid claim 1 , glycine claim 1 , bicine claim 1 , diglycolic acid claim 1 , glyceric acid claim 1 , tricine claim 1 , alanine claim 1 , histidine claim 1 , valine claim 1 , phenylalanine claim 1 , proline claim 1 , glutamine claim 1 , aspartic acid claim 1 , glutamic acid claim 1 , ...

Подробнее
14-02-2019 дата публикации

DEOXYGENATION APPARATUS AND SUBSTRATE PROCESSING APPARATUS

Номер: US20190046900A1
Принадлежит:

A deoxygenation apparatus reduces the concentration of dissolved oxygen in a target liquid. The deoxygenation apparatus includes a reservoir for holding the target liquid, a gas supply part for supplying an additive gas different from oxygen into the target liquid in the reservoir, a storage part for storing correlation information indicating the relationship between the concentration of dissolved oxygen in the target liquid and a total supply amount that is a total amount of the additive gas supplied from the gas supply part into the target liquid from when supply was started, and a calculation part for obtaining the concentration of dissolved oxygen in the target liquid on the basis of the total supply amount and the correlation information. The concentration of dissolved oxygen in the target liquid is easily acquired without measuring the concentration of dissolved oxygen in the target liquid with an oxygen analyzer. 1. A substrate processing method for processing a substrate , comprising:a) reducing a concentration of dissolved oxygen in a target liquid; andb) supplying a processing liquid to a substrate, said processing liquid including said target liquid having a concentration of dissolved oxygen that has been reduced by said operation a), andsaid operation a) includesa1) holding a target liquid in a reservoir;a2) supplying an additive gas that is different from oxygen into said target liquid held in said reservoir;a3) obtaining a total supply amount being a total amount of said additive gas supplied into said target liquid from when supply was started; anda4) obtaining the concentration of dissolved oxygen in said target liquid on the basis of said total supply amount and correlation information that indicates a relationship between said total supply amount and the concentration of dissolved oxygen in said target liquid.2. The substrate processing method according to claim 1 , whereinsaid operation a2) includesc) controlling an unit supply amount that is an ...

Подробнее
14-02-2019 дата публикации

Processing Composition of Improved Metal Interconnect Protection and The Use Thereof

Номер: US20190048292A1
Принадлежит:

A semiconductor processing composition for removing residues and/or contaminants from substrate containing Cu, barrier metal and low-k dielectric. The processing composition includes at least one quaternary base, at least one organic amine, at least one surface modifier, at least one antioxidant, at least one complexing agent and balance water. The processing composition provides a sufficient corrosion protection to Cu and metal barrier during process queue time without deteriorating reliability of electronic devices. 1. A processing composition for removing residues and/or contaminants from Cu interconnect containing substrate , wherein the composition compromises at least one quaternary base , at least one organic amine , at least one surface modifier , at least one antioxidant , at least one complexing agent and balance water , wherein the substrate contains Cu and barrier metals as of Co , Ru , W , Mo , Rh , and alloys and nitride thereof , wherein the composition is compatible with the low-k dielectrics , Cu and barrier metals.2. The composition of claim 1 , wherein the quaternary base is preferably selected from tetramethylammonium hydroxide claim 1 , (2-hydroxyethyl) trimethylammonium hydroxide claim 1 , the organic amine preferably selected from monoethanolamine claim 1 , the surface modifier preferably selected from 1 claim 1 ,2 claim 1 ,4-triazole claim 1 , imidazole claim 1 , pyrrole claim 1 , pyrazole claim 1 , the antioxidant preferably selected from ascorbic acid claim 1 , the complexing agent preferably selected from (hydroxyethyl)ethylenediamine triacetic acid (HEDTA) claim 1 , nitrilotriacetic acid claim 1 , wherein the processing composition possesses a PH value in a range of 8-14 claim 1 , more preferably in a range of 10-14 claim 1 , most preferably in a range of 12-14.3. The composition of claim 1 , wherein a preferred composition contains a combination of 0.01 wt % to 15 wt % tetramethylammonium hydroxide claim 1 , 0.01 wt % to 10 wt % ...

Подробнее
14-02-2019 дата публикации

Cleaning solution and cleaning method for a semiconductor substrate or device

Номер: US20190048293A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A cleaning solution and a cleaning method for a semiconductor substrate or device, which has particularly excellent cleaning performance for removing a residue or film including an inorganic substance that contains silicon atoms, and that has a high flash point. The cleaning solution contains a water miscible organic solvent, a quaternary ammonium hydroxide, and water. The water miscible organic solvent is a glycol ether based solvent or an aprotic polar solvent having a flash point of 60° C. or greater. The cleaning method includes using the cleaning solution to clean from the semiconductor substrate or the device a residue or film formed on the semiconductor substrate or adhered to the device, the residue or film including at least one of a resist and an inorganic substance that contains silicon atoms.

Подробнее
14-02-2019 дата публикации

CLEANING COMPOSITION AND CLEANING METHOD

Номер: US20190048294A1
Принадлежит: c/o KAKEN TECH Co., Ltd.

Provided are a cleaner composition that can exhibit excellent cleaning ability by including a predetermined amount of water, and can also effectively suppress metal corrosion; and a cleaning method thereof. Disclosed is a cleaner composition in a white turbid state including first to fourth organic solvents and water, in which the first organic solvent is a hydrophobic aromatic compound or the like; the second organic solvent is a hydrophobic monoalcohol compound; the third organic solvent is a predetermined hydrophilic nitrogen-containing compound or the like; the fourth organic solvent is a hydrophilic amine compound; the amount of incorporation of water is adjusted to a value within the range of 50 to 3,900 pbw with respect to 100 pbw of the total amount of the organic solvents, and when the cleaner composition is subjected to phase separation, the water concentration in the oil phase is adjusted to a value of 5 wt. % or less. 1. A cleaner composition for cleaning an object of cleaning in a white turbid state ,the cleaner composition comprising first to fourth organic solvents and water,wherein the first organic solvent is at least one compound selected from the group consisting of a hydrophobic aromatic compound, a hydrophobic terpene-based compound, and a hydrophobic naphthene-based compound, all of the compounds having a solubility value in water (measurement temperature: 20° C.) of 10% by weight or less,the second organic solvent is a hydrophobic monoalcohol compound having a solubility value in water (measurement temperature: 20° C.) of 10% by weight or less,the third organic solvent is a hydrophilic nitrogen-containing compound and a hydrophilic sulfur-containing compound, or any one of the compounds, both the compounds having a solubility value in water (measurement temperature: 20° C.) of 50% by weight or greater,the fourth organic solvent is a hydrophilic amine compound having a solubility value in water (measurement temperature: 20° C.) of 50% by weight ...

Подробнее
13-02-2020 дата публикации

CLEANING FORMULATION FOR REMOVING RESIDUES ON SURFACES

Номер: US20200048584A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid; 3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; 4) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers; 5) at least one quaternary ammonium hydroxide; and 6) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate. 1. A cleaning composition , comprising:1) at least one redox agent;2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid;3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole;4) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers;5) at least one quaternary ammonium hydroxide; and6) water.2. The composition of claim 1 , wherein the pH of the composition is between about 6 and about 11.3. The composition of claim 1 , wherein the at least one redox agent comprises hydroxylamine.4. The composition of claim 1 , wherein the at least one redox agent is from about 0.5% to about 20% by weight of the composition.5. The composition of claim 1 , wherein the polyaminopolycarboxylic acid is selected from the group consisting of mono- or polyalkylene polyamine polycarboxylic acids claim 1 , polyaminoalkane polycarboxylic acids claim 1 , polyaminoalkanol polycarboxylic acids claim 1 , and hydroxyalkylether polyamine polycarboxylic acids.6. The composition of claim 1 , wherein the polyaminopolycarboxylic acid is selected from the group consisting of butylenediaminetetraacetic acid claim 1 , ...

Подробнее
03-03-2022 дата публикации

METHOD OF CLEANING A SURFACE

Номер: US20220068634A1
Принадлежит:

Methods for cleaning a substrate are disclosed. The substrate comprises a dielectric surface and a metal surface. The methods comprise providing a cleaning agent to the reaction chamber. 1. A method for cleaning a substrate , the method comprising:providing a substrate comprising a dielectric and an oxidized metal surface, to a reaction chamber;providing a reducing agent to the reaction chamber, thereby contacting the substrate with the reducing agent and converting the oxidized metal surface to a metal surface;providing an oxidizing agent to the reaction chamber, thereby contacting the substrate with the oxidizing agent and oxidizing any metal contaminants on the dielectric surface, thus forming oxidized metal contaminants; and,providing a cleaning agent to the reaction chamber, thereby contacting the substrate with the cleaning agent and removing the oxidized metal contaminants from the substrate.2. The method according to wherein the oxidizing agent is an oxygen-comprising gas or gas mixture.3. The method according to wherein the oxidizing agent is a gas selected from O claim 1 , O claim 1 , HO claim 1 , HO claim 1 , and mixtures thereof.4. The method according to wherein the reducing agent comprises an alcohol.5. The method according to wherein the cleaning agent comprises a beta diketonate.6. The method according to wherein the cleaning agent comprises a compound that comprises a cyclopentadienyl group.7. The method according to wherein the cleaning agent comprises carbon monoxide.8. The method according to wherein the cleaning agent comprises a carboxylic acid.9. The method according to wherein the step of providing a cleaning agent to the reaction chamber is followed by a step of providing a further oxidizing agent to the reaction chamber.10. The method according to wherein the step of providing a further oxidizing agent to the reaction chamber comprises claim 9 , in the following order claim 9 , providing Oto the reaction chamber in an Opulse and providing ...

Подробнее
25-02-2016 дата публикации

WAFER TREATMENT SOLUTION FOR EDGE-BEAD REMOVAL, EDGE FILM HUMP REDUCTION AND RESIST SURFACE SMOOTH, ITS APPARATUS AND EDGE-BEAD REMOVAL METHOD BY USING THE SAME

Номер: US20160056049A1
Автор: Lin Yu-Hsun
Принадлежит:

The present disclosure provides a wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth. The wafer treatment solution includes a solution and a fluorine-containing additive mixed in the solution. The fluorine-containing additive has a following formula (I): R—X—(CHCHO)—R(I); or a following formula (II): 3. The wafer treatment solution of claim 1 , wherein the fluorine-containing additive has the compound of the formula (I) claim 1 , wherein Ris a C6 perfluoroalkyl group claim 1 , R1 is hydrogen claim 1 , X is CH2O claim 1 , and m is between 1 to 6 claim 1 , so that the chemical structure of the fluorine-containing additive is formula (III):{'br': None, 'sub': 3', '2', '2', '2', '2', '2', '2', '2', '2, 'i': 'm', 'CFCFCFCFCFCFCHO(CHCHO)H\u2003\u2003(III).'}4. The wafer treatment solution of claim 1 , wherein the content of the fluorine-containing additive in the wafer treatment solution is in a range of 0.1-5 wt %.5. The wafer treatment solution of claim 1 , wherein the solution is selected from the group consisting of propylene glycol methyl ether (PGME) claim 1 , propylene glycol methyl ether acetate (PGMEA) claim 1 , cyclohexanol claim 1 , cyclohexanone claim 1 , γ-butyrolactone (GBL) claim 1 , N-methyl-2-pyrrolidone (NMP) claim 1 , n-butyl acetate (NBA) claim 1 , methyl ethyl ketone (MEK) claim 1 , diacetone alcohol (DAA) claim 1 , methyl isobutyl ketone (MIBK) claim 1 , dimethyl sulfoxide (DMSO) claim 1 , water claim 1 , ethanol claim 1 , propanol claim 1 , butanol claim 1 , isopropyl alcohol (IPA) claim 1 , 4-methyl-2-pentanol (MIBC) and a combination thereof.6. The wafer treatment solution of claim 1 , wherein the solution is a mixed solution of PGME and PGMEA claim 1 , and the weight ratio of PGME and PGMEA is 70:30.7. A method for edge-bead removal claim 1 , comprising:forming a photoresist layer on a semiconductor wafer, the semiconductor wafer is positioned on and in contact with a rotatable wafer chuck; and{' ...

Подробнее
26-02-2015 дата публикации

ABRASIVE GRAIN, POLISHER, AND PRODUCTION METHOD OF ABRASIVE GRAIN

Номер: US20150057209A1
Принадлежит: RICOH COMPANY, LTD.

An abrasive grain includes a granular porous body in which many primary grains are bonded with each other partially, and in a state where pores are formed, and a functionalizing material which is constituted of a material which is different from the primary grains is contained within the abrasive grain. 2. The abrasive grain according to claim 1 , wherein the functionalizing material is released outside claim 1 , as polishing is performed by the abrasive grain.3. The abrasive grain according to claim 2 , wherein when contacting liquid including water claim 2 , the functionalizing material is a material which is released outside from inside of the abrasive grain.4. The abrasive grain according to claim 3 , wherein the functionalizing material is one or more than one material selected from a water-soluble acid claim 3 , a fluorine water-soluble water-repellent material claim 3 , a silicone water-repellent material claim 3 , and polyvinyl alcohol.5. The abrasive grain according to claim 1 , wherein when the abrasive grain contacts contacting liquid including water claim 1 , the functionalizing material is a material which reacts with the water and generates a reactant which is released outside from inside of the abrasive grain.6. The abrasive grain according to claim 5 , wherein the functionalizing material is sodium hydrogen carbonate.7. The abrasive grain according to claim 1 , wherein the functionalizing material is constituted of a first functionalizing material which is released outside from inside of the abrasive grain claim 1 , when the abrasive grain contacts liquid including water claim 1 , and a second functionalizing material which reacts with the water claim 1 , and generates a reactant which is released outside from inside of the abrasive grain.8. The abrasive grain according to claim 7 , wherein the first functionalizing material is a water-soluble acid claim 7 , and the second functionalizing material is sodium hydrogen carbonate.10. A production method ...

Подробнее
10-03-2022 дата публикации

CRUMBLY PHASE COMPOSITION OF METHYLGLYCINE N,N DIACETIC ACID

Номер: US20220073842A1
Принадлежит: NOURYON CHEMICALS INTERNATIONAL B.V.

The present invention relates to a crumbly phase composition containing on total weight of the composition 1. A crumbly phase composition containing on total weight of the composition(i) 70-87 wt % of organic compounds and salts thereof containing 85 to 100 wt % of MGDA-Na3 on total organic compounds and salts thereof, wherein at least 60 wt % of the MGDA-Na3 is crystalline, and(ii) 13-30 wt % of water.2. The crumbly phase composition of containing on total weight of the composition(i) 70-80 wt % of organic compounds and salts thereof containing 85 to 100 wt % of MGDA-Na3 on total organic compounds and salts thereof, wherein at least 60 wt % of the MGDA-Na3 is crystalline, and(ii) 20-30 wt % of water.3. The crumbly phase composition of containing 75-80 wt % of organic compounds and salts thereof on the basis of the total weight of the composition.4. The crumbly phase composition of wherein the organic compounds and salts thereof contain more than 90 wt % of MGDA-Na3 on the basis of total organic compounds.5. The crumbly phase composition of wherein the MGDA-Na3 that is crystalline is at least 75% of crystal type I.6. The crumbly phase composition of containing between 50 and 80% of the L enantiomeric form of MGDA and between 20 and 50% of the D enantiomeric form of MGDA.7. Process to prepare the crumbly phase composition of comprising combining an aqueous composition of MGDA-Na3 and solid MGDA-Na3.8. Process of wherein the aqueous composition contains 35-60 wt % of MGDA-Na3.9. Process to prepare solid crystalline MGDA-Na3 comprising a step of drying the crumbly phase composition of .10. Process of wherein the solid crystalline MGDA-Na3 contains 90-100% of crystal type I on total crystal content of MGDA-Na3.11. Process of wherein the process involves as a drying step a step selected from the group of an evaporation step claim 9 , a step of fluid bed drying claim 9 , a step of spray drying claim 9 , a step of thin film drying claim 9 , a step of drum drying claim 9 , ...

Подробнее
03-03-2016 дата публикации

CLEANING AGENT FOR METAL WIRING SUBSTRATE, AND METHOD FOR CLEANING SEMICONDUCTOR SUBSTRATE

Номер: US20160060584A1
Принадлежит: WAKO PURE CHEMICAL INDUSTRIES, LTD.

It is a subject of the present invention to provide a cleaning agent for a substrate having a metal wiring, and a cleaning method for a semiconductor substrate comprising that the cleaning agent is used, by which following effects (1) to (5) are obtained, in a cleaning process after chemical mechanical polishing (CMP) in a manufacturing process of a semiconductor device. (1) Residues of fine particles (polishing agents) used in the CMP process, fine particles (metal particles) derived from a polished metal, an anticorrosive, and the like, can be removed sufficiently. (2) A coating film (protective film: oxidation resistant film) on a surface of the metal wiring, containing a complex between an anticorrosive, such as benzotriazole or quinaldic acid, and a surface metal of the metal wiring, formed in the CMP process, can be removed (stripped) sufficiently. (3) An oxide film containing a metal oxide can be formed after removal (stripping) of the coating film. (4) A semiconductor substrate can be obtained stably for a long period of time, without impairing flatness of the surface of the metal wiring (the surface of the oxide film containing the metal oxide), even leaving a substrate after the cleaning process after the CMP. (5) It is hard to deteriorate even after using the cleaning agent for a long period of time. 1. A cleaning agent for a substrate having a metal wiring , comprising an aqueous solution containing (A) carboxylic acid having a nitrogen-containing heterocyclic ring and (B) alkylhydroxylamine , and having a pH of 10 or higher.2. The cleaning agent according to claim 1 , wherein the (A) carboxylic acid having a nitrogen-containing heterocyclic ring is a carboxylic acid having a nitrogen-containing unsaturated heterocyclic ring.3. The cleaning agent according to claim 1 , wherein the (A) carboxylic acid having a nitrogen-containing heterocyclic ring is a carboxylic acid having a nitrogen-containing unsaturated heterocyclic ring and an amino group.6. The ...

Подробнее
20-02-2020 дата публикации

Method for treating a semiconductor device

Номер: US20200055097A1
Принадлежит: Life Technologies Corp

A method of treating a sensor array including a plurality of sensors and an isolation structure, where a sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array and the isolation structure is disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors, comprises exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier; applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; and rinsing the acid solution from the sensor pad and the isolation structure.

Подробнее
03-03-2016 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20160061773A1
Автор: Ball James A., Reed Brian
Принадлежит:

A sensor array includes a plurality of sensors. A sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array. A method of treating the sensor array includes exposing at least the sensor pad to a wash solution including sulfonic acid and an organic solvent and rinsing the wash solution from the sensor pad. 153.-. (canceled)54. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of sensors having a sensor pad exposed at a surface of the sensor array , the method comprising:exposing at least the sensor pad to a wash solution including acid and an organic solvent; andrinsing the wash solution from the sensor pad.55. The method of claim 54 , wherein the acid includes sulfonic acid.56. The method of claim 55 , wherein the sulfonic acid includes alkyl sulfonic acid claim 55 , alkyl aryl sulfonic acid claim 55 , or a combination thereof.57. The method of claim 56 , wherein the alkyl aryl sulfonic acid includes an alkyl group having between 1 and 20 carbons.58. The method of claim 55 , wherein the sulfonic acid includes dodecyl benzene sulfonic acid.59. The method of claim 54 , wherein the wash solution includes between 10 mM and 500 mM of the acid.60. The method of claim 54 , wherein the wash solution includes between 0.5 wt % and 25 wt % of the acid.61. The method of claim 54 , wherein the organic solvent is non-polar.62. The method of claim 54 , wherein the organic solvent has a normal boiling point in a range of 36° C. to 345° C.63. The method of claim 54 , wherein the organic solvent is an alkane having between 6 and 24 carbons.64. The method of claim 54 , wherein the organic solvent is a polar aprotic solvent.65. The method of claim 64 , wherein the polar aprotic solvent includes tetrahydrofuran claim 64 , ethylacetate claim 64 , acetone claim 64 , dimethylformamide claim 64 , acetonitrile claim 64 , dimethyl sulfoxide claim 64 , N-methyl pyrrolidone claim 64 , or ...

Подробнее
02-03-2017 дата публикации

Powder and granule, process for making such powder and granule, and use thereof

Номер: US20170058239A1
Принадлежит: BASF SE

The present invention is directed towards a process for making a powder or granule containing (A) at least one chelating agent selected from methyl glycine diacetic acid (MGDA) and glutamic acid diacetate (GLDA) and iminodisuccinic acid (IDS) and their respective alkali metal salts, (B) at least one homo- or copolymer of (meth)acrylic acid, partially or fully neutralized with alkali, said process comprising the steps of (a) mixing the at least one chelating agent (A) and the at least one homo- or copolymer (B) in the presence of water, (b) removing most of said water by spray-drying or spray granulation using a gas with an inlet temperature of at least 125° C.

Подробнее
04-03-2021 дата публикации

Treatment Liquid for Semiconductor Wafers, Which Contains Hypochlorite Ions

Номер: US20210062115A1
Принадлежит: Tokuyama Corp

A treatment liquid for cleaning a semiconductor wafer is a treatment liquid contains (A) a hypochlorite ion and (C) a solvent, in which pH at 25° C. is more than 7 and less than 12.0. A method for removing ruthenium and tungsten from a semiconductor wafer and cleaning the semiconductor wafer includes bringing the treatment liquid into contact with the semiconductor wafer containing ruthenium or tungsten is provided.

Подробнее
05-03-2015 дата публикации

GLASS-ELECTRODE RESPONSIVE-GLASS CLEANING LIQUID AND METHOD FOR CLEANING GLASS-ELECTRODE RESPONSIVE-GLASS

Номер: US20150064344A1
Принадлежит:

To maintain a uniform etching rate during cleaning of a responsive glass in a glass electrode, a responsive-glass cleaning liquid for a glass electrode serves to clean a responsive glass used in the glass electrode is used with a hydrated-layer forming solution for forming a hydrated layer on the surface of the responsive glass and contains ammonium hydrogen fluoride having a predetermined concentration, or a salt of a strong base containing hydrofluoric acid and a fluoride ion. 1. A glass-electrode responsive-glass cleaning liquid for cleaning a responsive glass used in a glass electrode , wherein the liquidis used with a hydrated-layer forming solution for forming a hydrated layer on the surface of the responsive glass after cleaning, andcontains ammonium hydrogen fluoride having a predetermined concentration or a salt of a strong base containing hydrofluoric acid and a fluoride ion.2. The glass-electrode responsive-glass cleaning liquid according to claim 1 , whereinthe hydrated-layer forming solution is neutral or acidic.3. The glass-electrode responsive-glass cleaning liquid according to claim 1 , whereinthe responsive-glass cleaning liquid contains ammonium hydrogen fluoride, andthe concentration of ammonium hydrogen fluoride is 4 mass % or less.4. The glass-electrode responsive-glass cleaning liquid according to claim 1 , whereinthe liquid cleans the responsive glass containing a metal oxide as a component.5. A glass-electrode responsive-glass cleaning method comprising a step of cleaning a responsive glass used in a glass electrode by using a responsive-glass cleaning liquid containing ammonium hydrogen fluoride claim 1 , or a salt of a strong base containing hydrofluoric acid and a fluoride ion.6. The glass-electrode responsive-glass cleaning method according to claim 5 , further comprising a hydrated-layer forming step of forming a hydrated layer on the surface of the responsive glass by using a neutral or acidic hydrated-layer forming solution after the ...

Подробнее
28-02-2019 дата публикации

COMPOSITION, COMPOSITION RESERVOIR, AND METHOD FOR PRODUCING COMPOSITION

Номер: US20190062159A1
Автор: KAMIMURA Tetsuya
Принадлежит: FUJIFILM Corporation

An object of the present invention is to provide a composition including hydrogen peroxide, which can be used for semiconductor device manufacturing and which exhibits an excellent storage stability and has a reduced effect of defects on a semiconductor substrate. Further, another object of the present invention is to provide a method for producing the composition including hydrogen peroxide, and a composition reservoir for storing the composition. 1. A composition , comprising:hydrogen peroxide;an acid; anda Fe component,{'sup': −5', '2, 'wherein the content of the Fe component is 10to 10in terms of mass ratio with respect to the content of the acid.'}2. The composition according to claim 1 , further comprising:an anthraquinone compound.3. The composition according to claim 1 , wherein the content of the anthraquinone compound is 0.01 ppb by mass to 1000 ppb by mass with respect to the total mass of the composition.4. The composition according to claim 1 , wherein the content of the acid is 0.01 ppb by mass to 1000 ppb by mass with respect to the total mass of the composition.5. The composition according to claim 1 , wherein the total content of the Fe component is 0.1 ppt by mass to 1 ppb by mass with respect to the total mass of the composition.6. The composition according to claim 1 , wherein the content of Fe particles contained in the Fe component is 0.01 ppt by mass to 0.1 ppb by mass with respect to the total mass of the composition.7. The composition according to claim 1 , further comprising:at least one or more metal components containing a specific atom selected from the group consisting of Ni, Pt, Pd, Cr, Ti, and Al,wherein the content of the metal component is 0.01 ppt by mass to 10 ppb by mass with respect to the total mass of the composition for each specific atom.8. The composition according to claim 1 , further comprising:at least one or more metal components containing a specific atom selected from the group consisting of Ni, Pt, Pd, and Al,wherein ...

Подробнее
17-03-2022 дата публикации

SOLIDIFYING LIQUID AMINE OXIDE, BETAINE, AND/OR SULTAINE SURFACTANTS WITH A CARRIER

Номер: US20220081658A1
Принадлежит:

The invention relates to solidification of liquid amine oxide, betaine, and/or sultaine surfactants with a carrier to form a solidified surfactant composition. In particular, the invention relates to solidification of liquid surfactants utilizing drying device(s), wherein the feed composition contains at least one liquid surfactant and a carrier to form a solidified surfactant composition. The solidified surfactant compositions can be useful in various cleaning compositions. 1. A method of preparing a solidified surfactant composition comprising:adding a liquid surfactant comprising one or more of an amine oxide, a betaine, and a sultaine, and a carrier comprising an anionic surfactant, an organic salt, an inorganic salt, or a combination thereof, to a drying device; wherein the drying device is a spray dryer; wherein the liquid surfactant is solidified in the solidified surfactant composition, and wherein the solidified surfactant composition has less than about 5 wt-% water; and', 'wherein the carrier and the liquid surfactant are in a ratio of between about 5:1 to about 1:30 by actives., 'drying the liquid surfactant and carrier to form a solidified surfactant composition;'}2. The method claim 1 , further comprising one or more additional two drying devices placed in series or in parallel with the spray dryer.3. The method of claim 2 , wherein the one or more additional drying devices are a continuous tunnel dryer claim 2 , rotary dryer claim 2 , vacuum dryer claim 2 , tower contractor claim 2 , vibrating conveyor contractor claim 2 , drum dryer claim 2 , screw conveyor dryer claim 2 , fluidized bed claim 2 , spouted bed claim 2 , pneumatic conveyor claim 2 , second spray dryer claim 2 , or a combination thereof.4. The method of claim 1 , wherein the drying is performed in a batch system.51. The method of claim 1 , wherein the drying is performed in a continuous system.6. The method of claim 1 , wherein the spray dryer has an inlet and an outlet; wherein the ...

Подробнее
10-03-2016 дата публикации

CAUSTIC FREE LOW TEMPERATURE WARE WASH DETERGENT FOR REDUCING SCALE BUILD-UP

Номер: US20160068789A1
Принадлежит:

Caustic-free detergent compositions are provided. Detergent compositions including an aminocarboxylate, water conditioning agent, non-caustic source of alkalinity and water beneficially do not require the use of additional surfactants and/or polymers to provide suitable detergency and prevent scale build-up on treated surfaces. The detergent compositions are used with a sanitizer to employ the caustic-free detergent compositions are particularly suitable for use as low temperature ware wash detergents that beneficially reduce scale build-up. Methods of employing the caustic-free detergent compositions are also provided. 120-. (canceled)21. A caustic-free detergent composition comprising:from about 0.1-15 wt-% of an aminocarboxylate;from about 0.1-15 wt-% of a polycarboxylate water conditioning polymer;from about 0.1-15 wt-% of an additional sequestrants, wherein the sequestrants is a phosphonate;from about 10-60 wt-% of a non-caustic alkalinity source;from about 20-80 wt-% of water,wherein the composition is caustic-free.22. The composition of claim 21 , wherein the aminocarboxylate comprises methylglycinediacetic acid (MGDA) claim 21 , glutamic acid-N claim 21 ,N-diacetic acid (GLDA) claim 21 , diethylenetriaminepentaacetic acid (DTPA) claim 21 , diethylenetriaminepentaacetic acid claim 21 , N hydroxyethyl ethylenediaminetriacetic acid (HEDTA) claim 21 , ethylenediaminetetraacetic acid (EDTA) claim 21 , or combinations thereof.23. The composition of claim 22 , wherein the aminocarboxylate is methylglycinediacetic acid or trisodium salt of methylglycinediacetic acid.24. The composition of claim 21 , wherein the polycarboxylate water conditioning polymer is a polyacrylate claim 21 , polycarboxylate or polycarboxylic acid and wherein the sequestrant is a condensed phosphate claim 21 , phosphonate or organic phosphonate.25. The composition of claim 21 , wherein the polycarboxylate water conditioning polymer is a polyacrylic acid polymer.26. The composition of claim 21 ...

Подробнее
09-03-2017 дата публикации

FILMS, COMPOSITIONS, AND ARTICLES HAVING AT LEAST TWO DIFFERENT AVERSIVE AGENTS AND METHODS RELATED THERETO

Номер: US20170067002A1
Автор: CUMMING David Xavante
Принадлежит:

Films, compositions, and articles, for example unit dose articles, having at least two different aversive agents. Methods related thereto. 1. A water-soluble unit dose article comprising:a first aversive agent present in an effective amount, anda second aversive agent present in an effective amount,wherein the first and second aversive agents are different.2. A unit dose article according to claim 1 , wherein the first and second aversive agents are selected so that at least a first portion of a human population can taste the first aversive agent but not the second aversive agent claim 1 , and so that at least a second portion of the human population can taste the second aversive agent but not the first aversive agent.3. A unit dose article according to claim 1 , wherein the first aversive agent is a first bittering agent.4. A unit dose article according to claim 3 , wherein the first bittering agent comprises a denatonium salt or a derivative thereof.5. A unit dose article according to claim 4 , wherein the first bittering agent comprises denatonium benzoate.6. A unit dose article according to claim 3 , wherein the second aversive agent is a second bittering agent.7. A unit dose article according to claim 3 , wherein the second aversive agent is a pungent agent.8. A unit dose article according to claim 1 , wherein the first aversive agent is selected from the group consisting of naringin; sucrose octaacetate; denatonium benzoate; capsicinoids (including capsaicin); vanillyl ethyl ether; vanillyl propyl ether; vanillyl butyl ether; vanillin propylene; glycol acetal; ethylvanillin propylene glycol acetal; gingerol; 4-(1-menthoxymethyl)-2-(3′-methoxy-4′-hydroxy-phenyl)-1 claim 1 , 3-dioxolane; pepper oil; pepperoleoresin; gingeroleoresin; nonylic acid vanillylamide; jamboo oleoresin; Zanthoxylum piperitum peel extract; sanshool; sanshoamide; black pepper extract; chavicine; piperine; spilanthol; and mixtures thereof.9. A unit dose article according to claim 1 , ...

Подробнее
09-03-2017 дата публикации

Semiconductor cleaning process system and methods of manufacturing semiconductor devices

Номер: US20170069513A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A semiconductor cleaning process system includes a process chamber configured to hold a semiconductor substrate, a cleaning solution supply unit configured to provide a cleaning solution to the process chamber, the cleaning solution including an organic fluoride, an organic acid and an organic solvent, a recycling unit configured to collect the cleaning solution discharged from the process chamber, a first concentration measuring unit configured to evaluate a fluorine concentration of a collected solution in the recycling unit, and a sub-cleaning solution supply unit configured to provide the organic fluoride to the cleaning solution supply unit based on the fluorine concentration evaluated by the first concentration measuring unit.

Подробнее
19-03-2015 дата публикации

METHODS FOR THE SELECTIVE REMOVAL OF ASHED SPIN-ON GLASS

Номер: US20150075570A1
Принадлежит: ENTEGRIS, INC.

A semi-aqueous removal composition and process for selectively removing spin-on glass relative to a metal gate and/or ILD material from a microelectronic device having said material thereon. The semi-aqueous removal composition can be a fluoride-containing composition or an alkaline composition. 1. A method of selectively removing spin-on glass relative to a material selected from the group consisting of metal gate material , ILD material , and combinations thereof , said method comprising contacting a substrate comprising the spin-on glass and the material with a removal composition , wherein the removal composition selectively removes the spin-on glass relative to the material.2. The method of claim 1 , wherein the metal gate material comprises titanium.3. The method of claim 1 , wherein the ILD material comprises low-k dielectric material.4. The method of claim 1 , wherein the removal rate of the metal gate material is less than about 2 Å min.5. The method of claim 1 , wherein the removal rate of the ILD is less than about 50 Å min.6. The method of claim 1 , wherein the removal rate of SOG is in a range from about 500 to about 2000 Å min.7. The method of claim 1 , wherein the removal composition comprises at least one fluoride claim 1 , at least one metal corrosion inhibitor claim 1 , water claim 1 , and at least one organic solvent.8. The method of claim 7 , wherein the pH of the removal composition is less than about 7.9. The method of claim 7 , wherein the at least one fluoride source comprises a species selected from the group consisting of hydrofluoric acid claim 7 , ammonium fluoride claim 7 , ammonium bifluoride claim 7 , hexafluorosilicic acid (HFSA) claim 7 , ammonium hexafluorosilicate claim 7 , tetrafluoroboric acid claim 7 , ammonium tetrafluoroborate claim 7 , tetrabutylammonium tetrafluoroborate (TBA-BF) claim 7 , hexafluorotantalic acid claim 7 , ammonium hexafluorotantalate claim 7 , and combinations thereof.10. The method of claim 7 , wherein the ...

Подробнее
07-03-2019 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20190070640A1
Принадлежит:

A method of treating a sensor array including a plurality of sensors and an isolation structure, where a sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array and the isolation structure is disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors, comprises exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier; applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; and rinsing the acid solution from the sensor pad and the isolation structure. 1. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of the sensors including a sensor pad , a well structure defining a well array corresponding with the sensor array , a well of the well array exposing the sensor pad , a lid attached over the sensor array and the well structure and including an fluid port , a space defined between the lid and the well structure , the method comprising:applying a treatment solution through the fluid port into the space and waiting for a first period between 30 seconds and 30 minutes, the treatment solution including an organo-silicon compound, an acid and an organic solvent;applying a basic solution through the fluid port into the space and waiting for a second period between 20 seconds and 15 minutes; andapplying a rinse solution through the fluid port.2. The method of claim 1 , wherein the organo-silicon compound includes a silane functionalized with an aryl claim 1 , polyaryl claim 1 , alkyl claim 1 , alkoxy claim 1 , halo claim 1 , or cyano moiety claim 1 , or any combination thereof.3. The method of claim 5 , wherein the silane is selected from the group consisting of phenyldimethylchlorosilane claim 5 , tert-butylchlorodiiphenylsilane claim 5 , chlorotripropylsilane claim 5 , (N claim 5 ,N- ...

Подробнее
17-03-2016 дата публикации

FORMULATIONS, THEIR USE AS OR FOR PRODUCING DISHWASHING DETERGENTS AND THEIR PRODUCTION

Номер: US20160075972A1
Принадлежит: BASF SE

Formulations, their use as or for producing dishwashing detergents and their production 1. A formulation comprising:at least one aminocarboxylate selected from the group consisting of methylglycine diacetate (MGDA), iminodisuccinic acid (IDA) glutamic acid diacetate (GLDA), and salts thereof, and{'sub': 'w', 'an alkoxylated alkyleneimine polymer, which has an average molecular weight Min a range of 500 g/mol to below 800 g/mol, a positive charge density of at least 5 meq/g, and alkylene oxide side chains in an amount ranging from 2% to 80% by weight based on total alkoxylated alkyleneimine polymer.'}wherein the formulation is free from heavy metals.2. The formulation according to claim 1 , which is free from phosphates and polyphosphates.3. The formulation according to claim 1 , wherein the alkoxylated alkyleneimine polymer is a polyethyleneimine which has been reacted with ethylene oxide or propylene oxide.4. The formulation according to claim 1 , which has a heavy metal content below 0.05 ppm claim 1 , based on a solids content of the formulation.5. The formulation according to claim 1 , wherein the alkoxylated alkyleneimine polymer comprises at most 30 mol % of nitrogen atoms which have been reacted with propylene oxide.6. The formulation according to claim 1 , which is solid at room temperature.7. The formulation according to claim 1 , which comprises water in a range of 0.1% to 10% by weight of water.8. The formulation according to claim 1 , wherein a molar ratio of nitrogen atoms to alkylene oxide groups in the alkoxylated alkyleneimine polymer is at most 5.9. The formulation according to claim 1 , which comprises:in total in a range from 1% to 50% by weight of the at least one aminocarboxylate, andin total in a range from 0.001% to 2% by weight of the alkoxylated alkyleneimine polymer,based in each case on the solids content of the formulation.10. A method for washing dishes and kitchen utensils claim 1 , where washing is carried out with the formulation of ...

Подробнее
17-03-2016 дата публикации

LOW=VOC CLEANING SUBSTRATES AND COMPOSITIONS

Номер: US20160075978A1
Принадлежит: The Clorox Company

A cleaning composition for sanitizing and/or disinfecting hard surfaces, comprising: a cationic biocide, surfactant and low levels of VOC solvents. The cleaning composition is adapted to clean a variety of hard surfaces without leaving behind a visible residue and creates low levels of streaking and filming on the treated surface. The cleaning composition contains less than 5% by weight of VOCs. The cleaning composition may be used alone as a liquid or spray formulation or in combination with a substrate, for example, a pre-loaded cleaning wipe. 1. A cleaning composition consisting of:i. about 0.05-5% by weight of one or more cationic biocide,ii. about 0.01-5% by weight of one or more surfactant,iii. about 0.05-5% by weight of a first solvent comprising a glycol ether,iv. about 0.01-2% by weight of a second solvent selected form the group consisting of: alcohols, diols, C1-10 alkyl ethers of alkylene glycols, C3-24 alkylene glycol ethers; polyalkylene glycols, short chain carboxylic acids, short chain esters, isoparaffinic hydrocarbons, mineral spirits, alkylaromatics, terpenes, terpene derivatives, terpenoids, terpenoid derivatives, formaldehyde, pyrrolidones, water, and any mixtures or combinations thereof; andv. optionally, one or more adjuncts selected from the group consisting of: buffers, fragrances, perfumes, builders, stabilizers, defoamers, thickeners, hydrotropes, biocide release agents, anti-microbial compounds, enzymes, bleaching agents, cloud point modifiers, and preservatives.2. The cleaning composition as defined in claim 1 , wherein the composition further comprises a buffer.3. The cleaning composition as defined in claim 1 , wherein the composition further comprises a fragrance.4. The cleaning composition as defined in claim 1 , wherein said second solvent comprises an alcohol.5. The cleaning composition as defined in claim 1 , wherein said surfactant comprises a non-ionic surfactant.6. The cleaning composition as defined in claim 1 , wherein said ...

Подробнее
24-03-2022 дата публикации

SAFE FORMULATION FOR A MULTI-PURPOSE CLEANING SOLUTION

Номер: US20220089973A1
Принадлежит: inShield Wiper, LLC

Disclosed herein is a safe formulation for a multi-purpose cleaning solution. Each ingredient included in the formulation may be on the EPA Safer Ingredients List. The formulation may be used to clean a variety of surfaces including glass and sensitive surfaces such as electronic screens, touchscreens, computer monitors and flat screen TVs. The formulation may also be used to clean a variety of other surfaces including stainless steel, dry erase boards, and composite materials incorporated into household surfaces and interior and exterior surfaces of automobiles, planes, boats, and the like. The formulation may also be used to clean interior and exterior surfaces of campers, trailers, satellite lenses, hospitals, offices, schools, and other places having multiple surfaces. 1. A safe formulation for a multi-purpose cleaning solution comprising:one or more natural cleaning agents;one or more preservatives;one or more solvents; andoptionally, one or more surfactants.2. The formulation of claim 1 , further comprising:one or more degreasers.3. The formulation of claim 2 , wherein the one or more degreasers include (d)-Limonene.4. The formulation of claim 2 , wherein a total volume of the one or more degreasers is limited to less than 3% wt of a total volume of the safe formulation.5. The formulation of claim 1 , wherein the one or more natural cleaning agents include a blend including at least one natural cleaning agent and at least one fragrance.6. The formulation of claim 5 , wherein the blend includes an amount of at least one of an orange essence oil or an amount of citrus fragrance.7. The formulation of claim 1 , wherein a total volume of the one or more natural cleaning agents is between 0.01% wt and 2% wt of a total volume of the safe formulation.8. The formulation of claim 1 , wherein the one or more preservatives include at least one of Bioban 425 claim 1 , leucidal SF Max claim 1 , and acticide LPNS.9. The formulation of claim 1 , wherein the one or more ...

Подробнее
24-03-2022 дата публикации

Composition for surface treatment, method for producing the same, surface treatment method, and method for producing semiconductor substrate

Номер: US20220089981A1
Принадлежит: Fujimi Inc

The present invention provides a means capable of improving a residue removing effect and improving storage stability in a composition for surface treatment which is used for reducing residues on a surface of an object to be polished after being polished chemical mechanical polishing. The present invention relates to a composition for surface treatment, wherein the composition contains a solvent and a dissolved gas, a concentration of the dissolved gas is 0.01 mg/L or more and 10 mg/L or less with respect to a total volume of the composition and the composition is used for reducing residues on a surface of an object to be polished after being polished by chemical mechanical polishing.

Подробнее
15-03-2018 дата публикации

COMPOSITIONS AND METHODS THAT PROMOTE CHARGE COMPLEXING COPPER PROTECTION DURING LOW PKA DRIVEN POLYMER STRIPPING

Номер: US20180074408A1
Автор: Moore John Cleaon
Принадлежит:

The present invention is a charge complexing chemical composition that protects metal during polymer removal. The polymer coatings include crosslinked systems by chemical-amplification and photoacid generated (PAG) means as in epoxies. The system includes a solvent, a charge complexing additive, and an acid that creates a protective complex for sensitive metals during the dissolving and rinsing practice needed for processing microelectronic parts. The composition can be utilized with a method for removing partial and fully cured crosslinked coatings that originate from chemical amplification or PAG-epoxy photoimageable coatings. 1. A composition that creates a charge complex with metals as a means of protection during a polymer coating removal process , comprising:a solvent;an organic acid having a pKa<3; andan additive exhibiting charge complexing character.2. The composition according to claim 1 , wherein said solvent is tetrahydrofurfuryl alcohol (THFA).3. The composition according to claim 2 , wherein THFA is present at concentrations of >40% w/w.4. The composition according to claim 1 , wherein the organic acid of pKa<3 is selected from the group consisting of carboxylic acid and sulfonic acid.5. The composition according to claim 4 , wherein the carboxylic acid is selected from the group consisting of oxalic acid and maleic acid.6. The composition according to claim 5 , wherein the carboxylic acid is maleic acid and is present at concentrations of 0.25-1 molar.7. The composition according to claim 4 , wherein the sulfonic acid is selected from the group consisting of methanesulfonic acid claim 4 , para-toluenesulfonic acid claim 4 , and dodecylbenzenesulfonic acid.8. The composition according to claim 7 , wherein the sulfonic acid is dodecylbenzenesulfonic acid and is present at concentrations of 0.25-1 molar.9. The composition according to claim 1 , wherein said additive exhibiting charge complexing character is selected from the group consisting of ...

Подробнее
05-03-2020 дата публикации

Encapsulates

Номер: US20200071639A1
Принадлежит:

The present application relates processes that can be used to produce encapsulated benefit agents comprising a core and a shell that encapsulates said core, encapsulated benefit agents produced by such process and products comprising such encapsulated benefit agents as well as methods of making and using such products. Such process can be used to produce particles that offer the desired protection and release benefits when used in a varity of products. 1. A population of encapsulated benefit agents having a population diameter coefficient of variation from about 6% to about 50% , said population of encapsulated benefit agents comprising encapsulated benefit agents having a mean diameter of from about 3 micrometers to about 300 micrometers , said encapsulated benefit agent comprising a core and a shell that encapsulates said core , said shell comprising a polymer , said shell having a thickness of from about 0.5 micrometers to about 15 micrometers and a shell thickness coefficient of variation from about 2% to about 30%.2. A population of encapsulated benefit agents according to wherein said shell comprises a film forming polymer.3. A population of encapsulated benefit agents according to having a population diameter coefficient of variation from about 8% to about 35% claim 1 , said population of encapsulated benefit agents comprising encapsulated benefit agents having a mean diameter of from about 5 micrometers to about 240 micrometers claim 1 , said encapsulated benefit agent comprising a core and a shell that encapsulates said core claim 1 , said shell comprising a polymer claim 1 , said shell having a thickness of from about 1 micrometer to about 8 micrometers claim 1 , and a shell thickness coefficient of variation from about 4% to about 25%.4. A population of encapsulated benefit agents according to having a population diameter coefficient of variation from from about 12% to about about 25% claim 3 , said population of encapsulated benefit agents comprising ...

Подробнее