Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 2483. Отображено 100.
01-03-2012 дата публикации

Cleaning solution and damascene process using the same

Номер: US20120052686A1
Автор: An-Chi Liu, Tien-Cheng Lan
Принадлежит: United Microelectronics Corp

A cleaning solution is provided. The cleaning solution includes a fluorine containing compound, an inorganic acid, a chelating agent containing a carboxylic group and water for balance. The content of the fluorine containing compound is 0.01-0.5 wt % of. The content of the inorganic acid is 1-5 wt %.

Подробнее
21-06-2012 дата публикации

Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Номер: US20120157367A1
Автор: Anh Duong, Indranil De
Принадлежит: Intermolecular Inc

A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.

Подробнее
25-10-2012 дата публикации

Compositions and methods for collecting or containing a hydrophilic polymer

Номер: US20120266917A1
Принадлежит: Innovative Next Generation Products Inc

Compounds and related methods are present to assist in the collection and/or disposal of polymers and/or coagulants, such as hydrophilic long chain polymers. A compound may be provided as a mixture of a polysaccharide, a salt, and a substrate. Such mixture may be cast over an area including, e.g., a spilled hydrophilic long chain polymer, and the polymer and mixture may be easily swept up and disposed of.

Подробнее
28-03-2013 дата публикации

METHOD FOR PRODUCING HIGH CONCENTRATION OZONATED WATER AND DEVICE FOR PRODUCING HIGH CONCENTRATION OZONATED WATER

Номер: US20130079269A1
Принадлежит:

The disclosed device and method produce high concentration ozonated water by connecting a high concentration ozone gas-supplying system that comprises an ozone gas-generating unit () for forming ozone gas, an ozone gas-concentrating unit () for concentrating the ozone gas formed, a concentrated ozone gas-pressurizing unit () for pressurizing the concentrated ozone gas output from the ozone gas-concentrating unit (), and a cooling mechanism () for cooling the concentrated ozone gas-pressurizing unit (), to an ozone gas-dissolving unit () to dissolve the high pressure, concentrated ozone gas in pure water and produce the high concentration ozonated water. 1. A method for producing high concentration ozonated water , with a combination of an ozone gas generating operation , an ozone gas concentrating operation , a concentrated ozone gas boosting operation , a cooling operation in the ozone gas boosting operation , and an operation of dissolving the boosted concentrated ozone gas into water.241232133. A device for producing high concentration ozonated water , wherein a high-pressure concentrated ozone gas supplying system is connected to an ozone gas dissolving unit () so as to establish communication between each other , the high-pressure concentrated ozone gas supplying system including an ozone gas generating unit () for generating ozone gas , an ozone gas concentrating unit () for concentrating the generated ozone gas , a concentrated ozone gas pressurizing unit () for boosting the concentrated ozone gas led out from the ozone gas concentrating unit () , and a cooling mechanism () for cooling the concentrated ozone gas pressurizing unit ().3. The device for producing high concentration ozonated water according to claim 2 , wherein{'b': '3', 'the concentrated ozone gas pressurizing unit () includes a monitor that measures temperature, pressure, and flow rate of the boosted high pressure concentrated ozone gas, the device comprising'}{'b': 5', '3, 'a control unit () ...

Подробнее
18-04-2013 дата публикации

LIQUID CONCENTRATE FOR CLEANING COMPOSITION, CLEANING COMPOSITION AND CLEANING METHOD

Номер: US20130096044A1
Принадлежит: Kaken Tech Co., Ltd.

Provided is a liquid concentrate for cleaning composition which could exhibit excellent environmental safety etc. by adding afterward a predetermined amount of water, and also has excellent regeneration efficiency, and provided are a cleaning composition and a cleaning method thereof. Disclosed is a liquid concentrate for cleaning composition which is used as a mixture with water and is intended for cleaning an object to be cleaned in a clouded state, with a predetermined amount of water having been added thereto, the liquid concentrate for cleaning composition including, a first organic solvent which is a predetermined hydrophobic glycol ether compound or the like, and a second organic solvent which is a predetermined hydrophilic amine compound. 1. A liquid concentrate for cleaning composition for cleaning intended for being used as a mixture with water and also for cleaning an object to be cleaned in a clouded state with an amount of water which is a value in the range of 50 to 1900 parts by weight relative to 100 parts by weight of the liquid concentrate for cleaning composition ,the liquid concentrate for cleaning composition comprising, as organic solvents, at least a first organic solvent and a second organic solvent,wherein the first organic solvent is at least one compound selected from the group consisting of a hydrophobic glycol ether compound, a hydrophobic hydrocarbon compound, a hydrophobic aromatic compound, a hydrophobic ketone compound and a hydrophobic alcohol compound, which has a boiling point in the range of 140° C. to 190° C. and a solubility in water (measurement temperature: 20° C.) of 50% by weight or less;the second organic solvent is a hydrophilic amine compound having a boiling point in the range of 140° C. to 190° C. and a solubility in water (measurement temperature: 20° C.) of greater than 50% by weight;the amount of incorporation of the second organic solvent is adjusted to a value in the range of 0.3 parts to 30 parts by weight ...

Подробнее
27-06-2013 дата публикации

STRIPPER SOLUTIONS EFFECTIVE FOR BACK-END-OF-LINE OPERATIONS

Номер: US20130161840A1
Принадлежит: DYNALOY LLC

Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods. 2. The stripper solution of claim 1 , wherein the stripper solution additionally contains glycerine and the polar aprotic solvent is selected from the group consisting of dimethyl sulfoxide and 1-formylpiperidine.3. The stripper solution of claim 1 , wherein the aprotic solvent comprises from about 40% to about 90% of the composition; water comprises from about 2% to about 15% of the composition; the quaternary hydroxide comprises from about 1% to about 10% of the composition; and the amine comprises from about 2% to about 60% of the composition.4. The stripper solution of claim 3 , wherein the aprotic solvent is dimethyl sulfoxide.5. The stripper solution of claim 1 , wherein Z is P.6. The stripper solution of claim 3 , wherein said amine is an alkanolamine having at least two carbon atoms claim 3 , at least one amino substituent and at least one hydroxyl substituent claim 3 , the amino and hydroxyl substituents attached to different carbon atoms.7. The stripper solution of claim 3 , wherein the quaternary hydroxide comprises tetrabutyl phosphonium hydroxide claim 3 , tetraphenyl phosphonium hydroxide claim 3 , methyl triphenyl phosphonium hydroxide claim 3 , ethyl triphenyl phosphonium hydroxide claim 3 , propyl triphenyl phosphonium hydroxide claim 3 , butyl triphenyl phosphonium hydroxide claim 3 ...

Подробнее
12-09-2013 дата публикации

Aluminum post-etch residue removal with simultaneous surface passivation

Номер: US20130237469A1
Автор: Chia-Yin Joyce Wei
Принадлежит: EKC Technology Inc

Al post-etch residue removal composition doped with an alkanoic acid of the formula R—COOH, where R can be a linear or branched alkyl group in the form of C n H 2n+1 , where n is from 4 to 19, simultaneously passivates exposed Al surfaces while removing post-etch residues.

Подробнее
03-10-2013 дата публикации

SUBSTRATE CLEANER FOR COPPER WIRING, AND METHOD FOR CLEANING COPPER WIRING SEMICONDUCTOR SUBSTRATE

Номер: US20130261040A1
Принадлежит: WAKO PURE CHEMICAL INDUSTRIES, LTD.

A cleaning agent for a substrate having a copper wiring consisting of an aqueous solution comprising [I] an amino acid represented by the following general formula [1], and [II] an alkylhydroxylamine; and a method for cleaning a semiconductor substrate having a copper wiring characterized by using the relevant cleaning agent for a substrate having a copper wiring; 2. The cleaning agent according to claim 1 , wherein the copper wiring is the one coated with a Cu (I)-benzotriazole complex formed by monovalent copper and benzotriazole or a derivative thereof.3. The cleaning agent according to claim 1 , wherein the copper wiring is the one coated with a Cu (II)-quinaldic acid complex formed by divalent copper and quinaldic acid or a derivative thereof.4. The cleaning agent according to claim 1 , wherein the substrate is the one after chemical mechanical polishing (CMP).5. The cleaning agent according to claim 2 , wherein the cleaning agent is for removing at least either of copper hydroxide (II) and copper oxide (II).6. The cleaning agent according to claim 3 , wherein the cleaning agent is for removing a copper (II)-quinaldic acid complex claim 3 , and at least either of copper hydroxide (II) and copper oxide (II).7. The cleaning agent according to claim 1 , wherein pH of the aqueous solution is in a range of 9 to 11.8. The cleaning agent according to claim 1 , wherein pH of the aqueous solution is in a range of 4 to 7.9. The cleaning agent according to claim 1 , wherein the aqueous solution is a solution further comprising [III] an amine or an ammonium salt.10. The cleaning agent according to claim 1 , wherein the aqueous solution is a solution further comprising [IV] a hydrochloric acid claim 1 , a sulfuric acid claim 1 , a phosphoric acid claim 1 , or any salts selected from these.11. The cleaning agent according to claim 9 , wherein the aqueous solution is a solution consisting of only the [I] claim 9 , [II] claim 9 , [III] and water.12. The cleaning agent ...

Подробнее
24-10-2013 дата публикации

LIQUID LAUNDRY DETERGENTS COMPRISING A SILICONE ANTI-FOAM

Номер: US20130276243A1
Принадлежит:

Dual-usage aqueous liquid detergent compositions having suds compatability and improved cleaning, said composition containing from about 1% to about 60%, by weight of the composition, of a surfactant system wherein said surfactant system contains at least 35%, by weight of the surfactant system, of alkylethoxysulfate; from 0% to about 10%, by weight of the surfactant system, of nonionic surfactant; from 0% to about 10%, by weight of the surfactant system, of soap; further contains from about 0.001% to about 4.0%, by weight of the composition, of an anti-foam selected from organomodified silicone polymers with aryl or alkylaryl substituents combined with silicone resin and the primary filler is modified silica; and mixtures thereof; and contains from about 0.01% to about 2.5%, by weight of the composition, of a structurant. 1. An aqueous liquid detergent composition having suds compatibility and improved cleaning , said composition comprising: i) at least 35%, by weight of the surfactant system, of alkylethoxysulfate;', 'ii) from about 1% to about 10%, by weight of the surfactant system, of nonionic surfactant;', 'iii) from about 1% to about 10%, by weight of the surfactant system, of soap;, 'a) from about 1% to about 60%, by weight of the composition, of a surfactant system wherein said surfactant system comprisesb) from about 0.001% to about 4.0%, by weight of the composition, of an anti-foam selected from organomodified silicone polymers with aryl or alkylaryl substituents combined with silicone resin and a primary filler, which is modified silica;c) from about 0.01% to about 2.5%, by weight of the composition, of a structurant, wherein the structurant is selected from: crystalline, hydroxyl-containing stabilizers, polymer gums, and mixtures thereof.2. An aqueous liquid detergent composition according to claim 1 , wherein the surfactant system further comprises an additional surfactant selected from the group consisting of anionic surfactants claim 1 , cationic ...

Подробнее
24-10-2013 дата публикации

N-propyl Bromide Based Solvent Compsitions And Methods for Cleaning Articles

Номер: US20130276830A1
Автор: Ando Keiji, Miwa Hisashi
Принадлежит: Albemarle Corporation

n-Propyl bromide based solvent compositions and methods for cleaning articles using said solvent compositions are provided. Such solvent composition comprise about 50 weight percent to 99 weight percent of n-propyl bromide, about 0.5 weight percent to 50 weight percent of alcohol, and at least 0.005 weight percent of a surfactant. Such solvent compositions remove at least one of water or water soluble contaminants. The solvent compositions and methods of the present invention are useful as a degreaser and/or cleaner in both for cold cleaning and hot rinsing systems for cleaning articles. 1. A solvent composition comprising:a. about 50 weight percent to about 99 weight percent of n-propyl bromide;b. about 0.5 weight percent to about 50 weight percent of alcohol; andc. at least 0.005 weight percent of surfactant.2. The solvent composition of wherein the concentration of n-propyl bromide is about 80 weight percent to about 98 weight percent.3. The solvent composition of wherein the concentration of n-propyl bromide is about 88 weight percent to about 95 weight percent.4. The solvent composition of wherein the alcohol is a monohydric aliphatic alcohol.5. The solvent composition of wherein the alcohol comprises 1-propanol claim 4 , isopropyl alcohol claim 4 , 1-butanol claim 4 , 2-butanol claim 4 , isobutyl alcohol claim 4 , tertiary butyl alcohol claim 4 , 1-heptanol claim 4 , or 1-hexanol and pentanol.6. The solvent composition of wherein the surfactant comprises a non-ionic surfactant claim 1 , or a product of reaction between a fatty acid reactant and an amine reactant.7. The solvent composition of wherein the non-ionic surfactant comprises polyoxyethylene alkyl ether claim 6 , polyoxyethylene sec-alcohol ether or polyoxyethylene alkyl phenyl ether.8. The solvent composition of wherein the non-ionic surfactant is biodegradable.9. The solvent composition of wherein the fatty acid reactant comprises a Cto C-containing aliphatic monocarboxylic acid.10. The solvent ...

Подробнее
31-10-2013 дата публикации

SOLID FORMULATIONS, THEIR PREPARATION AND USE

Номер: US20130284210A1
Принадлежит: BASF SE

Use of solid formulations with a residual moisture content in the range from 0.1 to 15% by weight, comprising 1. A method for washing a dish or a kitchen utensil , the method comprising: washing the dish or the kitchen utensil with a solid formulation having a residual moisture content of from 0.1 to 15% by weight to the dish or kitchen utensil ,wherein the solid formulation comprises:a first at least one compound selected from the group consisting of an aminocarboxylate and a polyaminocarboxylate;a cationic (co)polymer having a cationic charge density of at least 5 milliequivalents/g;at least one silicate selected from the group consisting of an sodium silicate, a potassium silicate, and an alumosilicate;optionally, a second at least one compound selected from the group consisting of an alkali metal percarbonate, an alkali metal perborate, and an alkali metal persulfate; andoptionally, polyvinyl alcohol.2. The method according to claim 1 , wherein the solid formulation is free from a phosphate and a polyphosphate.3. The method according to claim 1 , wherein the cationic (co)polymer is polyvinylamine or a linear or branched homopolymer of alkyleneimine.4. The method according to claim 1 , wherein the solid formulation has a heavy metal content below 0.05 ppm claim 1 , based on a solid content of the solid formulation.5. The method according to claim 1 , wherein the aminocarboxylate or the polyaminocarboxylates of the first at least one compound is selected from the group consisting of methylglycine diacetate (MGDA) claim 1 , iminodisuccinic acid (IDS) claim 1 , glutamic acid diacetate claim 1 , and a salt thereof.6. The method according to claim 1 , wherein the at least one silicate has an average primary particle diameter of at most 1 μm.7. The method according to claim 1 , wherein the solid formulation comprises:from 1 to 50% by weight of the first at least one compound;from 0.001 to 2% by weight of the cationic (co)polymer;from 1 to 30% by weight of the at least ...

Подробнее
14-11-2013 дата публикации

LABEL REMOVAL SOLUTION FOR RETURNABLE BEVERAGE BOTTLES

Номер: US20130303422A1
Принадлежит: ECOLAB USA INC.

According to the invention, the compositions and methods provide for the complete removal of synthetic glues or adhesives from a plurality of surfaces through the use of organic solvents and/or amine solvents in combination with surfactants, chelants, acidulants and/or additional bottle wash additives. Beneficially, the compositions and methods are suitable for use at lower temperatures and pH conditions that conventional caustic-based adhesive removal compositions. 1. A method for removing adhesive material from a surface comprising:applying a cleaning composition to a surface in need of removal of an adhesive material; andremoving said adhesive material from the surface within a period of time less than about 10 minutes;wherein the cleaning composition comprises an aqueous or non-aqueous basic organic solvent and/or an amine solvent, a chelant, a surfactant and less than about 25 wt-% sodium hydroxide (caustic), wherein the organic and/or amine solvent replaces at least a portion of a caustic solution, andwherein the temperature of the cleaning composition is below about 70° C.2. The method of wherein the cleaning composition has a pH of at least about 2 and less than 13.5.3. The method of wherein the cleaning composition completely replaces the caustic solution.4. The method of wherein the cleaning composition is at a temperature below about 60° C. and removes said adhesive material within a period of time less than about 5 minutes.5. The method of wherein the organic solvent is selected from the group consisting of alcohols claim 1 , polyols claim 1 , lower alkanols claim 1 , lower alkyl ethers claim 1 , glycols claim 1 , aryl glycol ethers claim 1 , lower alkyl glycol ethers claim 1 , and combinations thereof claim 1 , and wherein the amine solvent is selected from the group consisting of monoethanolamine claim 1 , diethanolamine claim 1 , triethanolamine claim 1 , furfurylamine claim 1 , 4-methylbenzylamine claim 1 , Tris[2-(2-methoxyethoxy)-ethyl]amine claim ...

Подробнее
16-01-2014 дата публикации

TREATMENT OF NON-TRANS FATS WITH ACIDIC TETRA SODIUM L-GLUTAMIC ACID, N, N-DIACETIC ACID (GLDA)

Номер: US20140014137A1
Принадлежит: ECOLAB USA INC.

The invention relates to methods and compositions for treating non-trans fats with a souring composition that acts as both a souring agent and a chelating agent. The invention also relates to methods for reducing the frequency of laundry fires with acidic GLDA. 1. A souring composition for treating or removing non-trans fat soils on an article , the composition comprising:a. an effective amount of tetra sodium L-glutamic acid, N,N-diacetic acid (GLDA) in an acidic form.2. The souring composition of wherein the effective amount of GLDA is in an amount that hinders polymerization of the non-trans fat soils.3. The souring composition of wherein the effective amount of GLDA is an amount that acts as a chelating agent.4. The souring composition of wherein the effective amount of GLDA is an amount that acts as a souring agent.5. The souring composition of wherein the effective amount of GLDA is an amount that lowers an area of exotherm of the non trans fat soils by about 20%.6. The souring composition of wherein the effective amount of GLDA is an amount that delays a time of peak heat flow of the non-trans fat soils by about 20%.7. The souring composition of wherein the GLDA is also in an effective amount to hinder metal complexation of free fatty acid salts.8. The souring composition of wherein the effective amount of GLDA is an amount that prevents skin irritation.9. The souring composition of wherein the effective amount of GLDA is an amount that lowers pH of the article during a rinsing step in a laundry cycle.10. The souring composition of wherein the GLDA is also in an effective amount to prevent fire in the article that is in contact with the non-trans fat soil.11. The souring composition of wherein the GLDA is in an amount of about 100 ppm.12. The souring composition of wherein the GLDA is an amount that is soluble in a low pH.13. The souring composition of wherein the effective amount of GLDA is an amount that neutralizes any left over alkalinity from a detergent ...

Подробнее
06-03-2014 дата публикации

COKE COMPOSITIONS FOR ON-LINE GAS TURBINE CLEANING

Номер: US20140066349A1
Принадлежит: ENVIROCHEM SOLUTIONS LLC

A particulate coke composition including expandable coke is capable of removing deposits from rotating parts of a gas turbine engine while under full fire or idle speed. The coke composition may be introduced directly into the combustion chamber (combustor) of the gas turbine or, alternatively, anywhere in the fuel stream, water washing system, or the combustion air system. By kinetic impact with the deposits on blades and vanes, the deposits will be dislodged and will thereby restore the gas turbine to rated power output. If introduced into the compressor section, the coke particles impinge on those metal surfaces, cleaning them prior to entering the hot gas section where the process is repeated. 2. The composition of claim 1 , wherein the high purity cokes are greater than 99.5% carbon.3. The composition of claim 1 , wherein the high purity cokes are greater than 99.75% carbon.4. The composition of claim 1 , wherein the high purity cokes are greater than 99.9% carbon.5. The composition of claim 1 , wherein the selected sections are associated with a compressor.6. The composition of claim 1 , wherein the selected sections are associated with a hot gas path.7. The composition of claim 1 , wherein the selected sections are associated with heat recovery equipment.8. The composition of claim 1 , wherein the system burns wherein the system burns a fuel selected from the group consisting of heavy fuel oil claim 1 , Light Arabian crude oil claim 1 , light distillate fuel oil claim 1 , natural gas fuel and mixtures or combinations thereof. This application is a divisional application of U.S. patent application Ser. No. 13/529,271 filed Jun. 21, 2012 (21 Jun. 2012) now U.S. Pat. No. 8,525,449 issued Sep. 17, 2013 (17 Sep. 2013), which claims priority to and the benefit of U.S. Provisional Patent Application Ser. No. 61/500,049 filed 22 Jun. 2011 (Jun. 22, 2011).1. Field of the InventionEmbodiments of this invention relate generally to gas turbine cleaning methods.More ...

Подробнее
07-01-2016 дата публикации

Cleaning Gas and Cleaning Method

Номер: US20160002574A1
Принадлежит: CENTRAL GLASS COMPANY, LIMITED

A cleaning gas according to the present invention is intended for removing a silicon carbide-containing deposit on a base of at least partially graphitized carbon and is characterized by containing iodine heptafluoride. It is possible by the use of such a cleaning gas to remove silicon carbide without etching of graphite. 1. A cleaning gas for removing a silicon carbide-containing deposit on a base of at least partially graphitized carbon , the cleaning gas comprising iodine heptafluoride.2. The cleaning gas according to claim 1 , further comprising at least one kind of oxidizing gas selected from the group consisting of F claim 1 , ClF claim 1 , COF claim 1 , O claim 1 , O claim 1 , NO claim 1 , NO claim 1 , NO and NO.3. The cleaning gas according to claim 1 , further comprising at least one kind of inert gas selected from the group consisting of He claim 1 , Ne claim 1 , Ar claim 1 , Xe claim 1 , Kr and N.4. The cleaning gas according to claim 1 , wherein the base is an inner wall or attachment device of silicon carbide single crystal production equipment.5. The cleaning gas according to claim 4 , wherein the silicon carbide single crystal production equipment is for production of silicon carbide epitaxial films.6. A cleaning method comprising claim 1 , while heating a base of at least partially graphitized carbon claim 1 , removing a silicon carbide-containing deposit on the base by the cleaning gas according to .7. The cleaning method according to claim 6 , wherein the cleaning gas is brought into contact with the base while the base is heated to a temperature of 150 to 700° C.8. The cleaning method according to claim 6 , wherein the cleaning gas further comprises at least one kind of oxidizing gas selected from the group consisting of F claim 6 , ClF claim 6 , COF claim 6 , O claim 6 , O claim 6 , NO claim 6 , NO claim 6 , NO and NO.9. The cleaning method according to claim 6 , wherein the cleaning gas further comprises at least one kind of inert gas selected ...

Подробнее
04-01-2018 дата публикации

AQUEOUS SOLUTION CONTAINING COMBINATION OF COMPLEXING AGENTS

Номер: US20180002643A1
Принадлежит:

Aqueous formulation with a content of (A) and (B) in the range of % to %, containing 115.-. (canceled)17. The aqueous formulation according to claim 16 , wherein polymer (C) is selected from polyalkylenimines and polyvinylamines claim 16 , partially or fully substituted with CHCOOH groups claim 16 , partially or fully neutralized with alkali metal cations.18. The aqueous formulation according to claim 16 , wherein salt (D) is selected from potassium formate and potassium acetate.19. The aqueous formulation according to claim 16 , wherein said aqueous formulation has a pH value in the range of from 10.5 to 11 claim 16 , determined at a 1% by weight aqueous solution.20. The aqueous formulation according to claim 16 , wherein the weight ratio of complexing agent (A) to complexing agent (B) is in the range of from 4:1 to 1:4.21. The aqueous formulation according to claim 16 , wherein the weight ratio of complexing agent (A) to complexing agent (B) is in the range of from 1.5:1 to 1:1.5.22. The aqueous formulation according to wherein said aqueous formulation has a dynamic viscosity in the range of from 100 to 400 mPa·s claim 16 , determined according to DIN 53018-1:2008-09 at 25° C.23. The aqueous formulation according to wherein said formulation has a total solids content in the range of 40 to 70%.24. The aqueous solution according to wherein complexing agent (B) is essentially L-glutamic acid (L-GLDA) that is at least partially neutralized with alkali metal.25. The aqueous formulation according to containingin the range of from 10 to 50% by weight of complexing agent (A),in the range of from 10 to 50% by weight of complexing agent (B),in the range of from zero to 5% by weight of polymer (C),in the range of from zero to 30% by weight of salt (D),percentages referring to the total solids of the respective aqueous solution.26. The aqueous formulation according to wherein such formulation is phosphate-free.27. The aqueous formulation according to wherein such formulation ...

Подробнее
02-01-2020 дата публикации

Cleaning agent composition for semiconductor device substrate, method of cleaning semiconductor device substrate, method of manufacturing semiconductor device substrate, and semiconductor device substrate

Номер: US20200002652A1
Принадлежит: Mitsubishi Chemical Corp

According to the present invention, there is provided a cleaning agent composition for a semiconductor device substrate including at least one of wiring and an electrode in which the wiring and the electrode contain cobalt or a cobalt alloy, the cleaning agent composition including a component (A): at least one compound selected from the group consisting of specific compounds; and a component (B): water.

Подробнее
04-01-2018 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20180003672A1
Автор: Ball James, Reed Brian
Принадлежит:

A sensor array includes a plurality of sensors. A sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array. A method of treating the sensor array includes exposing at least the sensor pad to a wash solution including sulfonic acid and an organic solvent and rinsing the wash solution from the sensor pad. 1. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of sensors having a sensor pad exposed at a surface of the sensor array , the method comprising:exposing at least the sensor pad to a wash solution including acid and an organic solvent; andrinsing the wash solution from the sensor pad.2. The method of claim 1 , wherein the acid includes sulfonic acid.3. The method of claim 2 , wherein the sulfonic acid includes alkyl sulfonic acid claim 2 , alkyl aryl sulfonic acid claim 2 , or a combination thereof.4. The method of claim 3 , wherein the alkyl aryl sulfonic acid includes an alkyl group having between 1 and 20 carbons.5. The method of claim 4 , wherein the alkyl group has between 9 and 18 carbons.6. The method of claim 5 , wherein the alkyl group has between 10 and 14 carbons.7. The method of claim 4 , wherein the alkyl group has between 1 and 6 carbons.8. The method of any one of - and - claim 4 , wherein the sulfonic acid includes methanesulfonic acid claim 4 , ethanesulfonic acid claim 4 , propane sulfonic acid claim 4 , butane sulfonic acid claim 4 , or combinations thereof.9. The method of any one of - and - claim 4 , wherein the sulfonic acid includes dodecyl benzene sulfonic acid.10. The method of any one of - and - claim 4 , wherein the sulfonic acid includes para toluene sulfonic acid.11. The method of any one of - and - claim 4 , wherein the wash solution includes between 10 mM and 500 mM of the acid.12. The method of claim 11 , wherein the wash solution includes between 50 mM and 250 mM of the acid.13. The method of any one of - and - claim 11 , wherein ...

Подробнее
10-01-2019 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHOD

Номер: US20190010430A1
Принадлежит:

Disclosed are a substrate treating apparatus and a substrate treating method. The substrate treating method includes applying a treatment liquid containing a monomeric substance to a substrate that is intended to be cleaned, curing the treatment liquid with a cleaning film by irradiating light to the treatment liquid and polymerizing the monomeric substance, and removing the cleaning film. 1. A substrate treating method comprising:applying a treatment liquid containing a monomeric substance to a substrate that is intended to be cleaned;curing the treatment liquid with a cleaning film by irradiating light to the treatment liquid and polymerizing the monomeric substance; andremoving the cleaning film.2. The substrate treating method of claim 1 , wherein the cleaning film has a net structure that is formed by polymerizing the monomeric substance.3. The substrate treating method of claim 1 , wherein a solvent for the treatment liquid is water.4. The substrate treating method of claim 1 , wherein the light has a wavelength of an ultraviolet ray band.5. The substrate treating method of claim 1 , wherein the treatment liquid includes a photo initiator.6. The substrate treating method of claim 1 , wherein the monomeric substance forms an acrylate-based compound through a polymerization.7. A substrate treating apparatus comprising:a support member configured to support a substrate;a treatment liquid discharging member configured to discharge a treatment liquid containing a monomeric substance to the substrate located in the support member; anda light irradiator configured to irradiate light to the treatment liquid discharged to the substrate.8. The substrate treating apparatus of claim 7 , wherein the light irradiator irradiates light to an area between the center of rotation of the substrate and an outer end of the substrate.9. The substrate treating apparatus of claim 7 , wherein the light irradiator irradiates light such that the light passes through the center of ...

Подробнее
12-01-2017 дата публикации

Solvent-Based Mitigating Of Organic Contaminants In A Hard Disk Drive

Номер: US20170011778A1
Принадлежит:

Mitigating organic contaminants within a hard disk drive (HDD) may include introducing an organic solvent into the HDD to dissolve organic contaminants and, therefore, to inhibit such contaminants from fouling operation of the HDD device. Organic solvents such as toluene and/or hexane may be used to dissolve organic contaminants such as hydrocarbons and siloxanes. 1. A hard disk drive comprising:a recording disk medium rotatably mounted on a spindle;a read-write head slider comprising a read-write transducer configured to read from and to write to said disk medium;a voice coil actuator configured to move said head slider to access portions of said disk medium; anda solvent introduction mechanism comprising an organic solvent without a corresponding solute;wherein said organic solvent acts to dissolve organic contaminants within said hard disk drive.2. (canceled)3. The hard disk drive of claim 1 , wherein said organic solvent acts to dissolve at least a portion of hydrocarbons within said hard disk drive.4. The hard disk drive of claim 1 , wherein said organic solvent acts to dissolve at least a portion of siloxanes within said hard disk drive.5. The hard disk drive of claim 1 , wherein said organic solvent comprises toluene (CH).6. The hard disk drive of claim 1 , wherein said organic solvent comprises hexane (CH).7. The hard disk drive of claim 1 , wherein said solvent introduction mechanism comprises:a fabric in which said organic solvent is absorbed.8. The hard disk drive of claim 1 , wherein said solvent introduction mechanism comprises:a recirculation filter comprising said organic solvent.9. A method for mitigating organic contaminants within a hard disk drive claim 1 , the method comprising:introducing an organic solvent, without a corresponding solute, into said hard disk drive to dissolve said organic contaminants.10. The method of claim 9 , wherein said organic solvent comprises toluene (CH).11. The method of claim 9 , wherein said organic solvent ...

Подробнее
03-02-2022 дата публикации

Cleaning liquid composition

Номер: US20220033744A1
Автор: Areji TAKANAKA
Принадлежит: Kanto Chemical Co Inc

An object of the present invention is to provide a cleaning liquid that effectively removes in a short time organic residues and abrasive grains derived from a slurry in a semiconductor substrate in which a Co contact plug and/or Co wiring are present.The present invention relates to a cleaning liquid composition for cleaning a substrate having a Co contact plug and/or Co wiring, which contains one or more reducing agents and water. Furthermore, the present invention relates to a cleaning liquid composition for cleaning a substrate having Co and not having Cu, which contains one or more reducing agents and water and has a pH of 3 or more and less than 12.

Подробнее
19-01-2017 дата публикации

SEMICONDUCTOR ELEMENT CLEANING LIQUID AND CLEANING METHOD

Номер: US20170015955A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

The present invention makes it possible to provide a semiconductor element cleaning method that is characterized in that: a hard mask pattern is formed on a substrate that has a low relative permittivity film and at least one of a cobalt, a cobalt alloy, or a tungsten plug; and a cleaning liquid that contains 0.001-20% by mass of an alkali metallic compound, 0.1-30% by mass of quaternary ammonium hydroxide, 0.01-60% by mass of a organic water-soluble solvent, 0.0001-0.1% by mass of hydrogen peroxide, and water is subsequently used on a semiconductor element in which, using the hard mask pattern as a mask, the hard mask, the low relative permittivity film, and a barrier insulating film are dry etched, and dry etch residues are removed. 1. A method for cleaning a semiconductor element which is obtained by forming a hardmask pattern on a substrate that has a low-dielectric-constant film and at least one of cobalt , a cobalt alloy and a tungsten plug , and then subjecting a hardmask , the low-dielectric-constant film and a barrier insulating film to a dry etching treatment using said hardmask pattern as a mask , the method comprising the step of removing dry etch residues with a cleaning liquid containing 0.001-20% by mass of an alkali metal compound , 0.1-30% by mass of a quaternary ammonium hydroxide , 0.01-60% by mass of an organic water-soluble solvent , 0.0001-0.1% by mass of hydrogen peroxide and water.2. The method according to claim 1 , wherein the alkali metal compound is at least one or more selected from the group consisting of sodium hydroxide claim 1 , sodium sulfate claim 1 , sodium carbonate claim 1 , sodium hydrogen carbonate claim 1 , sodium nitrate claim 1 , sodium fluoride claim 1 , sodium chloride claim 1 , sodium bromide claim 1 , sodium iodide claim 1 , potassium hydroxide claim 1 , potassium sulfate claim 1 , potassium carbonate claim 1 , potassium hydrogen carbonate claim 1 , potassium nitrate claim 1 , potassium fluoride claim 1 , potassium ...

Подробнее
19-01-2017 дата публикации

WASHING HYDROGEN WATER PRODUCING METHOD AND PRODUCING APPARATUS

Номер: US20170015956A1
Принадлежит:

A method of producing washing hydrogen water in an embodiment, includes: a step of storing ammonia water in a first tank; a step of transferring the ammonia water from the first tank to a second tank; a step of diluting the transferred ammonia water with ultrapure water in the second tank; a step of mixing the diluted ammonia water into hydrogen water; and a washing step of washing an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank. 1. A method of producing washing hydrogen water , the method comprising:storing ammonia water in a first tank;transferring the ammonia water from the first tank to a second tank;diluting the transferred ammonia water with ultrapure water in the second tank;mixing the diluted ammonia water into hydrogen water; andwashing an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank.2. The method of claim 1 , further comprising:measuring the ammonia water in the first tank.3. An apparatus of producing washing hydrogen water claim 1 , the apparatus comprising:a hydrogen water generating unit configured to dissolve hydrogen gas in ultrapure water;a first tank configured to store ammonia water;a second tank connected to a lower part of the first tank via a first pipeline, and configured to dilute the ammonia water supplied from the first tank via the first pipeline with ultrapure water;a third tank connected to a lower part of the second tank via a second pipeline, and configured to store the diluted ammonia water supplied from the second tank via the second pipeline;a mixing unit configured to mix the diluted ammonia water from the third tank into the hydrogen water to generate washing hydrogen water; anda washing unit configured to wash an inside of the first tank by ultrapure water to remove fine particles derived from ammonia generated in the first tank.4. The apparatus according to claim 3 , further comprising: ...

Подробнее
15-01-2015 дата публикации

POST CHEMICAL-MECHANICAL-POLISHING (POST-CMP) CLEANING COMPOSITION COMPRISING A SPECIFIC SULFUR-CONTAINING COMPOUND AND A SUGAR ALCOHOL OR A POLYCARBOXYLIC ACID

Номер: US20150018261A1
Принадлежит: BASF SE

A post chemical-mechanical-polishing (post-CMP) cleaning composition comprising: (A) at least one compound comprising at least one thiol (—SH), thioether (—SR) or thiocarbonyl (>C═S) group, wherein Ris alkyl, aryl, alkylaryl or arylalkyl, (B) at least one sugar alcohol which contains at least three hydroxyl (—OH) groups and does not comprise any carboxylic acid (—COOH) or carboxylate (—COO—) groups, and (C) an aqueous medium. 1. A post chemical-mechanical-polishing (post-CMP) cleaning composition , comprising:{'sup': 1', '1, '(A) at least one compound comprising at least one thiol (—SH), thioether (—SR) or thiocarbonyl (>C═S) group, wherein Ris alkyl, aryl, alkylaryl or arylalkyl,'}(B) erythritol, threitol, a stereoisomer thereof, or a mixture thereof, and(C) an aqueous medium.2. The composition according to claim 1 , wherein the compound (A) further comprises at least one amino (—NH claim 1 , —NHR claim 1 , or —NRR) group claim 1 , and wherein{'sup': 1', '2', '3', '4, 'R, R, Rand Rare, independently from each other, alkyl, aryl, alkylaryl, or arylalkyl.'}3. The composition according to claim 2 , wherein the compound (A) is thiourea or a derivative thereof.4. The composition according to claim 2 , wherein compound (A) is an amino acid comprising at least one thiol (—SH) claim 2 , thioether (—SR) group claim 2 , or a derivative thereof claim 2 ,{'sup': '1', 'wherein Ris alkyl, aryl, alkylaryl or arylalkyl.'}5. The composition according to claim 4 , wherein the compound (A) is cysteine claim 4 , cystine claim 4 , glutathione claim 4 , N-acetylcysteine claim 4 , or a derivative thereof.6. (canceled)7. (canceled)8. (canceled)9. (canceled)10. (canceled)11. (canceled)12. The composition according to claim 1 , wherein the composition further comprises(D) at least one metal chelating agent.13. The composition according to claim 12 , wherein at least one metal chelating agent (D) is selected from the group consisting of propane-1 claim 12 ,2 claim 12 ,3-tricarboxylic acid ...

Подробнее
17-01-2019 дата публикации

CLEANING COMPOSITION FOR LIQUID CRYSTAL ALIGNMENT LAYER AND MANUFACTURING METHOD OF LIQUID CRYSTAL ALIGNMENT LAYER USING THE SAME

Номер: US20190016998A1
Принадлежит: LG CHEM, LTD.

The present invention relates to a cleaning composition for a liquid crystal alignment layer, a manufacturing method of a liquid crystal alignment layer using the same, and a liquid crystal display device including the liquid crystal alignment layer manufactured by the manufacturing method. More specifically, the present invention relates to a cleaning composition for a liquid crystal alignment layer that is capable of solving a non-uniformity problem of the liquid crystal alignment layer and effectively removing an ionic byproduct on a polymer surface to increase anisotropy of the liquid crystal alignment layer, by using a cleaning composition including a specific solvent in a cleaning process after a UV alignment process, and a manufacturing method of a liquid crystal alignment layer. 1. A cleaning composition for a liquid crystal alignment layer comprising:tetrahydrofurfuryl alcohol or methyl 2-hydroxyisobutyrate,wherein the cleaning composition for a liquid crystal alignment layer is used for cleaning a UV-aligned liquid crystal alignment layer including polyimide or a polyimide precursor.2. The cleaning composition for a liquid crystal alignment layer of claim 1 , further comprising:at least one compound selected from the group consisting of an alkylene glycol-based compound having a viscosity of 10 cP or less and a boiling point of at least 150° C. or more and a polar solvent having a viscosity of 5 cP or less and a boiling point of at least 100° C. or more.3. The cleaning composition for a liquid crystal alignment layer of claim 1 , wherein:the cleaning composition includesa) 100 wt % of the tetrahydrofurfuryl alcohol or the methyl 2-hydroxyisobutyrate, orb) 1 to 99 wt % of the compound a); and 0.1 to 99 wt % of at least one compound selected from the group consisting of an alkylene glycol-based compound and a polar solvent.4. The cleaning composition for a liquid crystal alignment layer of claim 1 , further comprising:1 to 70 wt % of deionized water.5. The ...

Подробнее
17-01-2019 дата публикации

CLEANING COMPOSITION

Номер: US20190016999A1
Принадлежит:

This disclosure relates to a composition (e.g., a cleaning and/or stripping composition) containing (a) 0.5-25 percent by weight an alkaline compound; (b) 1-25 percent by weight an alcohol amine compound; (c) 0.1-20 percent by weight a hydroxylammonium compound; (d) 5-95 percent by weight an organic solvent; (e) 0.1-5 percent by weight a corrosion inhibitor compound; and (f) 2-25 percent by weight water. 1. A composition , comprising:(a) 0.5-25 percent by weight an alkaline compound;(b) 1-25 percent by weight an alcohol amine compound;(c) 0.1-20 percent by weight a hydroxylammonium compound;(d) 5-95 percent by weight an organic solvent;(e) 0.1-5 percent by weight a corrosion inhibitor compound; and(f) 2-25 percent by weight water.2. The composition of claim 1 , wherein the alkaline compound is selected from the group consisting of tetramethyl ammonium hydroxide (TMAH) claim 1 , 2-hydroxyltrimethyl ammonium hydroxide claim 1 , tetraethyl ammonium hydroxide (TEAH) claim 1 , tetrapropyl ammonium hydroxide (TPAH) claim 1 , tetrabutyl ammonium hydroxide (TBAH) claim 1 , and a mixture thereof.3. The composition of claim 1 , wherein the alcohol amine compound is selected from the group consisting of monoethanolamine (MEA) claim 1 , diethanolamine claim 1 , triethanolamine claim 1 , 2-(2-aminoethoxy)ethanol claim 1 , monoisopropanolamine claim 1 , diisopropanolamine claim 1 , triisopropanolamine claim 1 , N-methyldiethanolamine claim 1 , N-ethylethanolamine claim 1 , N-butyl ethanolamine claim 1 , diethanolamine claim 1 , diglycolamine. 2-(2-aminoethoxy)ethanol claim 1 , N claim 1 ,N-dimethylethanolamine claim 1 , N claim 1 ,N-diethylethanolamine claim 1 , N claim 1 ,N-dibutylethanolamine claim 1 , N-methyl-N-ethyl ethanolamine and a mixture of thereof.4. The composition of claim 1 , wherein the hydroxylammonium compound is selected from the group consisting of hydroxylammonium sulfate claim 1 , hydroxylammonium hydrochloride claim 1 , hydroxylammonium nitrate claim 1 , and ...

Подробнее
21-01-2021 дата публикации

COMPOSITIONS, METHODS AND SYSTEMS FOR REMOVAL OF STARCH

Номер: US20210017472A1
Принадлежит: Ecochem Australia Pty Ltd

The present invention is directed to compositions, methods and systems for the removal of starch. The methods include: providing cleaning solution and rinsing fluid along supply line(s); connecting the supply line(s) to one or more cleaning applicators positioned to apply the cleaning solution or the rinsing fluid to one or more surfaces of a starch applicator system; and providing a controller which is able to control application of the cleaning solution and the rinsing fluid through the one or more cleaning applicators. The systems include the components described in relation to the methods. The compositions include about 5 to 15% w/w alpha amylase to break down the starch into water-soluble units; and non- ionic surfactant(s) and/or solvent(s) to react at the interface of the starch and surface it is attached to as well as liquefy the resins. 1. A composition for removal of resin-injected starch on a surface of machinery or equipment , the composition comprising:at least one non-ionic surfactant in an amount effective to react at an interface of a starch and the surface of machinery or equipment to which the starch is attached;at least one solvent in an amount, more than 2% w/w, effective to liquefy resins in the starch; andalpha amylase in an amount, in a range of about to 15% w/w, effective to break down the starch into water-soluble units.2. The composition according to claim 1 , wherein the at least one solvent is present in an amount of about 4% w/w.3. The composition according to claim 1 , wherein the at least one solvent is Ethylene Glycol Mono Butyl Ether.4. The composition according to claim 1 , wherein the alpha amylase is present in an amount of about 10% w/w.5. The composition according to claim 1 , wherein the at least one non-ionic surfactant is present in an amount in a range of about 3 to 15% w/w.6. The composition according to claim 1 , wherein the at least one non-ionic surfactant is present in an amount of about 9% w/w.7. The composition ...

Подробнее
10-02-2022 дата публикации

STABLE PERCARBOXYLIC ACID COMPOSITIONS AND USES THEREOF

Номер: US20220041473A1
Принадлежит: ECOLAB USA, Inc.

The present invention relates generally to stable percarboxylic acid compositions comprising, inter alia, at least two stabilizing agents, and various uses for water treatments, including water treatments in connection with oil- and gas-field operations. The present invention also relates to slick water compositions and gel based compositions that comprise stable percarboxylic acid compositions and the use thereof in oil- and gas-field operations. 2. The composition of claim 1 , wherein the C-Cpercarboxylic acid has a concentration of at least about 6 times of the concentration of the hydrogen peroxide.3. The composition of claim 1 , wherein the C-Ccarboxylic acid comprises acetic acid claim 1 , octanoic acid and/or sulfonated oleic acid.4. The composition of claim 1 , wherein the C-Ccarboxylic acid has a concentration of about 70 wt-% claim 1 , the C-Cpercarboxylic acid has a concentration of about 15 wt-% claim 1 , and the hydrogen peroxide has a concentration of at least about 1 wt-%.5. The composition of claim 1 , wherein the first stabilizing agent is a 2 claim 1 ,6-pyridinedicarboxylic acid claim 1 , or a salt thereof claim 1 , and the second stabilizing agent is HEDP claim 1 , or a salt thereof.6. The composition of claim 1 , wherein the first and second stabilizing agents delay or prevent the composition from exceeding its self-accelerating decomposition temperature (SADT).7. The composition of claim 1 , which retains at least about 80% of the C-Cpercarboxylic acid activity after storage of about 30 days at about 50° C.8. A method for treating water claim 1 , which method comprises providing a composition of to a water source in need of treatment to form a treated water source claim 1 , wherein said treated water source comprises from about 1 ppm to about 1 claim 1 ,000 ppm of said C-Cpercarboxylic acid.9. The method of claim 8 , wherein the water source in need of treatment is selected from the group consisting of fresh water claim 8 , pond water claim 8 , ...

Подробнее
10-02-2022 дата публикации

HYDROFLUOROOLEFINS AND METHODS OF USING SAME

Номер: US20220041532A1
Принадлежит:

A hydrofluoroolefin compound represented by the following general formula (II): Formula (II) where Rf is a linear, branched, or cyclic perfluoroalkyl group having 1-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen; n is 0 or 1; X is Cl or Br; with the following proviso: when Rf is CF3, then n is 1. 1. A hydrofluoroolefin compound represented by the following general formula (II):{'br': None, 'sub': f', 'n, 'R(CFH)CF═CHX\u2003\u2003(II)'}{'sub': 'f', 'where Ris a linear, branched, or cyclic perfluoroalkyl group having 1-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen;'}n is 0 or 1;X is Cl or Br;with the following proviso:{'sub': 'f', 'when Ris CF3, then n is 1.'}2. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IIA):{'br': None, 'RfCF═CHCl\u2003\u2003(IIA)'}{'sub': 'f', 'where Ris a linear, branched, or cyclic perfluoroalkyl group having 2-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen.'}3. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IIB):{'br': None, 'RfCF═CHCl\u2003\u2003(IIB)'}{'sub': 'f', 'where Ris a perfluoroalkyl group having 2-3 carbon atoms.'}4. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IIC):{'br': None, 'RfCF═CHBr\u2003\u2003(IIC)'}{'sub': 'f', 'where Ris a linear, branched, or cyclic perfluoroalkyl group having 2-6 carbon atoms, and optionally comprises at least one catenated heteroatom selected from nitrogen or oxygen.'}5. The hydrofluoroolefin compound of claim 1 , wherein the hydrofluoroolefin compound has the following general formula (IID):{'br': None, 'RfCF═CHBr\u2003\u2003(IID)'}{'sub': 'f', 'where Ris a perfluoroalkyl group having 2-3 carbon atoms.'}6. ...

Подробнее
23-01-2020 дата публикации

COMPOSITION FOR SURFACE TREATMENT, METHOD FOR PRODUCING THE SAME, SURFACE TREATMENT METHOD USING COMPOSITION FOR SURFACE TREATMENT, AND METHOD FOR PRODUCING SEMICONDUCTOR SUBSTRATE

Номер: US20200024547A1
Принадлежит: FUJIMI INCORPORATED

An objective of the present invention is to provide a means for sufficiently removing residues remaining on a surface of a polished object to be polished. 1. A composition for surface treatment comprising:a polymer compound having at least one ionic functional group selected from the group consisting of a sulfonic acid (salt) group, a phosphoric acid (salt) group, a phosphonic acid (salt) group, and an amino group; andwater, whereinpH is less than 7, and [{'br': None, '[Mathematical Formula 1]'}, {'br': None, 'Ionic functional group density (%)=100×(Number of constituent unit derived from monomer having ionic functional group/Number of constituent unit derived from polymer compound) \u2003\u2003Formula (1)'}], 'the polymer compound has a pKa of 3 or less and an ionic functional group density represented by the following formula (1)of more than 10%.2. The composition for surface treatment according to claim 1 , wherein the polymer compound comprises a copolymer comprising a constituent unit having at least one ionic functional group selected from the group consisting of a sulfonic acid (salt) group and an amino group and another constituent unit.3. The composition for surface treatment according to claim 2 , wherein the other constituent unit comprises a constituent unit derived from an ethylenically unsaturated monomer.4. The composition for surface treatment according to claim 1 , wherein the polymer compound comprises a homopolymer consisting of only a constituent unit having at least one acid functional group selected from the group consisting of a sulfonic acid (salt) group claim 1 , a phosphoric acid (salt) group claim 1 , and a phosphonic acid (salt) group.5. The composition for surface treatment according to claim 1 , wherein the polymer compound comprises a polymer compound having a sulfonic acid (salt) group.6. The composition for surface treatment according to claim 5 , wherein a polymer compound having the sulfonic acid (salt) group is at least one ...

Подробнее
23-01-2020 дата публикации

Cleaning Agent Comprising A Surfactant-Containing Gel Phase

Номер: US20200024548A1
Принадлежит:

A cleaning agent, preferably a dishwashing detergent, in particular an automatic dishwashing detergent, having at least one low-water, preferably substantially water-free, gel phase, which contains at least one particular non-ionic surfactant, preferably in quantities from 0.1 to 15 wt. %, from 0.5 to 10 wt. %, in particular from 0.8 to 8.5 wt. %, particularly preferably from 1 to 7 wt. %, for example from 1.0 to 4.0 wt. %, based on the total weight of the gel phase. 1. A cleaning agent comprising at least one low-water gel phase , which contains at least one non-ionic surfactant that has a melting point of greater than 30° C. in quantities from 0.1 to 15 wt. % based on the total weight of the gel phase.4. The cleaning agent according to claim 1 , characterized in that it contains claim 1 , as a gelling agent claim 1 , polyvinyl alcohol and/or derivatives thereof in a quantity from 4 to 40 wt. % in each case based on the total weight of the gel phase.5. The cleaning agent according to claim 1 , characterized in that at least one organic solvent is contained in the gel phase.6. The cleaning agent according to claim 5 , characterized in that the at least one organic solvent is present in the gel phase in quantities from 30 to 90 wt. % based on the total weight of the gel phase.7. The cleaning agent according to claim 1 , characterized in that the gel phase contains a polymer comprising a sulfonic acid group-containing monomer selected from acrylamidopropanesulfonic acids claim 1 , methacrylamidomethylpropanesulfonic acids or acrylamidomethylpropanesulfonic acid.8. The cleaning agent according to claim 1 , characterized in that it contains claim 1 , in the gel phase claim 1 , at least one water-soluble zinc salt in a quantity from 0.05 to 3 wt. % based on the total weight of the gel phase.9. The cleaning agent according to claim 1 , characterized in that it additionally comprises at least one solid phase.10. The cleaning agent according to claim 1 , characterized in ...

Подробнее
23-01-2020 дата публикации

CLEANING COMPOSITION WITH CORROSION INHIBITOR

Номер: US20200024554A1
Принадлежит:

A cleaning composition and process for cleaning an in-process microelectronic device substrate, e.g., by post-chemical mechanical polishing (CMP) cleaning, to remove residue from a surface thereof, wherein the cleaning composition may be especially effective for cleaning a substrate surface that includes exposed metal such as cobalt, copper, or both, along with dielectric or low k dielectric material, and wherein the cleaning composition includes corrosion inhibitor to inhibit corrosion of the exposed metal. 1. A cleaning composition effective to clean a microelectronic device substrate , the cleaning composition comprising:water,base to provide a pH of at least 8,cleaning compound, andcorrosion inhibitor selected from: a guanidine functional compound, a pyrazolone functional compound, and a hydroxyquinoline compound.2. A cleaning composition of claim 1 , wherein the corrosion inhibitor is selected from:a guanidine functional compound selected from dicyandiamide, guanylurea, a guanidine salt, and glycocyamine,a pyrazolone functional compound selected from 2-methyl-3-butyn-2-ol, 3-methyl-2-pyrazolin-5-one, 3-methyl-1-4(sulfophenyl)-2-pyrazolin-5-one, 3-methyl-1-p-tolyl-5-pyrazolone, anda hydroxyquinoline compound selected from: 8-hydroxyquinoline, 8-hydroxyquinoline-2-carboxylic acid, 5-chloro7-iodo-quinolin-8-ol, 5,7-dichloro-2-[(dimethylamino)methyl)quinolin-8-ol, 8-hydroxyquinoline-4-carbaldehyde, 8-hydroxyquinoline-4-carbaldehyde-oxime, 8-hydroxyquinoline-5-sulfonic acid monohydrate3. A cleaning composition of claim 1 , wherein the base is selected from: choline hydroxide claim 1 , tetraethylammonium hydroxide claim 1 , tetramethylammonium hydroxide claim 1 , a quaternary ammonium compound claim 1 , and a combination thereof.4. A cleaning composition of claim 1 , wherein the cleaning compound is an alkanol amine.5. A cleaning composition of claim 1 , wherein the corrosion inhibitor is a guanine.6. A cleaning composition of claim 1 , wherein the corrosion ...

Подробнее
04-02-2016 дата публикации

CLEANING COMPOSITION FOR SEMICONDUCTOR SUBSTRATE AND CLEANING METHOD

Номер: US20160032227A1
Принадлежит: JSR Corporation

A cleaning composition for a semiconductor substrate contains a solvent, and a polymer that includes a fluorine atom, a silicon atom or a combination thereof. The content of water in the solvent is preferably no greater than 20% by mass. The cleaning composition preferably further contains an organic acid which is a non-polymeric acid. The organic acid is preferably a polyhydric carboxylic acid. The acid dissociation constant of the polymer is preferably less than that of the organic acid. The solubility of the organic acid in water at 25° C. is preferably no less than 5% by mass. The organic acid is preferably a solid at 25° C. 1. A cleaning composition for a semiconductor substrate comprising:a solvent; anda polymer comprising a fluorine atom, a silicon atom or a combination thereof.2. The cleaning composition according to claim 1 , wherein a content of water in the solvent is no greater than 20% by mass.3. The cleaning composition according to claim 1 , further comprising an organic acid which is a non-polymeric acid.4. The cleaning composition according to claim 3 , wherein the organic acid is a polyhydric carboxylic acid.5. The cleaning composition according to claim 3 , wherein an acid dissociation constant of the polymer is smaller than an acid dissociation constant of the organic acid.6. The cleaning composition according to claim 3 , wherein a solubility of the organic acid in water at 25° C. is no less than 5% by mass.7. The cleaning composition according to claim 3 , wherein the organic acid is a solid at 25° C.8. A cleaning method comprising:coating a cleaning composition on a surface of a semiconductor substrate to form a film, the cleaning composition comprising a solvent and a polymer which comprises a fluorine atom, a silicon atom or a combination thereof; andremoving the film from the substrate.9. The cleaning method according to claim 8 , wherein a content of water in the solvent is no greater than 20% by mass.10. The cleaning method according to ...

Подробнее
30-01-2020 дата публикации

POST ETCH RESIDUE CLEANING COMPOSITIONS AND METHODS OF USING THE SAME

Номер: US20200032177A1
Принадлежит:

A microelectronic device (semiconductor substrate) cleaning composition is provided that comprises water; oxalic acid, and two or more corrosion inhibitors and methods of using the same. 1. A semiconductor substrate cleaning composition comprising water; oxalic acid , and two or more types of corrosion inhibitors selected from the following three types of corrosion inhibitors: (a) amino acids; (b) non-phenolic-type organic acids , non-phenolic-type organic acid salts or other derivatives of non-phenolic-type organic acids , and (c) phenol and derivatives of phenol.2. The cleaning composition of comprising one or more of said type (a) corrosion inhibitors and one or more of said type (b) corrosion inhibitors.3. The cleaning composition of claim 2 , wherein one or more of said type (a) corrosion inhibitors are selected from glycine claim 2 , histidine claim 2 , lysine claim 2 , alanine claim 2 , leucine claim 2 , threonine claim 2 , serine claim 2 , valine claim 2 , aspartic acid claim 2 , glutamic acid claim 2 , arginine claim 2 , cysteine claim 2 , asparagine claim 2 , glutamine claim 2 , isoleucine claim 2 , methionine claim 2 , phenylalanine claim 2 , proline claim 2 , tryptophan claim 2 , and tyrosine.4. The cleaning composition of wherein one or more of said type (b) corrosion inhibitors are selected from ascorbic acid and derivatives of ascorbic acid.5. The cleaning composition of wherein one or more of said type (b) corrosion inhibitors are selected from ascorbic acid and derivatives of ascorbic acid.6. The cleaning composition of comprising one or more of said type (a) corrosion inhibitors and one or more of said type (c) corrosion inhibitors.7. The cleaning composition of claim 6 , wherein said one or more of said type (a) corrosion inhibitors are selected from glycine claim 6 , histidine claim 6 , lysine claim 6 , alanine claim 6 , leucine claim 6 , threonine claim 6 , serine claim 6 , valine claim 6 , aspartic acid claim 6 , glutamic acid claim 6 , ...

Подробнее
04-02-2021 дата публикации

PHOSPHORUS FREE LOW TEMPERATURE WARE WASH DETERGENT FOR REDUCING SCALE BUILD-UP

Номер: US20210032575A1
Принадлежит:

Phosphorus-free detergent compositions are provided. Detergent compositions including an aminocarboxylate, water conditioning agent, source of alkalinity and water beneficially do not require the use of additional surfactants and/or polymers to provide suitable detergency and prevent scale build-up on treated surfaces. The detergent compositions are used with a sanitizer to employ the phosphorus-free detergent compositions for use as low temperature ware wash detergents that beneficially reduce scale build-up. Methods of employing the phosphorus-free detergent compositions are also provided. 1. A phosphorus-free detergent composition comprising:an alkalinity source;from about 0.1-15 wt-% of an aminocarboxylate;from about 0.1-15 wt-% of a water conditioning polymer;from about 20-80 wt-% of water,wherein the composition is phosphorus-free, does not contain surfactants and reduces or eliminates scale build-up on treated surfaces, andwherein the detergent composition provides a use solution having a pH of at least about 8 and an effective sanitizing effect at wash temperatures that are not heated above about 140° F. when employed with a sanitizer.2. The composition of claim 1 , wherein the aminocarboxylate is an aminocarboxylic acid or a salt of an aminocarboxylic acid.3. The composition of claim 2 , wherein the aminocarboxylate is methylglycinediacetic acid.4. The composition of claim 1 , wherein the water conditioning polymer is a polyacrylate claim 1 , polycarboxylate or polycarboxylic acid.5. The composition of claim 1 , wherein the alkalinity source is sodium hydroxide.6. The composition of claim 1 , wherein the ratio of the aminocarboxylate to the water conditioning polymer is from about 1:5 to about 5:1.7. The composition of claim 1 , wherein the composition comprises between about 1 wt-% and about 10 wt-% aminocarboxylate claim 1 , between about 0.1 wt-% and about 10 wt-% water conditioning polymer claim 1 , and between about 30 wt-% and about 80 wt-% water.8. A ...

Подробнее
09-02-2017 дата публикации

Photoresist Cleaning Composition Used in Photolithography and a Method for Treating Substrate Therewith

Номер: US20170037344A1
Принадлежит: AIR PRODUCTS AND CHEMICALS, INC.

It is disclosed a photoresist cleaning composition for stripping a photoresist pattern having a film thickness of 3-150 μm, which contains (a) quaternary ammonium hydroxide (b) a mixture of water-soluble organic solvents (c) at least one corrosion inhibitor and (d) water, and a method for treating a substrate therewith. 1. A photoresist cleaning composition for stripping a photoresist pattern having a film thickness of 3-150 μm , which comprises (a) 0.5-5 mass % of at least one quaternary ammonium hydroxide or mixtures of two or more quaternary ammonium hydroxides; (b) 60-97.5 mass % of a mixture of water-soluble organic solvent comprising dimethylsulfoxide (DMSO) , sulfolane or dimethylsulfone or mixtures thereof , and at least one additional organic solvent or two or more additional organic solvents; (c) 0.5-15 mass % of at least one corrosion inhibitor or a mixture of two or more corrosion inhibitors; and (d) 0.5-25 mass % of water.2. The photoresist cleaning composition of claim 1 , which comprises (a) 0.5-5 mass % of at least one quaternary ammonium hydroxide or mixtures of two or more quaternary ammonium hydroxides; (b) 82-97.5 mass % of a mixture of water-soluble organic solvent comprising dimethylsulfoxide (DMSO) claim 1 , sulfolane or dimethylsulfone or mixtures thereof claim 1 , and at least one additional organic solvent or two or more additional organic solvents; (c) 1-5 mass % of at least one corrosion inhibitor or mixtures of two or more corrosion inhibitors; and (d) 1-10 mass % of water.3. The photoresist cleaning composition of claim 1 , wherein (b) comprises 80-96 mass % said dimethylsulfoxide (DMSO) claim 1 , sulfolane or dimethylsulfone or mixtures thereof claim 1 , and 1-4 mass % of said at least one additional organic solvent or two or more additional organic solvents; and said (c) comprises 1-5 mass %; and said (d) comprises 1-10 mass %.4. The photoresist cleaning composition of claim 2 , wherein said (c) comprises a mixture of two or more ...

Подробнее
08-02-2018 дата публикации

Metal-compound-removing solvent and method in lithography

Номер: US20180039182A1

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9.

Подробнее
24-02-2022 дата публикации

Cleaning solvent compositions exhibiting azeotrope-like behavior and their use

Номер: US20220056368A1
Автор: Venesia L. Hurtubise
Принадлежит: ZYNON TECHNOLOGIES LLC

An azeotropic cleaning solvent composition has from about 96 to about 98 weight percent 1,1,1,3,3,3-hexafluoro-2-methoxypropane (“HFMOP”) and from about 2 to about 4 weight percent acetone, for example, about 97 weight percent HFMOP and about 3 weight percent acetone. Another composition of the invention has a weight ratio of HFMOP to acetone of about 24 to about 99, for example, about 24 to 49. Conventional additives such as surfactants, lubricants and co-solvents may be present in an amount not to exceed about 10 weight percent of the composition. A method of the invention comprises contacting an article of manufacture with the solvent composition in order to clean the article of manufacture and then removing the solvent composition from the article of manufacture.

Подробнее
24-02-2022 дата публикации

CLEANING LIQUID COMPOSITION AND CLEANING METHOD USING SAME

Номер: US20220056373A1
Принадлежит: KCTECH CO., LTD.

The present disclosure relates to a cleaning liquid composition and a cleaning method using the same. A polishing slurry composition according to an embodiment of the present disclosure includes: a chelating agent containing an organic salt; and an anionic surfactant. 1. A cleaning liquid composition comprising:a chelating agent comprising an organic salt; andan anionic surfactant.2. The cleaning liquid composition of claim 1 , wherein the chelating agent comprises:at least one organic salt selected from a group consisting of a carboxyl group, a carbonic acid group, a phosphoric acid group, and a sulfuric acid group; oran ammonium salt thereof.3. The cleaning liquid composition of claim 2 , wherein the organic salt containing the carboxyl group comprises at least one selected from a group consisting of acetate claim 2 , citrate claim 2 , hydrogen citrate claim 2 , tartrate claim 2 , oxalate claim 2 , lactate claim 2 , benzonate claim 2 , formate claim 2 , phthalate claim 2 , and malate.4. The cleaning liquid composition of claim 2 , wherein the organic salt containing the carbonic acid group comprises at least one selected from a group consisting of carbonate claim 2 , bicarbonate claim 2 , tricarboante claim 2 , ethylcarbonate claim 2 , 2-cyanoethylcarbonate claim 2 , octadecylcarbonate claim 2 , dibutylcarbonate claim 2 , dioctadecylcarbonate claim 2 , methyldecylcarbonate claim 2 , hexamethylene iminecarbonate claim 2 , mopholinium morpholinecarbonate claim 2 , benzylcarbonate claim 2 , triethoxy silylpropylcarbonate claim 2 , pyridinium ethylhexyl bicarbonate (pyridinium ethylhexylcarbonate) claim 2 , and triethylene diaminium bicarbonate.5. The cleaning liquid composition of claim 2 , wherein the organic salt containing the phosphoric acid group comprises at least one selected from a group consisting of phosphate claim 2 , hydrogen phosphate claim 2 , diammonium hydrogen phosphate claim 2 , triammonium hydrogen phosphate claim 2 , monobutyl phosphate claim 2 , ...

Подробнее
24-02-2022 дата публикации

SUBSTRATE CLEANING SOLUTION AND METHOD FOR MANUFACTURING DEVICE

Номер: US20220056383A1
Принадлежит:

To obtain a substrate cleaning solution capable of cleaning a substrate and removing particles. The present invention is a substrate cleaning solution comprising a polymer (A), an alkaline component (B), and a solvent (C), provided that the alkaline component (B) does not comprise ammonia. 115.-. (canceled)16. A substrate cleaning solution comprising a polymer (A) , an alkaline component (B) , and a solvent (C) ,provided that the alkaline component (B) does not comprise ammonia.17. The substrate cleaning solution according to claim 16 , wherein the alkaline component (B) comprises at least one of primary amine claim 16 , secondary amine claim 16 , tertiary amine claim 16 , and quaternary ammonium salt claim 16 , and the alkaline component (B) comprises hydrocarbon.18. The substrate cleaning solution according to claim 16 , wherein the solvent (C) comprises an organic solvent.19. The substrate cleaning solution according to claim 16 , wherein the boiling point of the alkaline component (B) at one atmospheric pressure is 20-400° C.20. The substrate cleaning solution according to claim 16 , wherein the polymer (A) comprises at least one of novolak claim 16 , polyhydroxy styrene claim 16 , polystyrene claim 16 , polyachrylate derivative claim 16 , polymaleic acid derivative claim 16 , polycarbonate claim 16 , polyvinyl alcohol derivatives claim 16 , polymethacrylate derivatives claim 16 , and copolymer of any combination of any of these.21. The substrate cleaning solution according to claim 20 , wherein the polymer (A) does not contain fluorine and/or silicon.22. The substrate cleaning solution according to claim 16 , further comprising a crack accelerating component (D) claim 16 ,wherein the crack accelerating component (D) comprises hydrocarbon and further comprises a hydroxy group and/or a carbonyl group.23. The substrate cleaning solution according to claim 16 , wherein the content of the polymer (A) is 0.1-50 mass % based on the total mass of the substrate cleaning ...

Подробнее
12-02-2015 дата публикации

POST-CMP FORMULATION HAVING IMPROVED BARRIER LAYER COMPATIBILITY AND CLEANING PERFORMANCE

Номер: US20150045277A1
Принадлежит: ENTEGRIS, INC.

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one azole corrosion inhibitor, at least one reducing agent, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers, wherein the barrier layers are substantially devoid of tantalum or titanium. 1. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon , said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device , wherein the cleaning composition includes at least one quaternary base , at least one amine , at least one azole corrosion inhibitor , at least one reducing agent , and at least one solvent , wherein the microelectronic device comprises exposed barrier layer that reduces diffusion of copper into low-k dielectric materials.2. (canceled)3. The method of claim 1 , wherein the residue is selected from the group consisting of post-CMP residue claim 1 , post-etch residue claim 1 , and post-ash residue.4. The method of claim 1 , wherein the cleaning compositions are substantially devoid of oxidizing agents; fluoride-containing sources; abrasive materials; gallic acid; alkali and/or alkaline earth metal bases; organic solvents; purines and purine-derivatives; amidoxime; cyanuric acid; triaminopyrimidine; barbituric acid and derivatives thereof;glucuronic acid; squaric acid; pyruvic acid; phosphonic acid and derivatives thereof; phenanthroline;glycine; nicotinamide and derivatives thereof; flavonoids such as flavonols and ...

Подробнее
06-02-2020 дата публикации

CONDUCTIVE AQUEOUS SOLUTION PRODUCTION DEVICE AND CONDUCTIVE AQUEOUS SOLUTION PRODUCTION METHOD

Номер: US20200040285A1
Автор: OGAWA Yuuichi
Принадлежит:

A conductive aqueous solution production device 1 has an ion exchange device mounted on the way of the main pipe supplying ultrapure water W as raw water, a supply pipe which joins the main pipe on the downstream side of the ion exchange device and a conductivity-imparting substance supply device For example, if the conductivity-imparting substance is ammonia, since the ions are cations, that is, ammonium ions (NH), it is preferable that an ion exchanger which fills the ion exchange device be a cation exchange resin. If the conductivity-imparting substance is carbon dioxide, the ions are anions, that is, bicarbonate ions (HCO) or carbonate ions (CO), and therefore it is preferable that the ion exchange device be filled with an anion exchange resin. Such a conductive aqueous solution production device is capable of producing a conductive aqueous solution with a stable concentration, and achieves excellent follow-up performance with respect to a change in concentration. 1. A conductive aqueous solution production device comprising:an ion exchange device configured to circulate raw water; anda conductivity-imparting substance supply device for adding a conductivity-imparting substance to the raw water, which has passed through the ion exchange device, to generate a conductive aqueous solution,wherein if ions, which are generated by dissolving the conductivity-imparting substance in the raw water having passed through the ion exchange device and impart conductivity to the raw water, are cations, the ion exchange device is filled with a cation exchanger, whereas if the ions are anions, the ion exchange device is filled with an anion exchanger.2. The conductive aqueous solution production device according to claim 1 , wherein a separation distance between an outlet of the ion exchange device and an addition point of the conductivity-imparting substance by the conductivity-imparting substance supply device is 5 m or less.3. The conductive aqueous solution production device ...

Подробнее
18-02-2021 дата публикации

COMPOSITIONS AND METHODS FOR CLEANING AND STRIPPING

Номер: US20210047525A1
Принадлежит:

The present disclosure provides a composition for cleaning or stripping a material from a substrate. The composition includes a primary solvent and a co-solvent. The co-solvent includes one or more caprolactam-derived solvents. 2. The composition of claim 1 , wherein a concentration of the co-solvent is 5 wt. %-49 wt. % of the composition.3. The composition of claim 1 , wherein the one or more caprolactam-derived solvents include at least one of: N-methylcaprolactam claim 1 , N-ethylcaprolactam claim 1 , and N-butylcaprolactam.4. The composition of claim 1 , wherein the one or more caprolactam-derived solvents include two caprolactam-derived solvents.5. The composition of claim 4 , wherein each of the two caprolactam-derived solvents is from 5 wt. %-95 wt. % of the co-solvent.6. The composition of claim 4 , wherein the two caprolactam-derived solvents are N-methylcaprolactam and N-ethylcaprolactam.7. The composition of claim 4 , wherein the two caprolactam-derived solvents are N-methylcaprolactam and N-butylcaprolactam.8. The composition of claim 4 , wherein the two caprolactam-derived solvents are N-ethylcaprolactam and N-butylcaprolactam.9. The composition of claim 4 , wherein the caprolactam-derived solvents further include a third caprolactam-derived solvent.10. The composition of claim 9 , wherein each of the three caprolactam-derived solvents is from 5 wt. %-90 wt. % of the co-solvent.11. The composition of claim 9 , wherein the three caprolactam-derived solvents are N-methylcaprolactam claim 9 , N-ethylcaprolactam claim 9 , and N-butylcaprolactam.12. The composition of claim 1 , further including a surfactant.13. The composition of claim 1 , further including thickening agent.15. The method of claim 14 , wherein the one or more caprolactam-derived solvents include at least one of: N-methylcaprolactam claim 14 , N-ethylcaprolactam claim 14 , and N-butylcaprolactam.16. The method of claim 14 , wherein the one or more caprolactam-derived solvents include at ...

Подробнее
18-02-2021 дата публикации

CLEANING SOLUTION FOR REMOVING DRY ETCHING RESIDUE AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE USING SAME

Номер: US20210047594A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

The present invention can provide a cleaning solution containing 0.2-20 mass % of an amine compound (A), 40-70 mass % of a water-soluble organic solvent (B), and water, wherein the amine compound (A) contains at least one selected from the group consisting of n-butylamine, hexylamine, octylamine, 1,4-butanediamine, dibutylamine, 3-amino-1-propanol, N,N-diethyl-1,3-diaminopropane, and bis(hexamethylene)triamine, and the water-soluble organic solvent (B) has a viscosity of 10 mPa·s or less at 20° C. and a pH of 9.0-14. 1. A cleaning solution , comprising:from 0.2 to 20 mass % of an amine compound,from 40 to 70 mass % of a water-soluble organic solvent andwater,wherein:the amine compound comprises one or more selected from the group consisting of n-butylamine, hexylamine, octylamine, 1,4-butanediamine, dibutylamine, 3-amino-1-propanol, N,N-diethyl-1,3-diaminopropane and bis(hexamethylene)triamine;the water-soluble organic solvent has a viscosity of 10 mPa·s or less at 20° C.; andpH is in a range of from 9.0 to 14.2. The cleaning solution according to claim 1 , wherein a content of the amine compound is from 2.0 to 4.0 mass %.3. The cleaning solution according to claim 1 , wherein a content of water is from 28 to 59 mass %.4. The cleaning solution according to claim 1 , wherein the water-soluble organic solvent comprises one or more selected from the group consisting of diethylene glycol monomethyl ether claim 1 , diethylene glycol monobutyl ether claim 1 , triethylene glycol monomethyl ether claim 1 , dipropylene glycol monomethyl ether and N claim 1 ,N-dimethyl isobutylamide.5. The cleaning solution according to claim 1 , wherein the amine compound comprises one or more selected from the group consisting of 3-amino-1-propanol claim 1 , N claim 1 ,N-diethyl-1 claim 1 ,3-diaminopropane and bis(hexamethylene)triamine.6. The cleaning solution according to claim 1 , which is suitable for removing dry etching residue.7. A method for manufacturing a semiconductor substrate ...

Подробнее
24-02-2022 дата публикации

SUBSTRATE CLEANING SOLUTION, AND USING THE SAME, METHOD FOR MANUFACTURING CLEANED SUBSTRATE AND METHOD FOR MANUFACTURING DEVICE

Номер: US20220059344A1
Принадлежит:

[Problem] To obtain a substrate cleaning solution capable of cleaning a substrate and removing particles. [Means for Solution] The present invention is a substrate cleaning solution comprising an insoluble or hardly soluble solute (A), a soluble solute (B), and a solvent (C). 114.-. (canceled)15. A substrate cleaning solution comprising an insoluble or hardly soluble solute (A); a soluble solute (B); and a solvent (C) ,wherein the substrate cleaning solution is dripped on a substrate and dried to remove the solvent (C), and filmed insoluble or hardly soluble solute (A) together with the soluble solute (B) remains in the film on the substrate, the film being then removed from the substrate by a remover.16. The substrate cleaning solution according to claim 15 , wherein the insoluble or hardly soluble solute (A) is insoluble or hardly insoluble in the remover; and the soluble solute (B) is soluble in the remover.17. The substrate cleaning solution according to claim 15 , the solvent (C) comprises an organic solvent claim 15 , andthe solvent (C) has volatility; andthe boiling point of the solvent (C) at one atmospheric pressure is 50-250° C.18. The substrate cleaning solution according to claim 15 , wherein the insoluble or hardly soluble solute (A) comprises at least one of novolak claim 15 , polyhydroxy styrene claim 15 , polystyrene claim 15 , polyacrylate derivatives claim 15 , polymaleic acid derivatives claim 15 , polycarbonate claim 15 , polyvinyl alcohol derivatives claim 15 , polymethacrylate derivatives claim 15 , and copolymer of any combination of any of these claim 15 , and the insoluble or hardly soluble solute (A) does not contain fluorine and/or silicon.19. The substrate cleaning solution according to claim 15 , wherein the soluble solute (B) is a crack accelerating component (B′) claim 15 ,where the crack accelerating component (B′) comprises hydrocarbon and further comprises a hydroxy group and/or a carbonyl group.21. The substrate cleaning solution ...

Подробнее
16-02-2017 дата публикации

Cleaning Formulations for Chemically Sensitive Individuals: Compositions and Methods

Номер: US20170044466A1
Принадлежит:

The present disclosure relates to cleaning compositions in general, and cleaning compositions that are well suited for use by individuals, who experience adverse health effects that may occur upon exposure to certain chemicals. This condition, characterized as multiple chemical sensitivities (MCS), makes it virtually impossible for certain individuals to use commercially available cleaning products without inducing immunological responses. The instant disclosure presents and describes protocols for the formulation and evaluation of a variety of cleaning products using a combination of C assay, head space analysis and screening of ingredients and products by highly sensitized individuals. The methods and compositions newly presented herein avoid causing adverse health responses in individuals and are suitable for use by any person, particularly individuals who experience MCS. 1. A method for providing a cleaning formulation for use especially by chemically-sensitized individuals , comprising:a. assessing the bio-basis of an ingredient or ingredients for use in the cleaning formulation;b. evaluating the ingredient or ingredients for acceptability by at least one individual who manifests multiple chemical sensitivities; andc. formulating a cleaning product using the acceptable ingredient or ingredients from step b; wherein each ingredient contains at least 80% pMC.2. The method for providing a cleaning formulation according to claim 1 , further comprising the step of:{'sup': '3', 'd. performing a VOC headspace analysis of the cleaning product, wherein a headspace analysis of less than about 100 μg/mis regarded as acceptable.'}3. The method for providing a cleaning formulation according to claim 2 , further comprising at least one of the steps of:e. confirming the cleaning efficacy of the cleaning product; andf. evaluating the cleaning product for acceptability for use by at least one individual who manifests multiple chemical sensitivities.4. The method for providing a ...

Подробнее
16-02-2017 дата публикации

WET CLEAN PROCESS FOR REMOVING CxHyFz ETCH RESIDUE

Номер: US20170044470A1
Принадлежит:

A method for cleaning etch residues that may include treating an etched surface with an aqueous lanthanoid solution, wherein the aqueous lanthanoid solution removes an etch residue that includes a majority of hydrocarbons and at least one element selected from the group consisting of carbon, oxygen, fluorine, nitrogen and silicon. In one example, the aqueous solution may be cerium ammonium nitrate (Ce(NH)(NO)),(CAN). 1. An etch chemistry for removing hydrocarbon etch residues comprising an aqueous solution including a complex including at least one element of the lanthanide family.2. The etch chemistry of claim 1 , wherein the lanthanoid element that provides said at least one element of the lanthanide family is present in the aqueous lanthanoid solution in a concentration ranging from 100 g/L to 500 g/L.3. The etch chemistry of claim 1 , wherein the aqueous solution further includes at least one nitrogen including compound selected from the group consisting of ammonia (NH) claim 1 , nitrate (NO) and a combination thereof.4. The etch chemistry of claim 1 , wherein the lanthanoid element in the aqueous solution is cerium ammonium nitrate (Ce(NH)(NO))(CAN).5. The etch chemistry of claim 1 , wherein the lanthanoid element includes a lanthanoid selected from the group consisting of lanthanum claim 1 , cerium claim 1 , praseodymium claim 1 , promethium claim 1 , samarium claim 1 , europium claim 1 , gadolinium claim 1 , terbium claim 1 , dysprosium claim 1 , holmium claim 1 , erbium claim 1 , thulium claim 1 , ytterbium claim 1 , lutetium and combinations thereof.6. The etch chemistry of claim 1 , wherein the etch residue is graphitic.7. An etch chemistry for removing hydrocarbon etch residues comprising an aqueous solution including a complex including at least one cerium containing lanthanide.8. The etch chemistry of claim 7 , wherein the cerium containing lanthanide is present in the aqueous lanthanoid solution in a concentration ranging from 100 g/L to 500 g/L.9. The ...

Подробнее
03-03-2022 дата публикации

Cleaning Compositions

Номер: US20220064575A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one chelating agent, the chelating agent being a polyaminopolycarboxylic acid; 3) at least one corrosion inhibitor, the corrosion inhibitor being a substituted or unsubstituted benzotriazole; 4) at least one sulfonic acid; and 5) water.

Подробнее
03-03-2022 дата публикации

CLEANING COMPOSITIONS AND METHODS OF USE THEREOF

Номер: US20220064577A1
Принадлежит:

The present disclosure relates to cleaning compositions that are used to clean semiconductor substrates. These cleaning compositions can remove the defects/contaminants arising from previous processing on the semiconductor substrates and thereby make the substrates appropriate for further processing. The cleaning compositions described herein primarily contain at least one pH adjusting agent and at least one biosurfactant. 1. A cleaning composition , comprising:at least one pH adjusting agent; andat least one biosurfactant selected from the group consisting of glycolipids, lipopeptides, and mixtures thereof:wherein the composition has a pH of from about 1 to about 14.2. The composition of claim 1 , wherein the at least one pH adjusting agent comprises a carboxylic acid claim 1 , an amino acid claim 1 , a sulfonic acid claim 1 , phosphoric acid claim 1 , or a phosphonic acid.3. The composition of claim 1 , wherein the at least one adjusting agent comprises at least one carboxylic acid.4. The composition of claim 1 , wherein the at least one pH adjusting agent is selected from the group consisting of formic acid claim 1 , acetic acid claim 1 , malonic acid claim 1 , citric acid claim 1 , propionic acid claim 1 , malic acid claim 1 , adipic acid claim 1 , succinic acid claim 1 , lactic acid claim 1 , oxalic acid claim 1 , hydroxyethylidene diphosphonic acid claim 1 , 2-phosphono-1 claim 1 ,2 claim 1 ,4-butane tricarboxylic acid claim 1 , aminotrimethylene phosphonic acid claim 1 , hexamethylenediamine tetra(methylenephosphonic acid) claim 1 , bis(hexamethylene)triamine phosphonic acid claim 1 , amino acetic acid claim 1 , peracetic acid claim 1 , potassium acetate claim 1 , phenoxyacetic acid claim 1 , glycine claim 1 , bicine claim 1 , diglycolic acid claim 1 , glyceric acid claim 1 , tricine claim 1 , alanine claim 1 , histidine claim 1 , valine claim 1 , phenylalanine claim 1 , proline claim 1 , glutamine claim 1 , aspartic acid claim 1 , glutamic acid claim 1 , ...

Подробнее
14-02-2019 дата публикации

DEOXYGENATION APPARATUS AND SUBSTRATE PROCESSING APPARATUS

Номер: US20190046900A1
Принадлежит:

A deoxygenation apparatus reduces the concentration of dissolved oxygen in a target liquid. The deoxygenation apparatus includes a reservoir for holding the target liquid, a gas supply part for supplying an additive gas different from oxygen into the target liquid in the reservoir, a storage part for storing correlation information indicating the relationship between the concentration of dissolved oxygen in the target liquid and a total supply amount that is a total amount of the additive gas supplied from the gas supply part into the target liquid from when supply was started, and a calculation part for obtaining the concentration of dissolved oxygen in the target liquid on the basis of the total supply amount and the correlation information. The concentration of dissolved oxygen in the target liquid is easily acquired without measuring the concentration of dissolved oxygen in the target liquid with an oxygen analyzer. 1. A substrate processing method for processing a substrate , comprising:a) reducing a concentration of dissolved oxygen in a target liquid; andb) supplying a processing liquid to a substrate, said processing liquid including said target liquid having a concentration of dissolved oxygen that has been reduced by said operation a), andsaid operation a) includesa1) holding a target liquid in a reservoir;a2) supplying an additive gas that is different from oxygen into said target liquid held in said reservoir;a3) obtaining a total supply amount being a total amount of said additive gas supplied into said target liquid from when supply was started; anda4) obtaining the concentration of dissolved oxygen in said target liquid on the basis of said total supply amount and correlation information that indicates a relationship between said total supply amount and the concentration of dissolved oxygen in said target liquid.2. The substrate processing method according to claim 1 , whereinsaid operation a2) includesc) controlling an unit supply amount that is an ...

Подробнее
14-02-2019 дата публикации

Processing Composition of Improved Metal Interconnect Protection and The Use Thereof

Номер: US20190048292A1
Принадлежит:

A semiconductor processing composition for removing residues and/or contaminants from substrate containing Cu, barrier metal and low-k dielectric. The processing composition includes at least one quaternary base, at least one organic amine, at least one surface modifier, at least one antioxidant, at least one complexing agent and balance water. The processing composition provides a sufficient corrosion protection to Cu and metal barrier during process queue time without deteriorating reliability of electronic devices. 1. A processing composition for removing residues and/or contaminants from Cu interconnect containing substrate , wherein the composition compromises at least one quaternary base , at least one organic amine , at least one surface modifier , at least one antioxidant , at least one complexing agent and balance water , wherein the substrate contains Cu and barrier metals as of Co , Ru , W , Mo , Rh , and alloys and nitride thereof , wherein the composition is compatible with the low-k dielectrics , Cu and barrier metals.2. The composition of claim 1 , wherein the quaternary base is preferably selected from tetramethylammonium hydroxide claim 1 , (2-hydroxyethyl) trimethylammonium hydroxide claim 1 , the organic amine preferably selected from monoethanolamine claim 1 , the surface modifier preferably selected from 1 claim 1 ,2 claim 1 ,4-triazole claim 1 , imidazole claim 1 , pyrrole claim 1 , pyrazole claim 1 , the antioxidant preferably selected from ascorbic acid claim 1 , the complexing agent preferably selected from (hydroxyethyl)ethylenediamine triacetic acid (HEDTA) claim 1 , nitrilotriacetic acid claim 1 , wherein the processing composition possesses a PH value in a range of 8-14 claim 1 , more preferably in a range of 10-14 claim 1 , most preferably in a range of 12-14.3. The composition of claim 1 , wherein a preferred composition contains a combination of 0.01 wt % to 15 wt % tetramethylammonium hydroxide claim 1 , 0.01 wt % to 10 wt % ...

Подробнее
14-02-2019 дата публикации

Cleaning solution and cleaning method for a semiconductor substrate or device

Номер: US20190048293A1
Принадлежит: Tokyo Ohka Kogyo Co Ltd

A cleaning solution and a cleaning method for a semiconductor substrate or device, which has particularly excellent cleaning performance for removing a residue or film including an inorganic substance that contains silicon atoms, and that has a high flash point. The cleaning solution contains a water miscible organic solvent, a quaternary ammonium hydroxide, and water. The water miscible organic solvent is a glycol ether based solvent or an aprotic polar solvent having a flash point of 60° C. or greater. The cleaning method includes using the cleaning solution to clean from the semiconductor substrate or the device a residue or film formed on the semiconductor substrate or adhered to the device, the residue or film including at least one of a resist and an inorganic substance that contains silicon atoms.

Подробнее
14-02-2019 дата публикации

CLEANING COMPOSITION AND CLEANING METHOD

Номер: US20190048294A1
Принадлежит: c/o KAKEN TECH Co., Ltd.

Provided are a cleaner composition that can exhibit excellent cleaning ability by including a predetermined amount of water, and can also effectively suppress metal corrosion; and a cleaning method thereof. Disclosed is a cleaner composition in a white turbid state including first to fourth organic solvents and water, in which the first organic solvent is a hydrophobic aromatic compound or the like; the second organic solvent is a hydrophobic monoalcohol compound; the third organic solvent is a predetermined hydrophilic nitrogen-containing compound or the like; the fourth organic solvent is a hydrophilic amine compound; the amount of incorporation of water is adjusted to a value within the range of 50 to 3,900 pbw with respect to 100 pbw of the total amount of the organic solvents, and when the cleaner composition is subjected to phase separation, the water concentration in the oil phase is adjusted to a value of 5 wt. % or less. 1. A cleaner composition for cleaning an object of cleaning in a white turbid state ,the cleaner composition comprising first to fourth organic solvents and water,wherein the first organic solvent is at least one compound selected from the group consisting of a hydrophobic aromatic compound, a hydrophobic terpene-based compound, and a hydrophobic naphthene-based compound, all of the compounds having a solubility value in water (measurement temperature: 20° C.) of 10% by weight or less,the second organic solvent is a hydrophobic monoalcohol compound having a solubility value in water (measurement temperature: 20° C.) of 10% by weight or less,the third organic solvent is a hydrophilic nitrogen-containing compound and a hydrophilic sulfur-containing compound, or any one of the compounds, both the compounds having a solubility value in water (measurement temperature: 20° C.) of 50% by weight or greater,the fourth organic solvent is a hydrophilic amine compound having a solubility value in water (measurement temperature: 20° C.) of 50% by weight ...

Подробнее
13-02-2020 дата публикации

CLEANING FORMULATION FOR REMOVING RESIDUES ON SURFACES

Номер: US20200048584A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid; 3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; 4) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers; 5) at least one quaternary ammonium hydroxide; and 6) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate. 1. A cleaning composition , comprising:1) at least one redox agent;2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid;3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole;4) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers;5) at least one quaternary ammonium hydroxide; and6) water.2. The composition of claim 1 , wherein the pH of the composition is between about 6 and about 11.3. The composition of claim 1 , wherein the at least one redox agent comprises hydroxylamine.4. The composition of claim 1 , wherein the at least one redox agent is from about 0.5% to about 20% by weight of the composition.5. The composition of claim 1 , wherein the polyaminopolycarboxylic acid is selected from the group consisting of mono- or polyalkylene polyamine polycarboxylic acids claim 1 , polyaminoalkane polycarboxylic acids claim 1 , polyaminoalkanol polycarboxylic acids claim 1 , and hydroxyalkylether polyamine polycarboxylic acids.6. The composition of claim 1 , wherein the polyaminopolycarboxylic acid is selected from the group consisting of butylenediaminetetraacetic acid claim 1 , ...

Подробнее
03-03-2022 дата публикации

METHOD OF CLEANING A SURFACE

Номер: US20220068634A1
Принадлежит:

Methods for cleaning a substrate are disclosed. The substrate comprises a dielectric surface and a metal surface. The methods comprise providing a cleaning agent to the reaction chamber. 1. A method for cleaning a substrate , the method comprising:providing a substrate comprising a dielectric and an oxidized metal surface, to a reaction chamber;providing a reducing agent to the reaction chamber, thereby contacting the substrate with the reducing agent and converting the oxidized metal surface to a metal surface;providing an oxidizing agent to the reaction chamber, thereby contacting the substrate with the oxidizing agent and oxidizing any metal contaminants on the dielectric surface, thus forming oxidized metal contaminants; and,providing a cleaning agent to the reaction chamber, thereby contacting the substrate with the cleaning agent and removing the oxidized metal contaminants from the substrate.2. The method according to wherein the oxidizing agent is an oxygen-comprising gas or gas mixture.3. The method according to wherein the oxidizing agent is a gas selected from O claim 1 , O claim 1 , HO claim 1 , HO claim 1 , and mixtures thereof.4. The method according to wherein the reducing agent comprises an alcohol.5. The method according to wherein the cleaning agent comprises a beta diketonate.6. The method according to wherein the cleaning agent comprises a compound that comprises a cyclopentadienyl group.7. The method according to wherein the cleaning agent comprises carbon monoxide.8. The method according to wherein the cleaning agent comprises a carboxylic acid.9. The method according to wherein the step of providing a cleaning agent to the reaction chamber is followed by a step of providing a further oxidizing agent to the reaction chamber.10. The method according to wherein the step of providing a further oxidizing agent to the reaction chamber comprises claim 9 , in the following order claim 9 , providing Oto the reaction chamber in an Opulse and providing ...

Подробнее
25-02-2016 дата публикации

WAFER TREATMENT SOLUTION FOR EDGE-BEAD REMOVAL, EDGE FILM HUMP REDUCTION AND RESIST SURFACE SMOOTH, ITS APPARATUS AND EDGE-BEAD REMOVAL METHOD BY USING THE SAME

Номер: US20160056049A1
Автор: Lin Yu-Hsun
Принадлежит:

The present disclosure provides a wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth. The wafer treatment solution includes a solution and a fluorine-containing additive mixed in the solution. The fluorine-containing additive has a following formula (I): R—X—(CHCHO)—R(I); or a following formula (II): 3. The wafer treatment solution of claim 1 , wherein the fluorine-containing additive has the compound of the formula (I) claim 1 , wherein Ris a C6 perfluoroalkyl group claim 1 , R1 is hydrogen claim 1 , X is CH2O claim 1 , and m is between 1 to 6 claim 1 , so that the chemical structure of the fluorine-containing additive is formula (III):{'br': None, 'sub': 3', '2', '2', '2', '2', '2', '2', '2', '2, 'i': 'm', 'CFCFCFCFCFCFCHO(CHCHO)H\u2003\u2003(III).'}4. The wafer treatment solution of claim 1 , wherein the content of the fluorine-containing additive in the wafer treatment solution is in a range of 0.1-5 wt %.5. The wafer treatment solution of claim 1 , wherein the solution is selected from the group consisting of propylene glycol methyl ether (PGME) claim 1 , propylene glycol methyl ether acetate (PGMEA) claim 1 , cyclohexanol claim 1 , cyclohexanone claim 1 , γ-butyrolactone (GBL) claim 1 , N-methyl-2-pyrrolidone (NMP) claim 1 , n-butyl acetate (NBA) claim 1 , methyl ethyl ketone (MEK) claim 1 , diacetone alcohol (DAA) claim 1 , methyl isobutyl ketone (MIBK) claim 1 , dimethyl sulfoxide (DMSO) claim 1 , water claim 1 , ethanol claim 1 , propanol claim 1 , butanol claim 1 , isopropyl alcohol (IPA) claim 1 , 4-methyl-2-pentanol (MIBC) and a combination thereof.6. The wafer treatment solution of claim 1 , wherein the solution is a mixed solution of PGME and PGMEA claim 1 , and the weight ratio of PGME and PGMEA is 70:30.7. A method for edge-bead removal claim 1 , comprising:forming a photoresist layer on a semiconductor wafer, the semiconductor wafer is positioned on and in contact with a rotatable wafer chuck; and{' ...

Подробнее
03-03-2016 дата публикации

CLEANING AGENT FOR METAL WIRING SUBSTRATE, AND METHOD FOR CLEANING SEMICONDUCTOR SUBSTRATE

Номер: US20160060584A1
Принадлежит: WAKO PURE CHEMICAL INDUSTRIES, LTD.

It is a subject of the present invention to provide a cleaning agent for a substrate having a metal wiring, and a cleaning method for a semiconductor substrate comprising that the cleaning agent is used, by which following effects (1) to (5) are obtained, in a cleaning process after chemical mechanical polishing (CMP) in a manufacturing process of a semiconductor device. (1) Residues of fine particles (polishing agents) used in the CMP process, fine particles (metal particles) derived from a polished metal, an anticorrosive, and the like, can be removed sufficiently. (2) A coating film (protective film: oxidation resistant film) on a surface of the metal wiring, containing a complex between an anticorrosive, such as benzotriazole or quinaldic acid, and a surface metal of the metal wiring, formed in the CMP process, can be removed (stripped) sufficiently. (3) An oxide film containing a metal oxide can be formed after removal (stripping) of the coating film. (4) A semiconductor substrate can be obtained stably for a long period of time, without impairing flatness of the surface of the metal wiring (the surface of the oxide film containing the metal oxide), even leaving a substrate after the cleaning process after the CMP. (5) It is hard to deteriorate even after using the cleaning agent for a long period of time. 1. A cleaning agent for a substrate having a metal wiring , comprising an aqueous solution containing (A) carboxylic acid having a nitrogen-containing heterocyclic ring and (B) alkylhydroxylamine , and having a pH of 10 or higher.2. The cleaning agent according to claim 1 , wherein the (A) carboxylic acid having a nitrogen-containing heterocyclic ring is a carboxylic acid having a nitrogen-containing unsaturated heterocyclic ring.3. The cleaning agent according to claim 1 , wherein the (A) carboxylic acid having a nitrogen-containing heterocyclic ring is a carboxylic acid having a nitrogen-containing unsaturated heterocyclic ring and an amino group.6. The ...

Подробнее
20-02-2020 дата публикации

Method for treating a semiconductor device

Номер: US20200055097A1
Принадлежит: Life Technologies Corp

A method of treating a sensor array including a plurality of sensors and an isolation structure, where a sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array and the isolation structure is disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors, comprises exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier; applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; and rinsing the acid solution from the sensor pad and the isolation structure.

Подробнее
03-03-2016 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20160061773A1
Автор: Ball James A., Reed Brian
Принадлежит:

A sensor array includes a plurality of sensors. A sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array. A method of treating the sensor array includes exposing at least the sensor pad to a wash solution including sulfonic acid and an organic solvent and rinsing the wash solution from the sensor pad. 153.-. (canceled)54. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of sensors having a sensor pad exposed at a surface of the sensor array , the method comprising:exposing at least the sensor pad to a wash solution including acid and an organic solvent; andrinsing the wash solution from the sensor pad.55. The method of claim 54 , wherein the acid includes sulfonic acid.56. The method of claim 55 , wherein the sulfonic acid includes alkyl sulfonic acid claim 55 , alkyl aryl sulfonic acid claim 55 , or a combination thereof.57. The method of claim 56 , wherein the alkyl aryl sulfonic acid includes an alkyl group having between 1 and 20 carbons.58. The method of claim 55 , wherein the sulfonic acid includes dodecyl benzene sulfonic acid.59. The method of claim 54 , wherein the wash solution includes between 10 mM and 500 mM of the acid.60. The method of claim 54 , wherein the wash solution includes between 0.5 wt % and 25 wt % of the acid.61. The method of claim 54 , wherein the organic solvent is non-polar.62. The method of claim 54 , wherein the organic solvent has a normal boiling point in a range of 36° C. to 345° C.63. The method of claim 54 , wherein the organic solvent is an alkane having between 6 and 24 carbons.64. The method of claim 54 , wherein the organic solvent is a polar aprotic solvent.65. The method of claim 64 , wherein the polar aprotic solvent includes tetrahydrofuran claim 64 , ethylacetate claim 64 , acetone claim 64 , dimethylformamide claim 64 , acetonitrile claim 64 , dimethyl sulfoxide claim 64 , N-methyl pyrrolidone claim 64 , or ...

Подробнее
04-03-2021 дата публикации

Treatment Liquid for Semiconductor Wafers, Which Contains Hypochlorite Ions

Номер: US20210062115A1
Принадлежит: Tokuyama Corp

A treatment liquid for cleaning a semiconductor wafer is a treatment liquid contains (A) a hypochlorite ion and (C) a solvent, in which pH at 25° C. is more than 7 and less than 12.0. A method for removing ruthenium and tungsten from a semiconductor wafer and cleaning the semiconductor wafer includes bringing the treatment liquid into contact with the semiconductor wafer containing ruthenium or tungsten is provided.

Подробнее
05-03-2015 дата публикации

GLASS-ELECTRODE RESPONSIVE-GLASS CLEANING LIQUID AND METHOD FOR CLEANING GLASS-ELECTRODE RESPONSIVE-GLASS

Номер: US20150064344A1
Принадлежит:

To maintain a uniform etching rate during cleaning of a responsive glass in a glass electrode, a responsive-glass cleaning liquid for a glass electrode serves to clean a responsive glass used in the glass electrode is used with a hydrated-layer forming solution for forming a hydrated layer on the surface of the responsive glass and contains ammonium hydrogen fluoride having a predetermined concentration, or a salt of a strong base containing hydrofluoric acid and a fluoride ion. 1. A glass-electrode responsive-glass cleaning liquid for cleaning a responsive glass used in a glass electrode , wherein the liquidis used with a hydrated-layer forming solution for forming a hydrated layer on the surface of the responsive glass after cleaning, andcontains ammonium hydrogen fluoride having a predetermined concentration or a salt of a strong base containing hydrofluoric acid and a fluoride ion.2. The glass-electrode responsive-glass cleaning liquid according to claim 1 , whereinthe hydrated-layer forming solution is neutral or acidic.3. The glass-electrode responsive-glass cleaning liquid according to claim 1 , whereinthe responsive-glass cleaning liquid contains ammonium hydrogen fluoride, andthe concentration of ammonium hydrogen fluoride is 4 mass % or less.4. The glass-electrode responsive-glass cleaning liquid according to claim 1 , whereinthe liquid cleans the responsive glass containing a metal oxide as a component.5. A glass-electrode responsive-glass cleaning method comprising a step of cleaning a responsive glass used in a glass electrode by using a responsive-glass cleaning liquid containing ammonium hydrogen fluoride claim 1 , or a salt of a strong base containing hydrofluoric acid and a fluoride ion.6. The glass-electrode responsive-glass cleaning method according to claim 5 , further comprising a hydrated-layer forming step of forming a hydrated layer on the surface of the responsive glass by using a neutral or acidic hydrated-layer forming solution after the ...

Подробнее
28-02-2019 дата публикации

COMPOSITION, COMPOSITION RESERVOIR, AND METHOD FOR PRODUCING COMPOSITION

Номер: US20190062159A1
Автор: KAMIMURA Tetsuya
Принадлежит: FUJIFILM Corporation

An object of the present invention is to provide a composition including hydrogen peroxide, which can be used for semiconductor device manufacturing and which exhibits an excellent storage stability and has a reduced effect of defects on a semiconductor substrate. Further, another object of the present invention is to provide a method for producing the composition including hydrogen peroxide, and a composition reservoir for storing the composition. 1. A composition , comprising:hydrogen peroxide;an acid; anda Fe component,{'sup': −5', '2, 'wherein the content of the Fe component is 10to 10in terms of mass ratio with respect to the content of the acid.'}2. The composition according to claim 1 , further comprising:an anthraquinone compound.3. The composition according to claim 1 , wherein the content of the anthraquinone compound is 0.01 ppb by mass to 1000 ppb by mass with respect to the total mass of the composition.4. The composition according to claim 1 , wherein the content of the acid is 0.01 ppb by mass to 1000 ppb by mass with respect to the total mass of the composition.5. The composition according to claim 1 , wherein the total content of the Fe component is 0.1 ppt by mass to 1 ppb by mass with respect to the total mass of the composition.6. The composition according to claim 1 , wherein the content of Fe particles contained in the Fe component is 0.01 ppt by mass to 0.1 ppb by mass with respect to the total mass of the composition.7. The composition according to claim 1 , further comprising:at least one or more metal components containing a specific atom selected from the group consisting of Ni, Pt, Pd, Cr, Ti, and Al,wherein the content of the metal component is 0.01 ppt by mass to 10 ppb by mass with respect to the total mass of the composition for each specific atom.8. The composition according to claim 1 , further comprising:at least one or more metal components containing a specific atom selected from the group consisting of Ni, Pt, Pd, and Al,wherein ...

Подробнее
10-03-2016 дата публикации

CAUSTIC FREE LOW TEMPERATURE WARE WASH DETERGENT FOR REDUCING SCALE BUILD-UP

Номер: US20160068789A1
Принадлежит:

Caustic-free detergent compositions are provided. Detergent compositions including an aminocarboxylate, water conditioning agent, non-caustic source of alkalinity and water beneficially do not require the use of additional surfactants and/or polymers to provide suitable detergency and prevent scale build-up on treated surfaces. The detergent compositions are used with a sanitizer to employ the caustic-free detergent compositions are particularly suitable for use as low temperature ware wash detergents that beneficially reduce scale build-up. Methods of employing the caustic-free detergent compositions are also provided. 120-. (canceled)21. A caustic-free detergent composition comprising:from about 0.1-15 wt-% of an aminocarboxylate;from about 0.1-15 wt-% of a polycarboxylate water conditioning polymer;from about 0.1-15 wt-% of an additional sequestrants, wherein the sequestrants is a phosphonate;from about 10-60 wt-% of a non-caustic alkalinity source;from about 20-80 wt-% of water,wherein the composition is caustic-free.22. The composition of claim 21 , wherein the aminocarboxylate comprises methylglycinediacetic acid (MGDA) claim 21 , glutamic acid-N claim 21 ,N-diacetic acid (GLDA) claim 21 , diethylenetriaminepentaacetic acid (DTPA) claim 21 , diethylenetriaminepentaacetic acid claim 21 , N hydroxyethyl ethylenediaminetriacetic acid (HEDTA) claim 21 , ethylenediaminetetraacetic acid (EDTA) claim 21 , or combinations thereof.23. The composition of claim 22 , wherein the aminocarboxylate is methylglycinediacetic acid or trisodium salt of methylglycinediacetic acid.24. The composition of claim 21 , wherein the polycarboxylate water conditioning polymer is a polyacrylate claim 21 , polycarboxylate or polycarboxylic acid and wherein the sequestrant is a condensed phosphate claim 21 , phosphonate or organic phosphonate.25. The composition of claim 21 , wherein the polycarboxylate water conditioning polymer is a polyacrylic acid polymer.26. The composition of claim 21 ...

Подробнее
09-03-2017 дата публикации

Semiconductor cleaning process system and methods of manufacturing semiconductor devices

Номер: US20170069513A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A semiconductor cleaning process system includes a process chamber configured to hold a semiconductor substrate, a cleaning solution supply unit configured to provide a cleaning solution to the process chamber, the cleaning solution including an organic fluoride, an organic acid and an organic solvent, a recycling unit configured to collect the cleaning solution discharged from the process chamber, a first concentration measuring unit configured to evaluate a fluorine concentration of a collected solution in the recycling unit, and a sub-cleaning solution supply unit configured to provide the organic fluoride to the cleaning solution supply unit based on the fluorine concentration evaluated by the first concentration measuring unit.

Подробнее
19-03-2015 дата публикации

METHODS FOR THE SELECTIVE REMOVAL OF ASHED SPIN-ON GLASS

Номер: US20150075570A1
Принадлежит: ENTEGRIS, INC.

A semi-aqueous removal composition and process for selectively removing spin-on glass relative to a metal gate and/or ILD material from a microelectronic device having said material thereon. The semi-aqueous removal composition can be a fluoride-containing composition or an alkaline composition. 1. A method of selectively removing spin-on glass relative to a material selected from the group consisting of metal gate material , ILD material , and combinations thereof , said method comprising contacting a substrate comprising the spin-on glass and the material with a removal composition , wherein the removal composition selectively removes the spin-on glass relative to the material.2. The method of claim 1 , wherein the metal gate material comprises titanium.3. The method of claim 1 , wherein the ILD material comprises low-k dielectric material.4. The method of claim 1 , wherein the removal rate of the metal gate material is less than about 2 Å min.5. The method of claim 1 , wherein the removal rate of the ILD is less than about 50 Å min.6. The method of claim 1 , wherein the removal rate of SOG is in a range from about 500 to about 2000 Å min.7. The method of claim 1 , wherein the removal composition comprises at least one fluoride claim 1 , at least one metal corrosion inhibitor claim 1 , water claim 1 , and at least one organic solvent.8. The method of claim 7 , wherein the pH of the removal composition is less than about 7.9. The method of claim 7 , wherein the at least one fluoride source comprises a species selected from the group consisting of hydrofluoric acid claim 7 , ammonium fluoride claim 7 , ammonium bifluoride claim 7 , hexafluorosilicic acid (HFSA) claim 7 , ammonium hexafluorosilicate claim 7 , tetrafluoroboric acid claim 7 , ammonium tetrafluoroborate claim 7 , tetrabutylammonium tetrafluoroborate (TBA-BF) claim 7 , hexafluorotantalic acid claim 7 , ammonium hexafluorotantalate claim 7 , and combinations thereof.10. The method of claim 7 , wherein the ...

Подробнее
07-03-2019 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20190070640A1
Принадлежит:

A method of treating a sensor array including a plurality of sensors and an isolation structure, where a sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array and the isolation structure is disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors, comprises exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier; applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; and rinsing the acid solution from the sensor pad and the isolation structure. 1. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of the sensors including a sensor pad , a well structure defining a well array corresponding with the sensor array , a well of the well array exposing the sensor pad , a lid attached over the sensor array and the well structure and including an fluid port , a space defined between the lid and the well structure , the method comprising:applying a treatment solution through the fluid port into the space and waiting for a first period between 30 seconds and 30 minutes, the treatment solution including an organo-silicon compound, an acid and an organic solvent;applying a basic solution through the fluid port into the space and waiting for a second period between 20 seconds and 15 minutes; andapplying a rinse solution through the fluid port.2. The method of claim 1 , wherein the organo-silicon compound includes a silane functionalized with an aryl claim 1 , polyaryl claim 1 , alkyl claim 1 , alkoxy claim 1 , halo claim 1 , or cyano moiety claim 1 , or any combination thereof.3. The method of claim 5 , wherein the silane is selected from the group consisting of phenyldimethylchlorosilane claim 5 , tert-butylchlorodiiphenylsilane claim 5 , chlorotripropylsilane claim 5 , (N claim 5 ,N- ...

Подробнее
17-03-2016 дата публикации

LOW=VOC CLEANING SUBSTRATES AND COMPOSITIONS

Номер: US20160075978A1
Принадлежит: The Clorox Company

A cleaning composition for sanitizing and/or disinfecting hard surfaces, comprising: a cationic biocide, surfactant and low levels of VOC solvents. The cleaning composition is adapted to clean a variety of hard surfaces without leaving behind a visible residue and creates low levels of streaking and filming on the treated surface. The cleaning composition contains less than 5% by weight of VOCs. The cleaning composition may be used alone as a liquid or spray formulation or in combination with a substrate, for example, a pre-loaded cleaning wipe. 1. A cleaning composition consisting of:i. about 0.05-5% by weight of one or more cationic biocide,ii. about 0.01-5% by weight of one or more surfactant,iii. about 0.05-5% by weight of a first solvent comprising a glycol ether,iv. about 0.01-2% by weight of a second solvent selected form the group consisting of: alcohols, diols, C1-10 alkyl ethers of alkylene glycols, C3-24 alkylene glycol ethers; polyalkylene glycols, short chain carboxylic acids, short chain esters, isoparaffinic hydrocarbons, mineral spirits, alkylaromatics, terpenes, terpene derivatives, terpenoids, terpenoid derivatives, formaldehyde, pyrrolidones, water, and any mixtures or combinations thereof; andv. optionally, one or more adjuncts selected from the group consisting of: buffers, fragrances, perfumes, builders, stabilizers, defoamers, thickeners, hydrotropes, biocide release agents, anti-microbial compounds, enzymes, bleaching agents, cloud point modifiers, and preservatives.2. The cleaning composition as defined in claim 1 , wherein the composition further comprises a buffer.3. The cleaning composition as defined in claim 1 , wherein the composition further comprises a fragrance.4. The cleaning composition as defined in claim 1 , wherein said second solvent comprises an alcohol.5. The cleaning composition as defined in claim 1 , wherein said surfactant comprises a non-ionic surfactant.6. The cleaning composition as defined in claim 1 , wherein said ...

Подробнее
24-03-2022 дата публикации

SAFE FORMULATION FOR A MULTI-PURPOSE CLEANING SOLUTION

Номер: US20220089973A1
Принадлежит: inShield Wiper, LLC

Disclosed herein is a safe formulation for a multi-purpose cleaning solution. Each ingredient included in the formulation may be on the EPA Safer Ingredients List. The formulation may be used to clean a variety of surfaces including glass and sensitive surfaces such as electronic screens, touchscreens, computer monitors and flat screen TVs. The formulation may also be used to clean a variety of other surfaces including stainless steel, dry erase boards, and composite materials incorporated into household surfaces and interior and exterior surfaces of automobiles, planes, boats, and the like. The formulation may also be used to clean interior and exterior surfaces of campers, trailers, satellite lenses, hospitals, offices, schools, and other places having multiple surfaces. 1. A safe formulation for a multi-purpose cleaning solution comprising:one or more natural cleaning agents;one or more preservatives;one or more solvents; andoptionally, one or more surfactants.2. The formulation of claim 1 , further comprising:one or more degreasers.3. The formulation of claim 2 , wherein the one or more degreasers include (d)-Limonene.4. The formulation of claim 2 , wherein a total volume of the one or more degreasers is limited to less than 3% wt of a total volume of the safe formulation.5. The formulation of claim 1 , wherein the one or more natural cleaning agents include a blend including at least one natural cleaning agent and at least one fragrance.6. The formulation of claim 5 , wherein the blend includes an amount of at least one of an orange essence oil or an amount of citrus fragrance.7. The formulation of claim 1 , wherein a total volume of the one or more natural cleaning agents is between 0.01% wt and 2% wt of a total volume of the safe formulation.8. The formulation of claim 1 , wherein the one or more preservatives include at least one of Bioban 425 claim 1 , leucidal SF Max claim 1 , and acticide LPNS.9. The formulation of claim 1 , wherein the one or more ...

Подробнее
24-03-2022 дата публикации

Composition for surface treatment, method for producing the same, surface treatment method, and method for producing semiconductor substrate

Номер: US20220089981A1
Принадлежит: Fujimi Inc

The present invention provides a means capable of improving a residue removing effect and improving storage stability in a composition for surface treatment which is used for reducing residues on a surface of an object to be polished after being polished chemical mechanical polishing. The present invention relates to a composition for surface treatment, wherein the composition contains a solvent and a dissolved gas, a concentration of the dissolved gas is 0.01 mg/L or more and 10 mg/L or less with respect to a total volume of the composition and the composition is used for reducing residues on a surface of an object to be polished after being polished by chemical mechanical polishing.

Подробнее
15-03-2018 дата публикации

COMPOSITIONS AND METHODS THAT PROMOTE CHARGE COMPLEXING COPPER PROTECTION DURING LOW PKA DRIVEN POLYMER STRIPPING

Номер: US20180074408A1
Автор: Moore John Cleaon
Принадлежит:

The present invention is a charge complexing chemical composition that protects metal during polymer removal. The polymer coatings include crosslinked systems by chemical-amplification and photoacid generated (PAG) means as in epoxies. The system includes a solvent, a charge complexing additive, and an acid that creates a protective complex for sensitive metals during the dissolving and rinsing practice needed for processing microelectronic parts. The composition can be utilized with a method for removing partial and fully cured crosslinked coatings that originate from chemical amplification or PAG-epoxy photoimageable coatings. 1. A composition that creates a charge complex with metals as a means of protection during a polymer coating removal process , comprising:a solvent;an organic acid having a pKa<3; andan additive exhibiting charge complexing character.2. The composition according to claim 1 , wherein said solvent is tetrahydrofurfuryl alcohol (THFA).3. The composition according to claim 2 , wherein THFA is present at concentrations of >40% w/w.4. The composition according to claim 1 , wherein the organic acid of pKa<3 is selected from the group consisting of carboxylic acid and sulfonic acid.5. The composition according to claim 4 , wherein the carboxylic acid is selected from the group consisting of oxalic acid and maleic acid.6. The composition according to claim 5 , wherein the carboxylic acid is maleic acid and is present at concentrations of 0.25-1 molar.7. The composition according to claim 4 , wherein the sulfonic acid is selected from the group consisting of methanesulfonic acid claim 4 , para-toluenesulfonic acid claim 4 , and dodecylbenzenesulfonic acid.8. The composition according to claim 7 , wherein the sulfonic acid is dodecylbenzenesulfonic acid and is present at concentrations of 0.25-1 molar.9. The composition according to claim 1 , wherein said additive exhibiting charge complexing character is selected from the group consisting of ...

Подробнее
05-03-2020 дата публикации

THINNER COMPOSITION

Номер: US20200071640A1
Принадлежит:

A thinner composition is capable of reducing the amount of photoresist used in a reducing resist consumption (RRC) coating process, an edge bead removed (EBR) process or the like, and removing unnecessary photoresist on an edge portion or a backside portion of the wafer. The thinner composition includes C-Calkyl C-Calkoxy propionate, propylene glycol C-Calkyl ether, and propylene glycol C-Calkyl ether acetate. 1. A method of removing photoresist on a substrate using a thinner composition comprising C-Calkyl C-Calkoxy propionate , propylene glycol C-Calkyl ether , and propylene glycol C-Calkyl ether acetate.2. The method of claim 1 , wherein the thinner composition comprises 20 to 45% by weight of C-Calkyl C-Calkoxy propionate claim 1 , 5 to 55% by weight of propylene glycol C-Calkyl ether claim 1 , and 20 to 60% by weight of propylene glycol C-Calkyl ether acetate claim 1 , based on the total weight of the thinner composition.3. The method of claim 1 , wherein the C-Calkyl C-Calkoxy propionate is any one or a mixture of two or more selected from the group consisting of methyl methoxy propionate claim 1 , methyl ethoxy propionate claim 1 , ethyl methoxy propionate claim 1 , and ethyl ethoxy propionate.4. The method of claim 1 , wherein the propylene glycol C-Calkyl ether is at least one selected from the group consisting of propylene glycol methyl ether claim 1 , propylene glycol ethyl ether claim 1 , propylene glycol propyl ether claim 1 , and propylene glycol butyl ether.5. The method of claim 1 , wherein the propylene glycol C-Calkyl ether acetate is at least one selected from the group consisting of propylene glycol methyl ether acetate claim 1 , propylene glycol ethyl ether acetate claim 1 , propylene glycol propyl ether acetate claim 1 , propylene glycol isopropyl ether acetate claim 1 , and propylene glycol butyl ether acetate.6. The method of claim 1 , wherein the thinner composition further comprises at least one selected from C-Calkyl hydroxyisobutyrate and ...

Подробнее
16-03-2017 дата публикации

Tin pull-back and cleaning composition

Номер: US20170076939A1
Принадлежит: BASF SE

The present invention relates to a novel composition that may be used to control the etching rate of TIN with respect to W, and remove any residues from the surface, e.g. organic or inorganic residues that could contain fluorine (F), which composition comprises a) an aliphatic or aromatic sulfonic acid; b) one or more inhibitor(s); c) an aprotic solvent; d) a glycol ether; and e) water. The present invention also relates to a kit comprising said composition in combination with an oxidant and optionally a stabilizer of the oxidant, and the use thereof.

Подробнее
18-03-2021 дата публикации

PHOTORESIST REMOVER COMPOSITIONS

Номер: US20210080833A1
Автор: LIN Guanyang, WU Hengpeng
Принадлежит:

The present invention relates to a composition consisting essentially of an alkylbenzenesulfonic acid having structure (I) (wherein n is an integer from 0 to 16); a solvent which is either selected from the group consisting of solvents having structures (II), (wherein R is selected from the group consisting of —(—O—CH—CH—)—OH, —OH, —O—C(═O)—CH, wherein n′ is equal to 1, 2, 3, or 4), a solvent having structure (III), a solvent having structure (IV), and a solvent having structure (V), or a solvent mixture, of at least two solvents selected from this group. In another embodiment, the composition also consists of, additionally, a surfactant component. This invention also relates to using either of these compositions to remove a patterned photoresist from a substrate. 4. (canceled)5. The composition of claim 2 , wherein for said alkylbenzenesulfonic acid n is an integer from 8 to 16.6. (canceled)7. The composition of claim 2 , wherein for said alkylbenzenesulfonic acid n is an integer from 8 to 10.9. The composition of claim 2 , wherein for said alkylbenzenesulfonic acid n is an integer from 0 to 10.10. (canceled)11. The composition of claim 2 , wherein for said alkylbenzenesulfonic acid n is an integer from 0 to 2.14. (canceled)15. The composition of claim 13 , wherein nb is an integer from 8 to 10.17. (canceled)18. The composition of claim 13 , wherein nb is an integer from 0 to 5.20. The composition of claim 2 , wherein said solvent is a single solvent which has a structure structures (II).21. (canceled)23. The composition of claim 2 , wherein said solvent is a mixture of at least two solvents having structure (IIa) having different values of n′ 1 claim 2 , 2 claim 2 , 3 claim 2 , or 4.2427.-. (canceled)33. A process comprising the steps;{'claim-ref': {'@idref': 'CLM-00002', 'claim 2'}, 'i) heating the composition of , at a temperature which is from about room temperature to about 100° C.;'}ii) dipping a substrate coated with a patterned photoresist film into said ...

Подробнее
31-03-2022 дата публикации

Surface treatment composition, method for producing surface treatment composition, surface treatment method, and method for producing semiconductor substrate

Номер: US20220098523A1
Принадлежит: Fujimi Inc

Provided is a means capable of sufficiently removing organic residues on the surface of an object to be polished after polishing. A surface treatment composition includes a polymer having a building block represented by Formula (1) in [Chemical Formula 1], a chelating agent, and water and is used to treat the surface of an object to be polished after polishing, and the chelating agent has at least one of a phosphonic acid group and a carboxylic acid group. In Formula (1), R 1 is a hydrocarbon group having 1 to 5 carbon atoms; and R 2 is a hydrogen atom or a hydrocarbon group having 1 to 3 carbon atoms.

Подробнее
14-03-2019 дата публикации

SUBSTRATE CLEANING COMPOSITION, SUBSTRATE TREATING METHOD, AND SUBSTRATE TREATING APPARATUS

Номер: US20190080902A1
Принадлежит: SEMES CO., LTD.

Disclosed are an anhydrous substrate cleaning composition, a substrate treating method, and a substrate treating apparatus. The anhydrous substrate cleaning composition includes an etching composite that provides fluorine, a solvent that dissolves the etching composite, and a binder that is a composite including phosphorous. 1. A substrate cleaning composition for treating a substrate , comprising:a co-solvent; and {'br': None, 'sub': 3', '3', '2', 'n-1, 'i': ',n', 'O═P—(O—R),R:CH—(CH):a natural number.\u2003\u2003[Chemical formula 1]'}, 'a binder comprising a compound of chemical formula 1, dimethyl sulfite, diethyl sulfite, or a combination thereof'}2. The substrate cleaning composition of claim 1 , wherein the binder is trimethyl phosphate.3. The substrate cleaning composition of claim 1 , further comprising:an etching compound including fluorine.4. The substrate cleaning composition of claim 3 , wherein the etching compound includes hydrogen fluoride.5. The substrate cleaning composition of claim 1 , wherein the co-solvent is alcohol.6. The substrate cleaning composition of claim 1 , wherein the co-solvent is isopropyl alcohol claim 1 , methanol claim 1 , ethanol claim 1 , or a combination thereof.7. The substrate cleaning composition of claim 1 , wherein the weight percent of the co-solvent is 45 to 97 wt % claim 1 , and the weight percent of trimethyl phosphate is 3 to 55 wt %.8. The substrate cleaning composition of claim 3 , wherein the weight percent of the etching compound is 0.1 to 1 wt % claim 3 , the weight percent of the co-solvent is 45 to 97 wt % claim 3 , and the weight percent of the binder is 3 to 55 wt %.9. The substrate cleaning composition of claim 3 , wherein the weight percent of the etching compound is less than 1 wt % claim 3 , and the weight ratio of the co-solvent and the binder is 1:1.10. The substrate cleaning composition of claim 1 , wherein the substrate cleaning composition removes particles of sizes of nanoscales (not more than 100 ...

Подробнее
25-03-2021 дата публикации

LIQUID COMPOSITION FOR REDUCING DAMAGE OF COBALT, ALUMINA, INTERLAYER INSULATING FILM AND SILICON NITRIDE, AND WASHING METHOD USING SAME

Номер: US20210087501A1
Принадлежит: MITSUBISHI GAS CHEMICAL COMPANY, INC.

The present invention relates to: a liquid composition suitable for the washing of a semiconductor element provided with a low-dielectric-constant interlayer insulating film; and a method for washing a semiconductor element. The liquid composition according to the present invention is characterized by containing tetrafluoroboric acid (A) in an amount of 0.01 to 30% by mass, or boric acid (B1) and hydrogen fluoride (B2) at a (boric acid)/(hydrogen fluoride) ratio of (0.0001 to 5.0/by mass)/(0.005 to 5.0% by mass), and having a pH value of 0.0 to 4.0. The liquid composition according to the present invention can reduce the damage of a low-dielectric-constant interlayer insulating film, cobalt or a cobalt alloy, alumina, a zirconia-based hard mask and a silicon nitride during the process of producing a semiconductor integrated circuit, and accordingly can be used suitably for removing dry etching residues occurring on the surface of the semiconductor integrated circuit. 1: A liquid composition , which comprises tetrafluoroboric acid (A) in a concentration of 0.01 to 30% by mass or boric acid (B1) and hydrogen fluoride (B2) in a ratio (B1)/(B2) of (0.0001 to 5.0% by mass)/(0.005 to 5.0% by mass) , and which has a pH of 0.0 to 4.0.2: The liquid composition of claim 1 , which comprises (A) and (B2) in a ratio (A)/(B2) of (0.01 to 30% by mass)/(0.005 to 5.0% by mass).3: The liquid composition of claim 1 , which comprises (A) claim 1 , (B1) and (B2) in a ratio (A)/(B1)/(B2) of (0.01 to 30% by mass)/(0.0001 to 5.0% by mass)/(0.005 to 5.0% by mass).4: The liquid composition of claim 1 , wherein the concentration of (A) is 0.3 to 15% by mass.5: The liquid composition of claim 1 , wherein a concentration of (B1) is 0.0003 to 3.5% by mass.6: The liquid composition of claim 1 , wherein a concentration of (B2) is 0.02 to 2.0% by mass.7: The liquid composition of claim 1 , which comprises a benzotriazole compound (C) in a concentration of 0.01 to 10% by mass.8: The liquid ...

Подробнее
29-03-2018 дата публикации

DECONTAMINATION AND CLEANING PROCESS FOR HYDROCARBON CONTAMINATED EQUIPMENT

Номер: US20180085791A1
Автор: Montgomery Blake
Принадлежит: Kixmon Solutions, LLC

The present invention relates to a process for removing noxious gases and cleaning hydrocarbon contaminants from equipment at a refinery, plant, or other facility during a turnaround period. The process includes injecting an aromatic solvent into the contaminated equipment with a gas stream, such as steam, and an additive. The combination of the steam and the chemical blend of solvent and additive dissolves harmful hydrocarbon material and removes noxious vapors from the equipment in a manner that is faster, more efficient, and can be performed at a significantly lower cost. 1. A process for the decontamination of equipment taken out of service , comprising:injecting a gas stream into the equipment, wherein the equipment has an internal surface;injecting at least one solvent into the equipment in the presence of the gas stream, wherein the solvent has a Kauri-butanol (Kb) value of 80 or greater;injecting at least one surfactant into the equipment in the presence of the gas stream and the solvent;allowing the gas stream, solvent, and surfactant to condense on at least 85 percent of the internal surface to form a condensed liquid; andremoving the condensed liquid from the equipment.2. The process of claim 1 , wherein the solvent is selected from the group consisting of xylene claim 1 , benzene claim 1 , alkylbenzene claim 1 , toluene claim 1 , and mixtures thereof.3. The process of claim 1 , wherein the solvent is an aromatic claim 1 , aliphatic claim 1 , paraffinic claim 1 , naphthenic claim 1 , polymeric claim 1 , phenolic claim 1 , or halogenated hydrocarbon compound.4. The process of claim 1 , wherein the gas stream comprises steam.5. The process of claim 4 , wherein the solvent is injected into the equipment in an amount of about 0.010 pounds to about 0.40 pounds per pound of steam.6. The process of claim 1 , wherein the surfactant has a hydrophilic-lipophilic balance (HLB) ranging from about 6 to about 14.7. The process of claim 1 , further comprising: atomizing ...

Подробнее
05-05-2022 дата публикации

CLEANING LIQUID COMPOSITION FOR SEMICONDUCTOR WAFER AND CLEANING METHOD USING SAME

Номер: US20220135903A1
Принадлежит:

The present disclosure relates to a semiconductor wafer cleaning composition for used in a semiconductor device manufacturing process and to a method of cleaning a semiconductor wafer using the cleaning composition. The cleaning composition includes surfactants represented by Formula 1 and Formula 2, respectively, an organic or inorganic acid, and water occupying for the remaining proportion. The cleaning method is a method of immersing a semiconductor wafer in the cleaning composition for 100 to 500 seconds. The cleaning composition and the cleaning method according to the present disclosure provide an incredibility improved removal rate and an effective cleaning power for contaminants, especially organic wax, during a process of polishing the surface of a wafer used to manufacture semiconductor devices, thereby providing a super-cleaned wafer surface, resulting in production of reliable semiconductor devices.

Подробнее
05-05-2022 дата публикации

CLEANING AGENT COMPOSITION AND CLEANING METHOD

Номер: US20220135913A1
Принадлежит: NISSAN CHEMICAL CORPORATION

A cleaning agent composition for use in removal of a polysiloxane adhesive remaining on a substrate containing a tetrahydrocarbylammonium fluoride and an organic solvent, wherein the organic solvent contains a lactam compound represented by formula (1) and a ring-structure-having ether compound including at least one species selected from among a cyclic ether compound, a cycloalkyl (chain alkyl) ether compound, a cycloalkyl (branched alkyl) ether compound, and a di(cycloalkyl) ether compound.

Подробнее
05-05-2022 дата публикации

Cleaning agent composition and cleaning method

Номер: US20220135914A1
Принадлежит: Nissan Chemical Corp

A cleaning agent composition for use in removal of an adhesive residue, the composition containing a quaternary ammonium salt and a solvent, wherein the solvent consists of an organic solvent, and the organic solvent includes an N,N,N′,N′-tetra(hydrocarbyl)urea.

Подробнее
30-03-2017 дата публикации

METHOD AND APPARATUS FOR DRYING SEMICONDUCTOR SUBSTRATES USING LIQUID CARBON DIOXIDE

Номер: US20170092484A1
Принадлежит:

Method and apparatus for rinsing and drying a semiconductor substrate having a first rinse liquid such as water on the substrate in a substrate processing system. The method includes dispensing onto the substrate liquid carbon dioxide to displace any liquid present on the substrate and to dry the substrate. The apparatus includes a chamber for rinsing and drying the substrate. 1. A method for rinsing and drying a substrate having a first rinse liquid on the substrate in a substrate processing system , comprising:{'sub': '2', 'dispensing onto the substrate liquid carbon dioxide (CO), to displace any liquid present on the substrate and to dry the substrate.'}2. The method of claim 1 , wherein the step of dispensing liquid COfurther comprises dispensing a second rinse liquid along with the liquid CO.3. The method of claim 2 , wherein the second rinse liquid comprises one or more organic solvents selected from the group consisted of isopropyl alcohol claim 2 , ethanol claim 2 , ketone claim 2 , acetic acid claim 2 , acetone claim 2 , acetonitrile claim 2 , 1-butanol claim 2 , 2-butanol claim 2 , 2-butanone claim 2 , t-butyl alcohol claim 2 , diethylene glycol claim 2 , diethyl ether claim 2 , diethylene glycol dimethyl ether (diglyme) claim 2 , 1 claim 2 ,2-dimethoxy-ethane (glyme claim 2 , DME) claim 2 , dimethyl-formamide (DMF) claim 2 , dimethyl sulfoxide (DMSO) claim 2 , 1 claim 2 ,4-dioxane claim 2 , ether claim 2 , ethyl acetate claim 2 , ethylene glycol claim 2 , glycerin claim 2 , hexamethylphosphoramide (HMPA) claim 2 , hexamethylphosphorous triamide (HMPT) claim 2 , methanol claim 2 , methyl t-butyl ether (MTBE) claim 2 , N-methyl-2-pyrrolidinone (NMP) claim 2 , nitromethane claim 2 , 1-propanol claim 2 , 2-propanol claim 2 , and tetrahydrofuran (THF).4. The method of claim 2 , wherein the temperature of the liquid COis less than the boiling temperature of the second rinse liquid.5. The method of claim 1 , further comprising:{'sub': '2', 'dispensing a third ...

Подробнее
19-03-2020 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20200088677A1
Автор: Ball James A., Reed Brian
Принадлежит:

A sensor array includes a plurality of sensors. A sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array. A method of treating the sensor array includes exposing at least the sensor pad to a wash solution including sulfonic acid and an organic solvent and rinsing the wash solution from the sensor pad. 1. A method of treating a sensor array , the sensor array including a plurality of sensors , a sensor of the plurality of the sensors including a sensor pad , a well structure disposed over the sensor array and defining wells of a well array corresponding with the sensor array , a well of the well array providing an opening to the sensor pad , a cap attached over the sensor array and the well structure and including an fluid port and a space defined between the cap and the well structure , the method comprising:applying a wash solution through the fluid port into the space, the wash solution including acid and an organic solvent;applying a basic solution through the fluid port into the space; andapplying a rinse solution through the fluid port.2. The method of claim 1 , further comprising repeating applying the wash solution claim 1 , applying the basic solution claim 1 , and applying the rinse solution.3. The method of claim 1 , wherein the acid includes sulfonic acid.4. The method of claim 3 , wherein the sulfonic acid includes alkyl sulfonic acid claim 3 , alkyl aryl sulfonic acid claim 3 , or a combination thereof.5. The method of claim 4 , wherein the alkyl aryl sulfonic acid includes an alkyl group having between 1 and 20 carbons.6. The method of claim 5 , wherein the alkyl group has between 9 and 18 carbons.7. The method of claim 5 , wherein the alkyl group has between 1 and 6 carbons.8. The method of claim 3 , wherein the sulfonic acid includes dodecyl benzene sulfonic acid.9. The method of claim 3 , wherein the sulfonic acid includes para toluene sulfonic acid.10. The method of claim 1 , wherein the wash solution includes ...

Подробнее
05-04-2018 дата публикации

ANHYDROUS SUBSTRATE CLEANING COMPOSITION, SUBSTRATE TREATING METHOD, AND SUBSTRATE TREATING APPARATUS

Номер: US20180093306A1
Принадлежит:

Disclosed are an anhydrous substrate cleaning composition, a substrate treating method, and a substrate treating apparatus. The substrate cleaning composite includes an etching compound that provides a component for treating a substrate, and a solvent that dissolves the etching compound, wherein the substrate cleaning composite is an anhydrous composite that does not include water. 1. A substrate cleaning composite comprising:an etching compound that provides a component for treating a substrate; anda solvent that dissolves the etching compound,wherein the substrate cleaning composite is an anhydrous composite that does not include water.2. The substrate cleaning composite of claim 1 , wherein the etching compound includes fluorine.3. The substrate cleaning composite of claim 1 , wherein the etching compound is ammonium fluoride.4. The substrate cleaning composite of claim 1 , wherein the etching compound is hydrogen fluoride.5. The substrate cleaning composite of claim 1 , wherein the solvent is acetic anhydride.6. The substrate cleaning composite of claim 1 , wherein the solvent is acetic acid.7. The substrate cleaning composite of claim 1 , wherein the solvent is propylene carbonate.8. The substrate cleaning composite of claim 1 , further comprising:a phase change assistant material that improves a solubility of a supercritical fluid.9. The substrate cleaning composite of claim 8 , wherein the phase change assistant material is alcohol.10. The substrate cleaning composite of claim 8 , wherein the phase change assistant material is isopropyl alcohol.11. The substrate cleaning composite of claim 8 , wherein the phase change assistant material is methanol.12. The substrate cleaning composite of claim 8 , wherein the phase change assistant material is ethanol.13. The substrate cleaning composite of claim 1 , further comprising:a binder that prevents particles separated from the substrate from being attached to the substrate again.14. The substrate cleaning composite ...

Подробнее
12-05-2022 дата публикации

CLEANING FORMULATION FOR REMOVING RESIDUES ON SURFACES

Номер: US20220145222A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid; 3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; 4) at least one pH adjusting agent, the pH adjusting agent being a base free of a metal ion; and 5) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate. 1. A cleaning composition , comprising:1) hydroxylamine in an amount of from about 0.5% to about 20% by weight of the composition;2) a chelating agent comprising at least two nitrogen containing groups, wherein the at least two nitrogen groups comprise a primary amino group and a secondary amino group, and the chelating agent is in an amount of from about 0.01% to about 1.8% by weight of the composition;3) an alkylene glycol; and4) water;wherein the composition has a pH of from about 7 to about 11.2. The composition of claim 1 , wherein the composition is non-corrosive.3. The composition of claim 1 , wherein the hydroxylamine is in an amount of from about 5% to about 15% by weight of the composition.4. The composition of claim 1 , wherein the chelating agent is in an amount of from about 0.01% to about 1% by weight of the composition.5. The composition of claim 1 , wherein the alkylene glycol is in an amount of at most about 30% by weight of the composition.6. The composition of claim 1 , wherein the alkylene glycol is in an amount of at most about 20% by weight of the composition.7. The composition of claim 1 , wherein the alkylene glycol is in an amount of at least about 1% by weight of the composition.8. The composition of claim 1 , wherein the composition comprises a metal corrosion inhibitor.9. The composition of claim 8 , wherein the metal corrosion inhibitor comprises a substituted or unsubstituted benzotriazole.10. The ...

Подробнее
16-04-2015 дата публикации

Silicon Wafer Cleaning Agent

Номер: US20150101643A1
Принадлежит:

A silicon wafer cleaning agent includes at least a water-based cleaning liquid, and a water-repellent cleaning liquid for providing water-repellent to an uneven pattern at least at recessed portions during a cleaning process. The water-repellent cleaning liquid is a liquid composed of a water-repellent compound containing a reactive moiety which is chemically bondable to Si in the silicon wafer, and a hydrophobic group, or is a liquid wherein 0.1 mass % or more of the water-repellent compound relative to the total quantity of 100 mass % of the water-repellent cleaning liquid and an organic solvent are mixed and contained therein. A cleaning process wherein a pattern collapse is easily induced can be improved by using the cleaning agent. 110-. (canceled)12. A cleaning method for a surface of a silicon wafer by using a cleaning agent for substituting a cleaning liquid retained in recessed portions of a silicon wafer having a finely uneven pattern at its surface with another cleaning liquid , said cleaning agent comprising:a) a water-based first cleaning liquid; and [ a water-repellent compound having a reactive moiety chemically bondable to Si in the silicon wafer and a hydrophobic group; and', 'an organic solvent,, 'i) a liquid that contains, 'or', said water-repellent compound in an amount of 0.1-50 mass % relative to the total quantity of 100 mass % of the water-repellent second cleaning liquid, and', 'an organic solvent;, 'ii) a liquid that contains], 'b) a water-repellent second cleaning liquid for imparting water repellency at least to the recessed portions of the uneven pattern during a cleaning process, said water-repellent second cleaning liquid comprisingwhereinthe water-repellent second cleaning liquid is retained in the recessed portions formed at the surface of the silicon wafer to provide said recessed portions with water repellency;{'sup': '2', 'any water retained in the recessed portions has a capillary force not higher than 2.1 MN/m; and'} [ [{'br': ...

Подробнее
28-03-2019 дата публикации

SURFACE TREATMENT COMPOSITION, PREPARATION METHOD THEREOF, SURFACE TREATMENT METHOD USING THE SAME

Номер: US20190093051A1
Принадлежит: FUJIMI INCORPORATED

A surface treatment composition according to the present invention is used for treating a surface of a polished object to be polished which is obtained after polishing with a polishing composition including ceria, using the surface treatment composition including a (co)polymer having a monomer-derived structural unit having a carboxyl group or a salt group thereof, a residue removing accelerator composed of a specific compound having a hydroxyl group, and a dispersing medium, wherein pH is less than 7. 1. A surface treatment composition for surface-treating a polished object to be polished which is obtained after polishing with a polishing composition including ceria , the surface treatment composition comprising:a (co)polymer having a monomer-derived structural unit having a carboxyl group or a salt group thereof;at least one residue removing accelerator selected from the group consisting of a polyvalent hydroxy compound, a derivative of a polyvalent hydroxy compound having one hydroxyl group, and a derivative of a hydroxycarboxylic acid having one or more hydroxyl groups and having no carboxyl group; anda dispersing medium,wherein pH is less than 7.2. The surface treatment composition according to claim 1 , wherein the residue removing accelerator is at least one selected from the group consisting ofa polyvalent hydroxy compound having 2 or more to 9 or less hydroxyl groups,a compound having one hydroxyl group as an ether derivative of a polyvalent hydroxy compound having 2 or more to 9 or less hydroxyl groups,a compound having one hydroxyl group as an ester derivative of a polyvalent hydroxy compound having 2 or more to 9 or less hydroxyl groups and a monovalent carboxylic acid, anda compound having 1 or more to 4 or less hydroxyl groups and no carboxyl group as an ester derivative of a hydroxycarboxylic acid and a monovalent carboxylic acid.3. The surface treatment composition according to claim 1 , wherein a molecular weight of the residue removing accelerator ...

Подробнее
28-03-2019 дата публикации

COMPOSITION FOR SURFACE TREATMENT, METHOD FOR PRODUCING COMPOSITION FOR SURFACE TREATMENT, SURFACE TREATMENT METHOD, AND METHOD FOR PRODUCING SEMICONDUCTOR SUBSTRATE

Номер: US20190093056A1
Принадлежит:

A composition for surface treatment according to the present invention is used for treating the surface of an object to be polished after polishing, the composition for surface treatment including: a water-soluble polymer having a constituent unit derived from glycerin; an acid; and water, wherein the composition for surface treatment has a pH of 5 or lower. 1. A composition for surface treatment used for treating the surface of an object to be polished after polishing ,the composition for surface treatment comprising:a water-soluble polymer having a constituent unit derived from glycerin;an acid; andwater,wherein the composition for surface treatment has a pH of 5 or lower.2. The composition for surface treatment according to claim 1 , wherein the water-soluble polymer having a constituent unit derived from glycerin is at least one selected from the group consisting of polyglycerin claim 1 , an ethylene oxide-modified polyglycerin claim 1 , a sulfonic acid-modified polyglycerin claim 1 , a phosphonic acid-modified polyglycerin claim 1 , a polyglycerin 4-vinylbenzoic acid ester claim 1 , and a polyglycerin fatty acid ester.3. The composition for surface treatment according to claim 1 , further comprising an ionic dispersant.4. The composition for surface treatment according to claim 3 , wherein the ionic dispersant is a polymer compound having a sulfonic acid (salt) group.5. The composition for surface treatment according to claim 1 , wherein the composition for surface treatment has a pH of 4 or lower.6. The composition for surface treatment according to claim 1 , wherein the composition for surface treatment substantially does not comprise abrasive grains.7. The composition for surface treatment according to claim 1 , wherein the object to be polished after polishing contains polysilicon.8. A method for producing the composition for surface treatment according to claim 1 ,the method comprising mixing the water-soluble polymer having a constituent unit derived from ...

Подробнее
06-04-2017 дата публикации

METHOD FOR TREATING A SEMICONDUCTOR DEVICE

Номер: US20170095841A1
Принадлежит:

A method of treating a sensor array including a plurality of sensors and an isolation structure, where a sensor of the plurality of sensors has a sensor pad exposed at a surface of the sensor array and the isolation structure is disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors, comprises exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier; applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; and rinsing the acid solution from the sensor pad and the isolation structure. 1. A method of treating a sensor array , the sensor array including a plurality of sensors and an isolation structure , a sensor of the plurality of sensors having a sensor pad exposed at a surface of the sensor array , the isolation structure disposed between the sensor pad and sensor pads of other sensors of the plurality of sensors , the method comprising:exposing the sensor pad and the isolation structure to a non-aqueous organo-silicon solution including an organo-silicon compound and a first non-aqueous carrier;applying an acid solution including an organic acid and a second non-aqueous carrier to the sensor pad; andrinsing the acid solution from the sensor pad and the isolation structure.2. The method of claim 2 , wherein a portion of the organo-silicon compound remains on the isolation structure.3. The method of claim 1 , wherein the organo-silicon compound includes a silane functionalized with an aryl claim 1 , polyaryl claim 1 , alkyl claim 1 , alkoxy claim 1 , halo claim 1 , or cyano moiety claim 1 , or any combination thereof.4. The method of claim 3 , wherein the silane is selected from the group consisting of phenyldimethylchlorosilane claim 3 , tert-butylchlorodiiphenylsilane claim 3 , chlorotripropylsilane claim 3 , (N claim 3 ,N-dimethylamino) trimethyl-silane claim 3 , tris( ...

Подробнее
06-04-2017 дата публикации

NEW ANTIOXIDANTS FOR POST-CMP CLEANING FORMULATIONS

Номер: US20170096624A1
Принадлежит:

An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. 1. A cleaning composition comprising at least one solvent , at least one corrosion inhibitor , and at least one amine , wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof , wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon.2. The cleaning composition of claim 1 , wherein the purine compounds comprise a species selected from the group consisting of adenine claim 1 , purine claim 1 , guanine claim 1 , hypoxanthine claim 1 , xanthine claim 1 , theobromine claim 1 , caffeine claim 1 , uric acid claim 1 , and isoguanine.3. The cleaning composition of claim 1 , wherein the corrosion inhibitor comprises at least one species selected from the group consisting of adenine claim 1 , purine claim 1 , guanine claim 1 , hypoxanthine claim 1 , xanthine claim 1 , theobromine claim 1 , caffeine claim 1 , uric acid claim 1 , isoguanine claim 1 , and derivatives thereof.4. The cleaning composition of claim 1 , wherein the cleaning composition further comprises at least ...

Подробнее
16-04-2015 дата публикации

Aqua Regia and Hydrogen Peroxide HCl Combination to Remove Ni and NiPt Residues

Номер: US20150105308A1
Принадлежит:

A method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process is disclosed, including a multi-step residue cleaning, including exposing the substrate to an aqua regia solution, followed by an exposure to a solution having hydrochloric acid and hydrogen peroxide. The SC2 solution can further react with remaining platinum residues, rendering it more soluble in an aqueous solution and thereby dissolving it from the surface of the substrate. 1. A formulation for processing nickel platinum , the formulation comprising:water;nitric acid mixed with the water; anda non-chlorine based acid mixed with the water and the nitric acid,wherein a concentration of the nitric acid in the formulation is less than about 15 wt %.2. The formulation of claim 1 , wherein the non-chlorine based acid comprises an acid comprising fluorine or an organic acid.3. The formulation of claim 2 , wherein the non-chlorine based acid comprises hydrofluoric acid.4. The formulation of claim 2 , wherein the non-chlorine based acid comprises acetic acid or carbonyl acid.5. The formulation of claim 1 , wherein the concentration of the nitric acid in the formulation is between about 3 wt % and about 10 wt %.6. The formulation of claim 5 , wherein the concentration of the nitric acid in the formulation is about 7 wt %.7. The formulation of claim 6 , wherein a temperature of the formulation is about 40° C.8. The formulation of claim 5 , wherein the formulation does not comprise a chlorine-based acid.9. A formulation for processing nickel platinum claim 5 , the formulation comprising:water;hydrogen peroxide mixed with the water; andhydrochloric acid mixed with the water and the hydrogen peroxide,wherein a concentration of the hydrogen peroxide in the formulation is less than or equal to about 25 wt %.10. The formulation of claim 9 , wherein a concentration of the hydrochloric acid in the formulation is less than or equal to about 25 wt %.11. The formulation of claim ...

Подробнее
13-04-2017 дата публикации

CLEANING FORMULATION FOR REMOVING RESIDUES ON SURFACES

Номер: US20170101608A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one redox agent; 2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid; 3) at least one second chelating agent different from the first chelating agent, the second chelating agent containing at least two nitrogen-containing groups; 4) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; 5) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers; 6) water; and 7) optionally, at least one pH adjusting agent, the pH adjusting agent being a base free of a metal ion. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate. 1. A cleaning composition , consisting of:1) at least one redox agent;2) at least one first chelating agent, the first chelating agent being a polyaminopolycarboxylic acid;3) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole;4) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers;5) water; and6) optionally, at least one pH adjusting agent, the pH adjusting agent being a base free of a metal ion.2. The composition of claim 1 , wherein the pH of the composition is between about 6 and about 11.3. The composition of claim 1 , wherein the at least one redox agent comprises hydroxylamine.4. The composition of claim 1 , wherein the at least one redox agent is from about 0.5% to about 20% by weight of the composition.5. The composition of claim 1 , wherein the polyaminopolycarboxylic acid is selected from the ground consisting of mono- or polyalkylene polyamine polycarboxylic acids claim 1 , polyaminoalkane polycarboxylic acids claim 1 , ...

Подробнее
21-04-2016 дата публикации

Purification Of Recombinantly Produced Polypeptides

Номер: US20160108084A1
Принадлежит: Medlmmune Limited

Described herein is a method for separating a recombinantly produced polypeptide from host cell protein. The method includes a step of loading a clarified cell culture supernatant that includes the recombinantly produced polypeptide and the HCP onto a Protein A chromatography column and washing the Protein A chromatography column with a wash buffer comprising a fatty acid having a chain length of at least about 6 carbon atoms, or a fatty acid salt thereof to remove HCP and then recovering the recombinantly produced polypeptide. 1. A method of separating a recombinantly produced polypeptide from host cell protein (HCP) , the method comprising:providing a clarified cell culture supernatant comprising the HCP and the recombinantly produced polypeptide;loading the clarified cell culture supernatant onto a Protein A chromatography column;washing the Protein A chromatography column with a wash buffer comprising a fatty acid having a chain length of at least about 6 carbon atoms, or a fatty acid salt thereof to remove HCP; andrecovering the recombinantly produced polypeptide.2. A method of separating a recombinantly produced polypeptide from host cell protein (HCP) , the method comprising:equilibrating a Protein A chromatography column with an equilibration buffer;loading the clarified cell culture supernatant onto the Protein A chromatography column;re-equilibrating the loaded Protein A chromatography column with the equilibration buffer;washing the loaded Protein A chromatography column with a first wash buffer comprising a fatty acid having a chain length of at least about 6 carbon atoms, or a fatty acid salt thereof to remove HCP;washing the loaded Protein A chromatography column with a second wash buffer; andeluting the recombinantly produced polypeptide with an elution buffer.3. A method of reducing protease contamination in a formulation comprising a recombinantly produced polypeptide , the method comprising:providing a clarified cell culture supernatant comprising ...

Подробнее
02-06-2022 дата публикации

COMPOSITION COMPRISING AN AMMONIA-ACTIVATED SILOXANE FOR AVOIDING PATTERN COLLAPSE WHEN TREATING PATTERNED MATERIALS WITH LINE-SPACE DIMENSIONS OF 50 NM OR BELOW

Номер: US20220169956A1
Принадлежит:

Described herein is a non-aqueous composition including (a) an organic protic solvent, (b) ammonia, and (c) at least one additive of formulae I or II 2. The composition according to claim 1 , wherein the organic protic solvent is a linear or branched Cto Calkanol.3. The composition according to claim 2 , wherein the concentration of ammonia is from 0.1 to about 8% by weight.4. The composition according to claim 1 , further comprising a second solvent selected from the group consisting of linear claim 1 , branched and cyclic Cto Calkanes.5. The composition according to claim 1 , wherein the content of water in the non-aqueous composition is lower than 0.1% by weight.6. The composition according to claim 1 , wherein the non-aqueous composition consists essentially of the organic protic solvent claim 1 , optionally a Cto Calkane claim 1 , the at least one additive of formula I or II claim 1 , ammonia claim 1 , and reaction products thereof.7. The composition according to claim 1 , wherein the at least one additive of formula I or II is present in a concentration from 0.005 to 12% by weight.8. The composition according to claim 1 , wherein the at least one additive is a compound of formula I claim 1 , wherein n is 0 claim 1 , 1 or 2.9. The composition according to claim 1 , wherein R claim 1 , R claim 1 , R claim 1 , and Rare independently selected from the group consisting of methyl claim 1 , methoxy claim 1 , ethyl claim 1 , ethoxy claim 1 , propyl claim 1 , and propoxy.10. The composition according to claim 1 , wherein the additive is selected from the group consisting of trimethoxysilane claim 1 , triethoxysilane claim 1 , trimethylsilane claim 1 , and triethylsilane.12. A method of using the composition according to claim 1 , the method comprising using the composition for treating substrates having patterned material layers having line-space dimensions of 50 nm or below claim 1 , aspect ratios of greater or equal 4 claim 1 , or a combination thereof.13. A method ...

Подробнее
21-04-2016 дата публикации

Compositions for removing residues and related methods

Номер: US20160108348A1
Принадлежит: Micron Technology Inc

Compositions for removing residues from a semiconductor structure. The compositions comprise water, a base, a polydentate chelator, a degasser, and a fluorine source. The compositions comprise greater than or equal to approximately 99 wt % of the water and are formulated to exhibit a pH of from approximately 10.0 to approximately 12.0. Methods of forming and using the compositions are also disclosed.

Подробнее
23-04-2015 дата публикации

CLEANING FORMULATIONS FOR REMOVING RESIDUES ON SURFACES

Номер: US20150111804A1
Принадлежит:

This disclosure relates to a cleaning composition that contains 1) at least one chelating agent, the chelating agent being a polyaminopolycarboxylic acid; 2) at least one organic solvent selected from the group consisting of water soluble alcohols, water soluble ketones, water soluble esters, and water soluble ethers; 3) at least one monocarboxylic acid containing a primary or secondary amino group and at least one additional basic group containing nitrogen; 4) at least one metal corrosion inhibitor, the metal corrosion inhibitor being a substituted or unsubstituted benzotriazole; and 5) water. This disclosure also relates to a method of using the above composition for cleaning a semiconductor substrate.

Подробнее
23-04-2015 дата публикации

WAFER CLEANING FORMULATION

Номер: US20150111805A1
Автор: Kolics Artur
Принадлежит:

Methods and systems for cleaning corrosion product of a metallic layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface. 1. A solution for application onto a wafer surface , the solution comprising ,a surfactant, the surfactant being an amphoteric surfactant, the surfactant configured to enhance wetting of the wafer surface, the concentration of the surfactant in the solution being approximately in the range of 10 ppm to 2000 ppm;wherein the solution is maintained at a pH of approximately 1.8 to 1.9 during the application onto the wafer surface;oxalic acid dihydrate as a complexing agent; andhypophosphorous acid as a pH adjusting agent configured to reduce the pH of the solution to approximately 1.8 to 1.9 during the application onto the wafer surface.2. The solution of claim 1 , wherein the surfactant forms a self-assembled monolayer.3. The solution of claim 1 , wherein the concentration of the surfactant in the solution is approximately in the range of 300 ppm to 700 ppm.4. The solution of claim 1 , wherein the concentration of the complexing agent is approximately in the range of 0.05 g/L to 20 g/L.5. The solution of claim 1 , wherein the concentration of the complexing agent is approximately 1 g/L.6. The solution of claim 1 , wherein the concentration of the pH adjusting agent is approximately in the range of 0.01 g/L to 20 g/L.7. The solution of claim 1 , wherein the concentration of the pH adjusting agent is approximately 8 ml/L 50 w/w %.8. The solution of claim 1 , wherein the ...

Подробнее
20-04-2017 дата публикации

MODIFIED TERPENE FORMULATION FOR REMOVING PETROLEUM OILS FROM CONTAMINATED SOILS, MODIFIED TERPENE-BASED CONCENTRATED OIL-IN-WATER EMULSION AND USE OF A MODIFIED TERPENE-BASED FORMULATION FOR CLEANING CONTAMINATED SOILS

Номер: US20170106416A1
Принадлежит:

The present invention relates to a modified terpene-based formulation for removing petroleum oils from contaminated soils, which contains between 90 and 98% by weight d-limonene, between 0.1 and 2% by weight isomeric forms of carveol, between 0.01 and 1% by weight carvone, between 0.01 and 1% by weight limonene oxide and between 0.01 and 2% by weight alpha-terpineol. A modified terpene-based oil-in-water emulsion containing the abovementioned formulation and at least one non-ionic surfactant and a carrier is described, as well as use of said modified terpene formulation for cleaning contaminated soils. 115-. (canceled)16. Formulation of modified terpenes for removing petroleum-derived oil from contaminated soil , comprising the following ingredients:between 90 and 98% by weight of D-limonene;between 0.1 and 2% by weight of isomeric forms of carveol, consisting of cis-carveol, trans-carveol or mixtures thereof;between 0.01 and 1% by weight of carvone;between 0.01 and 1% by weight of limonene oxide andbetween 0.01 and 2% by weight of alpha-terpineol.17. The formulation according to claim 16 , wherein D-limonene is present in the range of 94-98% of the total weight of the formulation.18. The formulation according to claim 16 , wherein carveol in the cis or trans forms or mixtures thereof is present in the range of 0.1-0.4% of the total weight of the formulation.19. The formulation according to claim 16 , wherein carvone is present in the range of 0.05-0.25% of the total weight of the formulation.20. The formulation according to claim 16 , wherein limonene oxide is present in the range of 0.05-0.2% of the total weight of the formulation.21. The formulation according to claim 16 , wherein alpha-terpineol is present in the range of 0.15-0.4% of the total weight of the formulation.22. A concentrated modified terpene-based oil-in-water emulsion claim 16 , comprising the formulation of modified terpenes according to claim 16 , at least one nonionic surfactant claim 16 , and ...

Подробнее
30-04-2015 дата публикации

AQUEOUS CLEAN SOLUTION WITH LOW COPPER ETCH RATE FOR ORGANIC RESIDUE REMOVAL IMPROVEMENT

Номер: US20150114429A1
Принадлежит:

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one corrosion inhibitor, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers. 1. A method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon , said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device , wherein the cleaning composition includes at least one quaternary base , at least one amine , at least one corrosion inhibitor , and at least one solvent , wherein the microelectronic device comprises exposed barrier layer that reduces diffusion of copper into low-k dielectric materials.2. (canceled)3. The method of claim 1 , wherein the residue is selected from the group consisting of post-CMP residue claim 1 , post-etch residue claim 1 , and post-ash residue.4. The method of claim 1 , wherein the cleaning compositions are substantially devoid of oxidizing agents; fluoride-containing sources; abrasive materials; gallic acid; alkali and/or alkaline earth metal bases; organic solvents; and combinations thereof claim 1 , prior to removal of residue material from the microelectronic device.5. The method of claim 1 , wherein the at least one corrosion inhibitor comprises pyrazole claim 1 , pyrazole derivatives claim 1 , phosphoric acid claim 1 , phosphoric acid derivatives claim 1 , ascorbic acid claim 1 , adenosine claim 1 , adenosine derivatives claim 1 , and combinations thereof.6. The method of claim 1 , wherein the at least one ...

Подробнее
20-04-2017 дата публикации

METHOD OF CLEANING SUBSTRATE AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20170110316A1
Принадлежит:

A method of cleaning a substrate includes providing the substrate, the substrate including a metal material film, performing physical cleaning of the substrate, performing chemical cleaning of the substrate, and drying a surface of the substrate. Performing the chemical cleaning includes supplying a chemical cleaning solution including an anionic surfactant at a concentration that is equal to or greater than a critical micelle concentration (CMC) onto the surface of the substrate. 1. A method of cleaning a substrate , the method comprising:providing the substrate, the substrate including a metal material film;performing physical cleaning of the substrate;performing chemical cleaning of the substrate; anddrying a surface of the substrate,wherein performing the chemical cleaning includes supplying a chemical cleaning solution including an anionic surfactant at a concentration that is equal to or greater than a critical micelle concentration (CMC) onto the surface of the substrate.2. The method as claimed in claim 1 , wherein the anionic surfactant is a sulfate-based surfactant.3. The method as claimed in claim 2 , wherein the anionic surfactant has a structure represented by Formula (1):{'br': None, 'sup': 1', '2, 'sub': a', 'b', '3', '4, '(R—O)—(R—O)—SONH\u2003\u2003Formula (1)'}wherein:a and b are each independently an integer of 0 to 120;a and b are not simultaneously 0;{'sup': 1', '2, 'sub': 1', '18', '1', '18', '6', '14, 'Rand Rare each independently a Cto Calkyl group, a Cto Calkylene group, or a Cto Carylene group;'}{'sub': 1', '18', '1', '18', '6', '14, 'the Cto Calkyl group, the Cto Calkylene group, and the Cto Carylene group are each independently substituted or unsubstituted; and'}{'sup': 1', '2, 'the repeating unit of —R—O— and the repeating unit of —R—O— are repeated randomly or in a block form.'}5. The method as claimed in claim 1 , wherein performing the physical cleaning at least partially overlaps performing the chemical cleaning.6. The method as ...

Подробнее
30-04-2015 дата публикации

AMBIENT TEMPERATURE DECONTAMINATION OF NUCLEAR POWER PLANT COMPONENT SURFACES CONTAINING RADIONUCLIDES IN A METAL OXIDE

Номер: US20150117587A1
Принадлежит: WESTINGHOUSE ELECTRIC COMPANY LLC

This invention generally concerns radioactive decontamination of deposits on components in a nuclear power plant and is specifically concerned with improved systems and methods for disrupting, dissolving, removing and reducing at ambient temperature radionuclides formed on the primary side surfaces of components in a pressurized water reactor and the internal components of a boiling water reactor. The methods include identifying the structure, taking the structure out of operational service, contacting the structure with an aqueous solution (e.g., a recirculating flow or static immersion), and adding an effective amount of elemental metal in solid form to the aqueous solution. 1. A method for at least partially disrupting or removing radioactive deposits formed on a surface of a structure in a nuclear water reactor , comprising:identifying the structure;taking the structure out of operational service;contacting the surface of the structure with an aqueous solution; andadding an effective amount of an elemental metal in solid form to the aqueous solution,wherein the method is conducted at ambient temperature.2. The method of claim 1 , wherein radioactive deposits include one or more materials selected from the group consisting of radionuclides claim 1 , oxide scale and corrosion products.3. The method of claim 1 , wherein the elemental metal is selected from the group consisting of metals with standard electrochemical potentials anodic to low alloy steel.4. The method of claim 3 , wherein the electrochemical potential of the elemental metal is more active than the potential of low alloy steel in the galvanic series of metals and alloys.5. The method of claim 1 , wherein the elemental metal is selected from the group consisting of zinc claim 1 , aluminum claim 1 , magnesium claim 1 , beryllium claim 1 , lithium claim 1 , iron and mixtures thereof.6. The method of claim 1 , wherein the elemental metal is zinc.7. The method of claim 1 , wherein the elemental metal is in ...

Подробнее