Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 214881. Отображено 200.
27-06-2008 дата публикации

СПОСОБ УПРАВЛЕНИЯ ЛИНИЕЙ ФОТОЛИТОГРАФИИ И УСТРОЙСТВО ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2328055C2

Изобретение относится к системам автоматического цифрового управления объектами и может быть использовано в микроэлектронной промышленности. Техническим результатом изобретения является повышение эффективности работы системы управления линией фотолитографии и повышение экономической эффективности за счет более рационального использования сети передачи данных. Сущность изобретения: в способе управления линией фотолитографии каждый модуль линии устанавливают на несущей раме, производят подключение всех блоков каждого модуля к кабельной системе, проводят запуск отладочного режима работы, при котором осуществляют пакетный обмен информацией по сети с использованием принципа состязательности, по результатам которого определяют характеристики технологических объектов каждого модуля, загрузку сети, параметр обмена и оптимальную частоту опроса устройств. При реализации управления информацию о состоянии технологического объекта каждого модуля предварительно обрабатывают, формируя пакеты данных, и ...

Подробнее
02-10-2023 дата публикации

Микрофлюидное устройство и способ его изготовления

Номер: RU2804459C2
Автор: ГУ Юй (CN)

Изобретение относится к области микрогидродинамики, в частности к микрофлюидному устройству и способу его изготовления Сущность: микрофлюидное устройство, содержащее первую подложку, имеющую первую монтажную сторону, и вторую подложку, имеющую вторую монтажную сторону, выполненную с возможностью соединения с первой монтажной стороной для сборки первой подложки и второй подложки друг с другом. По меньшей мере одна сторона из первой монтажной стороны и второй монтажной стороны имеет канал камеры для текучей среды, и после соединения первой подложки и второй подложки друг с другом канал камеры для текучей среды образует камеру для текучей среды, имеющую впускное отверстие для текучей среды и выпускное отверстие для текучей среды. Указанная по меньшей мере одна сторона из первой монтажной стороны и второй монтажной стороны, имеющая канал камеры для текучей среды, имеет выпускную расширительную канавку, расположенную смежно с выпускным отверстием для текучей среды и проходящую от него вниз по ...

Подробнее
20-08-2008 дата публикации

УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ТОНКИХ ПЛЕНОК ПОЛУПРОВОДНИКОВ И ДИЭЛЕКТРИКОВ

Номер: RU2331717C2

Изобретение относится к области полупроводниковой нанотехнологии, к области тонкопленочного материаловедения, а именно к устройствам для нанесения тонких пленок и диэлектриков. Устройство содержит камеру с рабочей частью внутреннего пространства в форме цилиндра, внутри которой расположены основание, подложка для нанесения пленок, система напуска реагентов и буферных газов, нагревательные элементы и двигатель с валом. При этом основание и подложка выполнены с плоскими и гладкими рабочими поверхностями и установлены с возможностью образования регулируемого зазора между ними за счет изменения в нем давления буферного газа, противодействующего весу груза, размещенного на подложке для регулирования величины зазора между подложкой и основанием. Вал двигателя закреплен нежестко и на нем установлена подвижная муфта для передачи вращения подложке относительно неподвижного основания. В рабочей поверхности основания выполнены углубления, длина которых не превышает радиуса рабочей поверхности основания ...

Подробнее
27-08-2005 дата публикации

ФЕРРОЭЛЕКТРИЧЕСКИЙ ЗАПОМИНАЮЩИЙ КОНТУР И СПОСОБ ЕГО ИЗГОТОВЛЕНИЯ

Номер: RU2259605C2

Изобретение относится к Ферроэлектрическому запоминающему контуру и способу его изготовления. Техническим результатом является повышение степени поляризации ферроэлектрической ячейки памяти и снижение напряженности поля. Ферроэлектрический запоминающий контур содержит ферроэлектрическую ячейку памяти в виде тонкой ферроэлектрической полимерной пленки, два электрода, при этом, по меньшей мере, один из электродов содержит, по меньшей мере, один контактный слой, который содержит проводящий полимер, находящийся в контакте с ячейкой памяти, и, в случае необходимости, второй слой в виде металлической пленки, находящейся в контакте с проводящим полимером. Способ изготовления ферроэлектрического запоминающего контура включает в себя операции нанесения на подложку первого контактного слоя в виде тонкой пленки проводящего полимера и нанесения тонкой ферроэлектрической полимерной пленки на первый контактный слой и второго контактного слоя на тонкую ферроэлектрическую полимерную пленку. 2 н. и 13 з.п ...

Подробнее
07-05-2024 дата публикации

Способ металлизации термокомпенсирующей изолирующей подложки припоем AuSn для пайки полупроводниковых лазерных диодов

Номер: RU2818934C2

Изобретение может быть использовано для получения пайкой неразъемных соединений полупроводниковых лазерных излучателей с термокомпенсирующей подложкой. Для получения соединения последовательно формируют следующие слои. На адгезионный слой, граничащий с поверхностью подложки, наносят слой золота или меди, толщину которого выбирают из условия получения требуемой электропроводности подложки. Затем наносят второй адгезионный слой, а затем барьерный слой. На барьерном слое формируют слой припоя олово-золото в виде монослоя из сплава AuSn. Затем наносят финишный защитный слой из золота. На лазерный диод также наносят слой золота. Соединение выполняют под воздействием тепла и давления, достаточных для расплавления припоя. В результате осуществления способа получают композиционный припой, обеспечивающий надёжное соединение, при этом использование достаточной толщины слоя металла с высокой электропроводностью позволяет использовать этот способ для пайки лазерных диодов с высокой мощностью. 6 з.п ...

Подробнее
27-05-2015 дата публикации

КОМПОЗИЦИИ ДЛЯ УДАЛЕНИЯ РЕЗИСТА И СПОСОБЫ ИЗГОТОВЛЕНИЯ ЭЛЕКТРИЧЕСКИХ УСТРОЙСТВ

Номер: RU2551841C2
Принадлежит: БАСФ СЕ (DE)

Изобретение относится к способу изготовления электрических устройств, содержащему стадии: 1) нанесение изоляционного диэлектрического слоя, состоящего из по меньшей мере одного материала с низкой или ультранизкой диэлектрической проницаемостью, на поверхность подложки, 2) нанесение позитивного или негативного слоя резиста на поверхность изоляционного диэлектрического слоя, 3) подвергание слоя резиста селективному воздействию электромагнитного излучения или корпускулярного излучения, 4) проявление селективно подвергнутого излучению слоя резиста для образования рисунка в резисте, 5) сухое травление изоляционного диэлектрического слоя с использованием рисунка в резисте в качестве маски для образования проводных канавок и/или сквозных отверстий, сообщающихся с поверхностью подложки, 6) выбор по меньшей мере одного полярного органического растворителя (А) из группы, состоящей из: диэтилентриамина, N-метилимидазола, 3-амино-1-пропанола, 5-амино-1-пентанола и диметилсульфоксида, проявляющего в ...

Подробнее
10-12-2015 дата публикации

УПРАВЛЕНИЕ ДИСЛОКАЦИЯМИ И НАПРЯЖЕНИЯМИ В БЕЗМАСКОВЫХ ПРОЦЕССАХ С ИСПОЛЬЗОВАНИЕМ ПАТТЕРНИРОВАНИЯ СУБСТРАТОВ И СПОСОБЫ ИЗГОТОВЛЕНИЯ УСТРОЙСТВ

Номер: RU2570220C2

Изобретение относится к структурам и способам изготовления стопок активных слоев полупроводниковых материалов. Изобретение обеспечивает получение стопок активных слоев полупроводниковых материалов с согласованными параметрами кристаллических решеток, рассогласованными параметрами кристаллических решеток и рассогласованными коэффициентами термического расширения с низкой плотностью винтовых дислокаций, отсутствием растрескивания слоев и минимизированным прогибом подложки с использованием эпитаксиального наращивания на приподнятых участках подложки в безмасковом процессе. Структура содержит паттернированную кристаллическую полупроводниковую основу с приподнятыми областями, ограниченными бороздками, глубина которых по меньшей мере равна их ширине, и эпитаксиальный полупроводниковый материал, осажденный на приподнятых областях основы в форме изолированных пятен, причем основа изготовлена из первого кристаллического полупроводникового материала, а эпитаксиальный полупроводниковый материал содержит ...

Подробнее
02-11-2022 дата публикации

ПОЛУЧЕНИЕ ТРИАЛКИЛИНДИЕВЫХ СОЕДИНЕНИЙ В ПРИСУТСТВИИ КАРБОКСИЛАТОВ

Номер: RU2782752C2

Изобретение относится к способу получения триалкилиндия. Согласно предложенному способу триалкилиндий получают в реакционной смеси, которая содержит по меньшей мере один галогенид алкилиндия, триалкилалюминий, карбоксилат и растворитель, состоящий из углеводородов, при этом алкильные остатки независимо друг от друга выбраны из С1-С4алкила. Также предложен способ получения индийсодержащего полупроводника и/или индийсодержащего покрытия. Предложенный способ позволяет получить триалкилиндий с высоким выходом и высокой чистотой. 2 н. и 14 з.п. ф-лы, 2 пр.

Подробнее
20-08-2012 дата публикации

СПОСОБ ИЗГОТОВЛЕНИЯ ТОНКИХ ПЛЕНОК НА ОСНОВЕ МОНОСУЛЬФИДА САМАРИЯ

Номер: RU2459012C2

Изобретение относятся к получению тонкопленочных материалов, в частности тонких пленок на основе моносульфида самария, и может быть использовано для создания переключающих устройств. Способ изготовления тонких пленок стехиометрического моносульфида самария импульсным лазерным осаждением включает осаждение на подложке тонких пленок моносульфида самария в вакууме при комнатной температуре с использованием мишени из стехиометрического SmS. После осаждения тонких пленок моносульфида самария проводят отжиг полученных пленок в вакууме в диапазоне температур 700-900 K. Пленки наносят на подложку, выполненную из монокристаллического кремния с химически удаленным естественным окислом или выполненную из аморфного материала, или из оптического стекла, или из металла, или из органического материала, или из ацетилцеллюлозы. Используют мишень, полученную в виде таблетки прессованием порошка из стехиометрического SmS, или выполненную из монокристалла SmS, или из поликристалла SmS. Получаются сформированные ...

Подробнее
05-10-2021 дата публикации

СПОСОБ ПРОИЗВОДСТВА ПОДЛОЖКИ НА ОСНОВЕ КАРБИДА КРЕМНИЯ И ПОДЛОЖКА КАРБИДА КРЕМНИЯ

Номер: RU2756815C2

Изобретение относится к технологии получения подложки из поликристаллического карбида кремния. Способ состоит из этапов предоставления покрывающих слоев 1b, каждый из которых содержит оксид кремния, нитрид кремния, карбонитрид кремния или силицид металла, выбранного из группы, состоящей из никеля, кобальта, молибдена и вольфрама, или покрывающих слоев, каждый из которых изготовлен из фосфоросиликатного стекла (PSG) или борофосфоросиликатного стекла (BPSG), имеющего свойства текучести допированного P2O5или B2O3и P2O5,на обеих поверхностях основной подложки 1a, изготовленной из углерода, кремния или карбида кремния для подготовки поддерживающей подложки 1, имеющей покрывающие слои, каждый из которых имеет гладкую поверхность; формирования пленок 10 поликристаллического карбида кремния на обеих поверхностях поддерживающей подложки 1 осаждением из газовой фазы или выращиванием из жидкой фазы; и химического удаления, по меньшей мере, покрывающих слоев 1b в поддерживающей подложке для отделения ...

Подробнее
20-11-2014 дата публикации

METHOD OF MAKING PHOTODIODES

Номер: RU1840979C

FIELD: physics.SUBSTANCE: method comprises forming a p-type InAs layer on an n-type substrate. Before depositing contacts on the p-type InAs layer, the method comprises growing a layer of a wide-band gap solid solution of p-type InAsSbP with hole concentration of 10cm÷ 10cm.EFFECT: high differential resistance.2 cl, 1 dwg ...

Подробнее
30-10-1994 дата публикации

КОМПАУНД ДЛЯ ЗАЩИТЫ ПОЛУПРОВОДНИКОВЫХ КРИСТАЛЛОВ

Номер: RU2022396C1

Использование: в качестве защиты p-n-переходов, работающих в режиме больших токов и в условиях отвода тепла. Сущность изобретения: повышение удельной теплопроводности достигается путем ввода в состав композиции вюрцитного нитрида бора в соотношении, мас.%: роливсан МВ-1 70; толуол 5; вюрцитный нитрид бора 25. 2 ил., 1 табл.

Подробнее
20-06-2011 дата публикации

СПОСОБ ПАССИВАЦИИ И ЗАЩИТЫ ГРАНЕЙ РЕЗОНАТОРА ПОЛУПРОВОДНИКОВЫХ ЛАЗЕРОВ

Номер: RU2421856C1

При реализации способа лазерную гетероструктуру расщепляют на линейки или кристаллы лазерных диодов во внешней атмосфере, обеспечивая сколотые грани резонатора. Затем линейку или кристалл лазерного диода помещают в вакуумную камеру с остаточным давлением по кислороду не более 10-10 торр, где с целью удаления образовавшихся окислов грани резонатора обрабатывают ионами плазмы аргона при отрицательном потенциале на образцах (-5) - (-10) В. Создают пассивирующий нитридный поверхностный слой на гранях резонатора с использованием плазмы, содержащей азот, при отрицательном потенциале на образцах (-20) - (-30) В. Напыляют, по меньшей мере, один слой блокирующего кислород и взаимную диффузию покрытия Si3N4 толщиной 20-30 нм на каждую обрабатываемую грань резонатора при отрицательном потенциале на образцах (-10) - (-15) В. После обработки ионами плазмы азота проводят локальный прогрев обрабатываемых граней резонатора ускоренными электронами плазмы ионов аргона при положительном потенциале на образцах ...

Подробнее
07-02-2023 дата публикации

Способ нанесения через жесткую маску металлического рисунка на область с другим ранее нанесенным металлическим рисунком при производстве крышек корпусов неохлаждаемых термочувствительных элементов

Номер: RU2789662C1

Изобретение относится к области микроэлектронной техники, предлагаемый способ характеризуется тем, что жесткую маску с окнами, соответствующими топографическому рисунку наносимого металлического слоя, выполняют из кремниевой пластины диаметром, совпадающим с диаметром крышки, выполняемой из германия и имеющей на одной стороне просветляющее покрытие, а на другой - металлический слой в виде ранее напыленного топологического рисунка. На жесткой маске и на крышке формируют метки выравнивания для обеспечения ориентированного размещения указанной маски на крышке на ее стороне, обратной стороне, несущей просветляющее покрытие. Затем на поверхность крышки на стороне расположения металлического слоя напыления наносят слой фоторезиста и формируют в этом слое фоторезиста методами экспонирования и проявления окна для получения фоторезистивной маски, размер окон которой превышает размер окон в жесткой маске и которые соответствуют топографическому рисунку подлежащего напылению через жесткую маску металлического ...

Подробнее
27-06-1998 дата публикации

ЕМКОСТНОЙ АКСЕЛЕРОМЕТР И СПОСОБ ЕГО ИЗГОТОВЛЕНИЯ

Номер: RU2114489C1

Использование: в измерительной технике, при разработке и изготовлении малогабаритного полупроводникового емкостного акселерометра. Сущность изобретения: в емкостном акселерометре, содержащем выполненные из кремния и соединенные между собой через пленку диэлектрика неподвижную плату и рамку, с закрепленной на ней на гибких перемычках подвижной платой, установленной с зазором относительно неподвижной. Неподвижная плата по периферии в областях, противостоящих рамке, углублена, кроме участков в виде выступов, расположенных равномерно по периметру рамки, причем выступы покрыты пленкой диэлектрика, а суммарная площадь вершин выступов, соприкасающихся с рамкой составляет не более 0,1 площади подвижной платы. В способе изготовления емкостного акселерометра, включающем формирование травлением из кремния неподвижной платы и подвижной, закрепленной на гибких перемычках на рамке, и соединение неподвижной платы с рамкой через слой ди- электрика, при формировании неподвижной платы проводят окисление ...

Подробнее
10-02-2007 дата публикации

СПОСОБ ИЗГОТОВЛЕНИЯ ТОНКОПЛЕНОЧНОЙ СТРУКТУРЫ ГИБРИДНОЙ ИНТЕГРАЛЬНОЙ МИКРОСХЕМЫ СВЕРХВЫСОКОЧАСТОТНОГО ДИАПАЗОНА

Номер: RU2293400C1

Изобретение относится к тонкопленочной технологии, в частности к изготовлению гибридных интегральных микросхем (ГИМС). Технический результат - повышение качества защиты и электроизоляции поверхности топологического рельефа рисунка ГИМС, снижающее отказы из-за возможных замыканий на участках пересечения элементов рисунка навесными монтажными проводниками и преждевременной деградации физико-химических свойств токоведущих дорожек, а также повышение технологичности изготовления и увеличение конструкторских возможностей создания ГИМС за счет расширения интервала величин рельефа топологического рисунка в сторону увеличения при уменьшении межэлементных зазоров рисунка. Достигается тем, что в способе изготовления тонкопленочной структуры ГИМС сверхвысокочастотного (СВЧ) диапазона, включающем получение на поверхности подложки рисунка пассивных и коммутационных элементов, после получения рисунка элементов наносят защитный диэлектрический слой полиимидного лака на поверхность микросхемы посредством ...

Подробнее
19-07-2021 дата публикации

Способ увеличения адгезии

Номер: RU2751805C1

Изобретение относится к области технологии производства полупроводниковых приборов, в частности к технологии увеличения адгезии к полупроводниковой структуре. Техническим результатом является увеличение адгезии, обеспечение технологичности, улучшение параметров приборов, повышение качества и увеличение процента выхода годных. Технология способа состоит в следующем в процессе производства полупроводниковых приборов после формирования активных областей, диоксида кремния и нанесения металлизации полупроводниковую структуру обрабатывают лазером с плотностью энергии в импульсе 2-5Дж/см2с последующим отжигом при температуре 1000°С в атмосфере азота в течение 7 мин.

Подробнее
10-04-2014 дата публикации

СПОСОБ ИЗГОТОВЛЕНИЯ МИКРОЭЛЕКТРОМЕХАНИЧЕСКИХ СТРУКТУР И УСТРОЙСТВО ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2511282C1

Изобретение относится к приборостроению и может быть использовано при изготовлении полупроводниковых микроэлектромеханических устройств, а именно малогабаритных датчиков физических величин. Изобретение обеспечивает увеличение количества годных микроэлектромеханических структур за счет совершенствования способа электростатической анодной посадки. В способе изготовления микроэлектромеханических структур путем анодного соединения (анодной сварки) двухслойной структуры из пластины кремния с предварительно очищенной стеклянной подложкой при нагревании их в вакууме и приложении напряжения, предварительно пластину из кремния разделяют на кристаллы, формируют пары структур кремний - стекло, размещают их в кассету вертикально, прижимая друг к другу, кассету помещают в графитовый нагреватель и нагревают их при температуре от 370°С до 400°С, после чего подают анодное напряжение на стекло в интервале от 200 до 500 вольт для формирования слоя объемного заряда в стекле, прилегающем к поверхности кремния ...

Подробнее
14-02-2019 дата публикации

УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ЖИДКОЙ СРЕДЫ, ПОДВЕРГАЕМОЙ УЛЬТРАФИОЛЕТОВОМУ ОБЛУЧЕНИЮ, НА ПОДЛОЖКУ

Номер: RU2680059C1

Изобретение относится к устройству для нанесения жидкой среды, подвергаемой ультрафиолетовому облучению, на подложку. Устройство содержит: кожух, имеющий продолговатую камеру, по меньшей мере одно впускное отверстие, которое открыто в камеру, и по меньшей мере одно щелевое выпускное отверстие, противоположное впускному отверстию, которое проходит по длине камеры. Оно также имеет трубчатый элемент, который проходит через камеру в продольном направлении, частично являющийся прозрачным для ультрафиолетового излучения. Трубчатый элемент расположен в камере таким образом, что между трубчатым элементом и стенкой камеры формируется пространство для потока. Это пространство для потока является симметричным относительно продольной центральной плоскости камеры. Продольная центральная плоскость рассекает выпускное отверстие в его середине, и при этом трубчатый элемент проходит в щелевое выпускное отверстие в кожухе и таким образом формирует две проходящие продольно выпускные щели между трубчатым элементом ...

Подробнее
27-09-2006 дата публикации

СПОСОБ ЭКСПЛУАТАЦИИ ВАКУУМНЫХ УСТАНОВОК С ИЗМЕНЕНИЯМИ ДАВЛЕНИЯ

Номер: RU2005108498A
Принадлежит:

... 1. Способ эксплуатации вакуумной установки с изменениями рабочего и окружающего давления, содержащей вакуумную камеру (V) со встроенными деталями (12, 13, 13а, 24), например электронные элементы, трансформаторы, моторы, подшипники качения и направляющие валки, снабженные вентилируемыми оболочками, причем направляющие валки (12) снабжены подшипниками (24) качения, удерживаемыми внутри оболочек на опорных телах (7, 8), отличающийся тем, что перед заполнением вакуумной камеры (V) в оболочки впускают газ, посредством которого твердые тела, такие как пыль и частицы, выдувают из оболочек и предотвращают проникновение твердых тел в оболочки. 2. Способ по п.1, отличающийся тем, что оболочки состоят из полых валков или валковых отрезков (12), в которых расположены подшипники (24) качения с внутренними (24а) и наружными (24b) кольцами, при этом газ продувают сквозь кольцевые зазоры между внутренними (24а) и наружными (24b) кольцами. 3. Способ по одному из пп.1 и 2, отличающийся тем, что газ пропускают ...

Подробнее
25-11-2024 дата публикации

Способ получения наноразмерной пленки бора

Номер: RU2830764C1

Изобретение относится к области нанотехнологий и может быть использовано в микроэлектронике для получения тонких полупроводниковых слоёв на алюминиевых подложках, а также радиационно-защитных покрытий алюминиевых сплавов. Наноразмерную плёнку бора получают терморезистивным испарением в вакууме соединения бора на подложку из алюминия, нагретую до 190-200°С. В качестве соединения бора используют оксид бора, помещённый в танталовый тигель. Терморезистивное испарение проводят при температуре 1500-1550°С в вакууме (1-5)⋅10-6 торр в течение 10-15 с и расстоянии от испарителя до подложки 40-45 мм. Затем осуществляют отжиг в среде аргона при температуре 630-640°С в течение 0,5-1,0 ч. Изобретение позволяет упростить способ получения тонкой плёнки бора за счёт снижения температуры испарителя. 1 ил.

Подробнее
20-11-2010 дата публикации

СПОСОБ УДАЛЕНИЯ ФОТОРЕЗИСТИВНОГО СЛОЯ ПЕРЕД ВЫСОКОТЕРМИЧЕСКИМИ ОПЕРАЦИЯМИ

Номер: RU2009117621A
Принадлежит:

Способ удаления фоторезистивного слоя с поверхности полупроводниковых пластин, включающий удаление фоторезистивного слоя с поверхности полупроводниковых пластин после фотолитографических операций, проводимое в две стадии, отличающийся тем, что обработку ведут в двух ваннах, причем в 1-й ванне, диметилформамид (CH3)2NCOH, T=115÷120°C, время τ=3±1 мин; во 2-й ванне, деионизованная вода, T=65÷70°C, время τ=5 мин, после чего отмывку полупроводниковых пластин ведут в двух ваннах с четырехсторонним переливом при расходе воды 500 л/ч и длительности обработки 5 мин в каждой из ванн, при этом количество светящихся точек 3 шт.

Подробнее
20-10-2013 дата публикации

СПОСОБ СНИЖЕНИЯ ВНУТРЕННИХ МЕХАНИЧЕСКИХ РАПРЯЖЕНИЙ В ПОЛУПРОВОДНИКОВОЙ СТРУКТУРЕ И ПОЛУПРОВОДНИКОВАЯ СТРУКТУРА С НИЗКИМИ МЕХАНИЧЕСКИМИ НАПРЯЖЕНИЯМИ

Номер: RU2012112370A
Принадлежит:

... 1. Способ снижения внутренних механических напряжений в полупроводниковой структуре, образованной нитридами металлов группы III на (0001) ориентированной инородной подложке (1), отличающийся тем, что указанный способ включает стадии:- выращивания нитрида на инородной подложке (1) с образованием первого нитридного слоя (2);- формирования рельефа на первом нитридном слое (2) путем селективного удаления объемов из него до заданной глубины от верхней поверхности (5) первого нитридного слоя (2), для обеспечения релаксации внутренних механических напряжений в оставшихся частях слоя между удаленными объемами, и- выращивания на первом нитридном слое (2) дополнительного нитрида, начиная с оставшихся частей верхней поверхности (5) первого нитридного слоя (2) до формирования непрерывного второго нитридного слоя (8), с получением замкнутых пустот (7) из удаленных объемов под вторым нитридным слоем (8) внутри полупроводниковой структуры; при этом указанное выращивание включает выращивание дополнительного ...

Подробнее
10-05-1997 дата публикации

ПОЛУПРОВОДНИКОВОЕ ЗАПОМИНАЮЩЕЕ УСТРОЙСТВО С КОНДЕНСАТОРАМИ, ОБРАЗОВАННЫМИ НАД И ПОД ТРАНЗИСТОРОМ ЯЧЕЙКИ ПАМЯТИ, И СПОСОБ ЕГО ИЗГОТОВЛЕНИЯ

Номер: RU95107653A
Автор: KR], Джоо Янг Ли
Принадлежит:

Сущность изобретения: полупроводниковое запоминающее устройство с конденсаторами, образованными над и под транзистором ячейки памяти, содержит первый и второй транзисторы, образованные на первом уровне, первым электрод хранения, соединенный с первым транзистором и выполненный под первых уровнем, и второй электрод хранения, соединенный со вторым транзистором и выполненный над первым уровнем. Первый и второй электроды хранения соединены с каждым истоком через прокладку, образованную на боковых стенках каждого истока, и между электродами хранения и транзистором выполнены подтравливания, что позволяет увеличить емкость вдвое или больше, достичь стабильной характеристики транзистора ячейки памяти и уменьшить эффекты укорачивания канатов.

Подробнее
20-02-2004 дата публикации

СПОСОБ ИЗГОТОВЛЕНИЯ РАВНОМЕРНОЙ МНОГОСЛОЙНОЙ КОНСТРУКЦИИ, В ЧАСТНОСТИ ДЛЯ ЭЛЕКТРИЧЕСКИХ ДВУХСЛОЙНЫХ КОНДЕНСАТОРОВ, И УСТРОЙСТВО ДЛЯ ЭТОГО

Номер: RU2002119403A
Принадлежит:

... 1. Способ изготовления, содержащий повторяющуюся последовательность слоев многослойной конструкции (13), включающий в себя следующие этапы: наличие ленточного материала-основы (1), частичное разделение материала-основы (1) на отдельные несущие отрезки (a, b, ...h) одинаковых размера и формы с получением обладающих несущей способностью соединений (4), выполненных в виде перемычек между отдельными отрезками, непрерывное нанесение, по меньшей мере, одного дополнительного слоя (5) материала, по меньшей мере, на одну из поверхностей материала-основы (1), полное разделение материала-основы и, по меньшей мере, одного дополнительного слоя материала вдоль разделительной линии (6) с использованием уже осуществленного частичного разделения, причем получают, по меньшей мере, части содержащих повторяющиеся последовательности слоев многослойных отрезков (8), равномерную укладку друг на друга многослойных отрезков (8) в многослойную конструкцию (13). 2. Способ по п.1, при котором частичное разделение ...

Подробнее
20-04-2010 дата публикации

МЕМБРАНА ДВУХКАНАЛЬНОГО ТЕНЗОДАТЧИКА ДАВЛЕНИЯ

Номер: RU87520U8

... 1. Мембрана двухканального тензодатчика давления (1), выполненная в виде диска и снабженная по периметру соединением (2) с элементом конструкции тензодатчика (3), а со стороны действия давления на периферии диска - кольцевой полостью (4), отличающаяся тем, что мембрана в центральной части дополнительно снабжена цилиндрической полостью (5), которая отделена от кольцевой полости (4) кольцевым выступом (6), а отношение толщины мембраны в области кольцевой полости относится к толщине мембраны в ее центральной части как 1,8-2,2. ! 2. Мембрана по п.1, отличающаяся тем, что выполнена из нержавеющей стали или титана. ! 3. Мембрана по п.1, отличающаяся тем, что соединение (2) с элементами конструкции тензодатчика выполнено сварным или резьбовым. ! 4. Мембрана по п.1, отличающаяся тем, что толщина мембраны в ее центральной части составляет 0,15-0,7 мм. ! 5. Мембрана по п.1, отличающаяся тем, что на ней дополнительно расположены тензочувствительные полупроводниковые резисторы, причем тензочувствительные ...

Подробнее
10-02-2015 дата публикации

СПОСОБ ИЗГОТОВЛЕНИЯ КРИСТАЛЛОВ С ТЕПЛООТВОДЯЩИМИ ЭЛЕМЕНТАМИ ДЛЯ ВЕРТИКАЛЬНОЙ ТРЕХМЕРНОЙ (THROUGH-SILICON VIAS ) СБОРКИ МНОГОКРИСТАЛЬНЫХ СВЕРХБОЛЬШИХ ИНТЕГРАЛЬНЫХ СХЕМ

Номер: RU2013135556A
Принадлежит:

... 1. Способ изготовления кристаллов СБИС с теплоотводящими элементами для изготовления многокристальных СБИС по способу вертикальной сборки, включающий процесс изготовления вертикальных проводников, пронизывающих насквозь полупроводниковую пластину (метод TSV), процессы утонения и соединения пластин друг с другом, отличающийся тем, что при формировании глубоких вертикальных отверстий (ГВО) одновременно формируются глубокие вертикальные траншеи (ГВТ) между кристаллами, ширина которых больше ширины ГВО, стенки ГВО и ГВТ покрываются изолирующим слоем, внутренние объемы ГВО заполняются, а поверхность пластины и стенки ГВТ покрываются проводящим электрический ток материалом (ПЭТМ) таким образом, чтобы между образовавшимися на противоположных стенках ГВТ пленками ПЭТМ осталась щель, определяющая ширину промежутка между кристаллами, щель заполняется нанесением на пластину временной пленки (ВП) для придания пластине большей механической прочности, с поверхности пластины ВП и ПЭТМ химико-механической ...

Подробнее
27-09-2002 дата публикации

ИНТЕГРАЛЬНАЯ ЭЛЕКТРОННАЯ МИКРОСХЕМА И СПОСОБ ЕЕ ИЗГОТОВЛЕНИЯ

Номер: RU2000125689A
Принадлежит:

... 1. Способ изготовления полупроводникового материала по меньшей мере с одной пористой изоляционной областью, отличающийся тем, что включает следующие этапы способа: формирование первого слоя (24) легирующей примеси в подложке (200), осаждение первого слоя (260), формирование второго слоя (270) легирующей примеси в первом слое (260), нанесение второго слоя (320) и объединение первого слоя (240) легирующей примеси и второго слоя (270) легирующей примеси путем диффузии для получения области (300) диффузии, преобразование области (300) диффузии путем электрохимической обработки в пористый полупроводниковый материал. 2. Способ по п. 1, отличающийся тем, что дополнительно в подложке (200) полупроводниковый материал преобразуется в пористый полупроводниковый материал (350). 3. Способ по п. 1 или 2, отличающийся тем, что преобразованию подвергают полупроводниковый материал, состоящий по существу из кремния. 4. Способ по любому из пп. 1-3, отличающийся тем, что преобразование по существу массивного ...

Подробнее
23-09-1991 дата публикации

Устройство для напыления пленок

Номер: SU1679568A1
Принадлежит:

Изобретение относится к радиоэлектронной технике и может быть использовано при изготовлении микросхем, в лазерной технике при напылении материалов на кристаллы . Цель изобретения - воспроизводимость напыляемых пленок по толщине и повышение точности контроля. Устройство содержит датчик, выполненный в виде кварцевого резонатора с измерителем частоты, датчик с прозрачным для светового потока свидетелем, установленным с возможностью калибруемого равномерного его движения и снабженным стационарным экранам со щелью, испаритель с его блоком питания, источник светового потока, фотоприемник с усилителем, блок обратной связи между усилителем фотоприемника и блоков питания испарителя. В устройстве кварцевый резистор установлен в области размещения подложек в качестве маркера сигнала фотоприемника и снабжен заслонкой с приводом, блок обратной связи выполнен в виде тиристорного устройства, введены регулятор движения свидетеля, за- датчик маркировочного сдвига частот, реле времени, реле заслонки, масштабный ...

Подробнее
07-07-1984 дата публикации

Способ получения эпитаксиальных полуизолирующих слоев арсенида галлия

Номер: SU475917A1
Принадлежит:

СПОСОБ ПОЛ>& :?.НИЯ ЭПИТАК- СИАЛЬНЬК ПОЛУИЗОЛИРУЮЩИХ СЛОЕВ АР- СЕНИЦА ГАЛЛИЯ путем выращивания из газовой фазы, например (Ga-AsCl,-Н ),содержащей пары легирующей примеси с глубокими уровнями, отличающийся тем, что, с целью получения структурно соверщенных слоев, обеспечения контролируемого легирования и повышения его технологичности, в качестве легирующей примеси используют карбонилы металлов, например карбонилы хрома, железа, вольфрама, молибдена, никеля, кобальта .

Подробнее
15-07-1993 дата публикации

CПOCOБ ИЗГOTOBЛEHИЯ TPAHЗИCTOPHЫX CTPУKTУP

Номер: RU795311C
Автор:
Принадлежит:

Подробнее
07-04-1993 дата публикации

PROCESS OF MANUFACTURE OF CAPACITIVE CONVERTER OF MECHANICAL QUANTITIES

Номер: RU1807530C
Автор:
Принадлежит:

Подробнее
07-04-1993 дата публикации

Способ изготовления емкостного преобразователя механических величин

Номер: SU1807530A1
Принадлежит:

Использование: относится к области измерительной техники и может быть использовано при изготовлении малогабаритных полупроводниковых преобразователей механических величин. Сущность: При формировании первой кремниевой пластины с мембранами создают на пленарной поверхности пластины легированный бором до концентрации 5-10™ кремния толщиной 2...5 мкм, а на непланарной поверхности под областями мембраны вытравливают окна до кремния в защитной маске под мембраны и описывающие их окна до 0,1...0,5 исходной толщины защитной маски , превышающие по размерам предыдущие окна на величину, равную ширине области соединения мембран и основания, травят кремний в водном растворе этилен- диамина в окнах на глубину, равную величине зазора, уменьшенной на толщину соединительного шва между мембраной и основанием, травят защитную маску до момента вскрытия кремния в областях соединения мембран и основания, продолжают травить кремний до выявления легированного слоя мембраны и получения упоров заданной толщины, ...

Подробнее
15-02-1974 дата публикации

Газоразрядный источник света с торцовым выходом излучения

Номер: SU415753A1
Автор: Басов Ю.Г.
Принадлежит:

Подробнее
22-11-2001 дата публикации

GETTER PUMPMODELL UND SYSTEM

Номер: DE0069523333D1
Принадлежит: SAES PURE GAS INC, SAES PURE GAS, INC.

Подробнее
20-08-1998 дата публикации

Bifluorophore Perylenfarbstoffe mit langwellig verschobener Fluoreszenz

Номер: DE0019702826A1
Принадлежит:

Perylene-3,4:9,10-tetracarboxylic acid imides of general formula (I), including their optical antipodes and their mixtures, in particular their racemates, wherein R<1> to R<14> are identical or different and are hydrogen or a radical selected from the group consisting of an unsubstituted or substituted carbocyclic aromatic radical, an unsubstituted or substituted heterocyclic aromatic radical, halogen, unsubstituted or substituted C1-C18alkyl, -OR<15>, -CN, -NR<16>R<17>, -COR<18>, -NR<19>COR<18>, -NR<15>COOR<18>, -NR<15>CONR<16>R<17>, -NHSO2R<18>, -SO2R<18>, -SOR<18>, -SO2OR<18>, -CONR<16>R<17>, -SO2NR<16>R<17>, -N=NR<20>, -OCOR<18> and -OCONHR<18>, where pairs of adjacent radicals can form a carbocyclic or heterocyclic ring, wherein R<18> is C1-C18alkyl, C6-C10aryl, or benzyl which is unsubstituted or substituted by halogen, C1-C4alkyl or C1-C4alkoxy, or a 5- to 7-membered heterocyclic radical, R<16> and R<17> are each independently of the other hydrogen; C1-C18alkyl, C3- to C24cycloalkyl ...

Подробнее
11-05-2000 дата публикации

Produktionssteuersystem mit Auswahl der optimalen Zuteilungsregel

Номер: DE0069423834D1
Принадлежит: NEC CORP, NEC CORP., TOKIO/TOKYO

Подробнее
16-04-1998 дата публикации

Capacitor manufacturing method for integrated circuit

Номер: DE0019737294A1
Принадлежит:

The method involves forming a metal plug (48) of the length of two metal layers (20,53), and contacting them, is located in a dielectric (36), parallel to the chip plane between the two metal layers of preset shape. Preferably the metal plug is of V, Al or Cu. First a metal layer (20) is deposited over one dielectric (22), patterned, and etched and another dielectric deposited on it, smoothed, patterned, and etched to form an aperture. The latter is filled with metal to form the metal plug. Then the second metal layer is deposited, patterned and etched.

Подробнее
20-10-2005 дата публикации

SPEICHERMATERIAL UND VERFAHREN ZUR HERSTELLUNG

Номер: DE0069333869D1

Подробнее
15-04-1993 дата публикации

Verfahren zur Herstellung von Halbleiterelementen

Номер: DE0004133820A1
Принадлежит:

A process is disclosed for manufacturing semiconductor components, in particular diodes. The following steps are successively carried out: bonding two semiconductor bodies (wafer 1, 2) having different conductivity types (p, n) according to the silicon fusion bonding process; separating a plurality of semiconductor elements (chips 8) by generating wells (9) whose depth extends at least down to the pn-junctions; overetching and passivating the pn-junctions laterally exposed by the wells (9); metallizing the surfaces (10, 11) of the semiconductor bodies and cutting out the semiconductor elements from the semiconductor bodies.

Подробнее
18-05-2006 дата публикации

Ferroelektrische Speichereinrichtung mit elektrischer Verbindung zwischen einer unteren Kondensatorelektrode und einem Kontaktstopfen sowie Verfahren zu deren Herstellung

Номер: DE0019829300B4

Verfahren zur Herstellung eines Kondensators in einer Halbleitereinrichtung mit den folgenden Schritten: Bilden einer Isolationsschicht (205) mit einer einen aktiven Bereich eines Halbleitersubstrats (201) freilegenden Öffnung; Bilden einer ersten leitenden Schicht für einen die Öffnung füllenden Kontaktstöpsel (206); aufeinanderfolgendes Bilden einer zweiten leitenden Schicht (210), einer ersten Diffusionsverhinderungsschicht (220), einer unteren Elektrodenschicht (230) des Kondensators, einer ferroelektrischen Schicht (250) und einer zweiten Diffusionsverhinderungsschicht (251) auf der isolierenden Schicht und der ersten leitenden Schicht zur Bildung eines gestapelten Leitungsspeicherknotens; danach Strukturieren des gestapelten Leitungsspeicherknotens; danach Bilden einer leitenden Abstandsschicht (240) auf Seitenwänden des gestapelten Leitungsspeicherknotens zur elektrischen Verbindung der unteren Elektrodenschicht (230) mit der zweiten leitenden Schicht (210) zur Bildung einer resultierenden ...

Подробнее
10-05-1973 дата публикации

HALBLEITERPLAETTCHEN

Номер: DE0002243809A1
Принадлежит:

Подробнее
14-06-2007 дата публикации

Heizaggregat für die Herstellung einer Halbleitervorrichtung und dessen Verwendung

Номер: DE0010248728B4

Heizaggregat zum Erwärmen eines Halbleiterwafers, das aufweist: ein erstes Halteteil (400) zum Halten des Wafers (500); eine Vielzahl von Heizelementen (120, 140), die unterhalb des ersten Halteteils (400) zum Erwärmen des Wafers (500) angeordnet sind, wobei die Heizelemente (120, 140) voneinander thermisch isoliert sind; und eine zweite Halterung (300; 360, 380), die unterhalb der Heizelemente (120, 140) zum Halten der Heizelemente (120, 140) angeordnet ist, wobei die zweite Halterung (300; 360, 380) einen Wärmeabschirmabschnitt (330) enthält, der die Wärmeleitung zwischen den Heizelementen (120, 140) begrenzt, wobei der Wärmeabschirmabschnitt ein hitzebeständiges Material (334) aufweist, das in einer Nut (332) angeordnet ist, die in der zweiten Halterung (300; 360, 380) ausgebildet ist, und der Wärmeabschirmabschnitt (330) einen Umfangsabschnitt von benachbarten Heizelementen (120, 140) hält.

Подробнее
23-09-2004 дата публикации

Verfahren zur Herstellung einer strukturierten Metallschicht

Номер: DE0050007463D1
Принадлежит: INFINEON TECHNOLOGIES AG

Подробнее
14-06-2007 дата публикации

Verfahren zum Herstellen eines Halbleitersubstrats und Halbleitersubstrat

Номер: DE112005001822T5

Verfahren zum Herstellen eines Halbleitersubstrats durch Bilden einer Silicium-Germanium-Schicht Si1-xGex ((x) ist ein Zusammensetzungsverhältnis des Germaniums Ge) auf einem Siliciumsubstrat und dann, durch eine SIMOX-Verfahrensbearbeitung, Bilden einer Silicium-Germanium-Schicht Si1-yGey ((y) ist ein Zusammensetzungsverhältnis des Germaniums Ge) auf einem eingebetteten Oxidfilm, dadurch gekennzeichnet, daß ein SGOI-Substrat hergestellt wird durch Einstellen des Zusammensetzungsverhältnisses (x) des Germaniums Ge in der Silicium-Germanium-Schicht Si1-xGex vor der SIMOX-Verfahrensbearbeitung auf ein Zusammensetzungsverhältnis eines vorgegebenen Verhältnisses oder weniger, bei dem eine Versetzungsdichte in der Silicium-Germanium-Schicht Si1-yGey nach der SIMOX-Verfahrensbearbeitung ein vorgegebenes Niveau oder kleiner wird.

Подробнее
29-04-2021 дата публикации

Anzeigevorrichtung und deren Herstellungsverfahren

Номер: DE112014007325B3

Tragbares Informationsendgerät (7200), umfassend:ein erstes flexibles Substrat (301);eine erste Klebeschicht (318a) über dem ersten flexiblen Substrat (301);eine erste organische Harzschicht (320a) über der ersten Klebeschicht (318a);ein Isolierfilm (321a) über der ersten organischen Harzschicht (320a);einen Transistor (552) über dem Isolierfilm (321a);ein EL-Element (572), das elektrisch mit dem Transistor (552) verbunden ist;eine zweite organische Harzschicht (320b) über dem EL-Element (572);eine zweite Klebeschicht (318b) über der zweiten organischen Harzschicht (320b); undein zweites flexibles Substrat (307) über der zweiten Klebeschicht (318b),wobei eine Dicke der ersten organischen Harzschicht (320a) kleiner als oder gleich 20 µm ist, undwobei mindestens ein Teil eines Anzeigeabschnitts (7202) des tragbaren Informationsendgeräts (7200) eine gekrümmte Oberfläche aufweist.

Подробнее
24-02-2011 дата публикации

Halbleiteranordnungsherstellungsmethode

Номер: DE0060238952D1

Подробнее
14-06-2012 дата публикации

Verfahren zur Bildung eines Metallfilms

Номер: DE112004001583B4

Verfahren zur Bildung eines Metallfilms auf einer nicht durch einen Schaltkreis gebildeten Oberfläche eines Halbleiter-Wafers, das folgende Schritte umfasst: (i) Aufbringen einer Klebefolie auf eine durch einen Schaltkreis gebildete und nicht durch einen Metallfilm gebildete Oberfläche eines Halbleiter-Wafers, wobei die Klebefolie einen Basisfilm und eine auf einer Oberfläche davon aufgebrachte Klebstoffschicht umfasst, und der Basisfilm wenigstens eine Filmschicht aufweist, die ausgewählt ist aus einer Metall-Filmschicht, einer Metalloxid-Filmschicht und einem flüssigkristallinen Polymerfilm, und die eine Gastransmissionsrate von 49,35 ml/m2·Tag/MPa (5,0 cm3/m2Tagatm) aufweist; und (ii) Ausbilden eines Metallfilms auf der Oberfläche des Halbleiter-Wafers, auf der die Klebefolie nicht aufgebracht ist.

Подробнее
20-02-2014 дата публикации

Chemikalienbereitstellungssystem

Номер: DE112012001861T5

Hier offenbarte Ausführungsformen von Chemikalienbereitstellungssystemen können eine Umschließung aufweisen; eine erste Kammer, die innerhalb der Umschließung angeordnet ist und mehrere erste Rohrleitungen aufweist, um eine erste Gruppe chemischer Stoffe zu befördern, wobei die erste Kammer darüber hinaus eine erste Saugöffnung und eine erste Abführöffnung aufweist, um einen Strom eines Spülgases durch die erste Kammer zu ermöglichen; und eine zweite Kammer aufweisen, die innerhalb der Umschließung angeordnet ist und mehrere zweite Rohrleitungen aufweist, um eine zweite Gruppe chemischer Stoffe zu befördern, wobei die zweite Kammer darüber hinaus eine zweite Saugöffnung und eine zweite Abführöffnung aufweist, um einen Strom des Spülgases durch die zweite Kammer zu ermöglichen, wobei sich die erste Gruppe chemischer Stoffe von der zweiten Gruppe chemischer Stoffe unterscheidet, und wobei eine Sauggeschwindigkeit des Spülgases durch die zweite Kammer höher ist als die Sauggeschwindigkeit ...

Подробнее
19-12-2019 дата публикации

Festkörper-Bildaufnahmevorrichtung und elektronisches Gerät

Номер: DE112018001859T5

... [AUFGABE] Bereitstellen einer Festkörper-Bildaufnahmevorrichtung und eines elektronischen Geräts mit einer weiter verbesserten Leistungsfähigkeit.[LÖSUNG] Festkörper-Bildaufnahmevorrichtung, aufweisend: ein erstes Substrat, auf dem eine Pixeleinheit gebildet ist, und ein erstes Halbleitersubstrat und eine erste mehrschichtige Verdrahtungsschicht gestapelt sind; ein zweites Substrat, auf dem eine Schaltung mit einer vorbestimmten Funktion gebildet ist, und ein zweites Halbleitersubstrat und eine zweite mehrschichtige Verdrahtungsschicht gestapelt sind; und ein drittes Substrat, auf dem eine Schaltung mit einer vorbestimmten Funktion gebildet ist, und ein drittes Halbleitersubstrat und eine dritte mehrschichtige Verdrahtungsschicht gestapelt sind. Das erste Substrat, das zweite Substrat und das dritte Substrat sind in dieser Reihenfolge gestapelt. Die Pixeleinheit weist darauf angeordnete Pixel auf. Das erste Substrat und das zweite Substrat sind so miteinander verbunden, dass die erste mehrschichtige ...

Подробнее
05-02-2015 дата публикации

Verfahren zur Züchtung eines Ingots und Ingot

Номер: DE112012006260T5
Принадлежит: LG SILTRON INC, LG SILTRON INC.

Bereitgestellt wird ein Verfahren zur Züchtung eines Ingots. Das Verfahren zur Züchtung des Ingots umfasst das Schmelzen von Silizium, um eine Siliziumschmelzlösung anzufertigen, das Anfertigen eines Impfkristalls mit einer Kristallorientierung [110], das Züchten eines Verjüngungsabschnitts von dem Impfkristall und das Züchten eines Ingots mit der Kristallorientierung [110] von dem Verjüngungsteil. Der Verjüngungsteil weist einen Durchmesser von etwa 4 mm bis etwa 8 mm auf.

Подробнее
14-11-2002 дата публикации

Halbleiterbauelement und Herstellungsverfahren

Номер: DE0010120520A1
Принадлежит:

Ein ohmscher Widerstand ist zwischen zwei Anteilen einer Leiterschicht vorhanden, so dass die Größe des ohmschen Widerstandes ermittelt werden kann, und/oder in oder auf einer Schicht aus dem Dielektrikum (1) ist ein Halbleiterbereich (2) vorhanden, wobei die Leiterschicht (5) in einen Gate-Kontakt (6), einen Source-Kontakt (7) und einen Drain-Kontakt (70) strukturiert ist, so dass in dem Halbleiterbereich eine Transistor- oder Schaltfunktion möglich ist. Damit kann ein Versuch einer Analyse der in dem Chip integrierten Schaltung detektiert werden.

Подробнее
07-09-2000 дата публикации

Semiconductor wafer processing system, generating downwards directed pure air laminar stream at front side of drier units

Номер: DE0010010871A1
Принадлежит:

A housing top section contains pure air inlet, while its bottom wall comprises the pure air discharge port. The system drying region is located at one housing side, while another side contains the system coating region, spaced from the drying one. Numerous filters are fitted at the pure air inlet and layers of drier units are located with drying region. Coating units apply protective lacquer to the wafers and is set on the housing bottom wall, which also supports a robot transporting wafers. Between the drying and coating units, an air flow baffle forces the supplied pure air to flow in the direction of the discharge port downwards.

Подробнее
11-09-1975 дата публикации

VERFAHREN ZUR HERSTELLUNG HOCHOHMIGER WIDERSTAENDE IN EINER INTEGRIERTEN HALBLEITERSCHALTUNG

Номер: DE0002458734A1
Принадлежит:

Подробнее
17-01-2002 дата публикации

Method for finding combination of operation/tool causing integrated failure in semiconductor manufacturing device, involves generating a candidate-operation/tool list

Номер: DE0010032322A1
Принадлежит:

A method for finding an operations/tools pair for a breakdown or failure in a semiconductor manufacturing device involves generating a candidate operation/tool list, selecting a pair of operations/tools from the candidate list, and establishing a member of the pair of operations/tools as primary operation/tool. A first list of rejects is drawn up, followed by forming a first sum value by sequential summation of the weighting values of each reject in the first rejects list, and a second sum value by sequential summation of the weighting values of each reject in the second rejects list. Each pair of operations/tools is arranged in correspondence with its combination sum peak value and the pair with the greatest combination sum peak values is arranged as that for which the cause of the failure or breakdown is most likely ...

Подробнее
10-05-2001 дата публикации

Semiconductor device comprises a lower layer with a main surface and a capacitor formed on the main surface of the lower layer

Номер: DE0010046910A1
Принадлежит:

Semiconductor device comprises a lower layer (1) with a main surface and a capacitor formed on the main surface of the lower layer. The capacitor has a line and intermediate space structure (4) in which metal lines (3) are electrically connected by an insulating layer (2). The lines extend in a first direction of the main surface and are arranged in a second direction, vertical to the first direction. Preferred Features: The line and intermediate space structure has a first line (3a, 3b) acting as an electrode and a second line acting as another electrode, the first and second lines being alternately arranged.

Подробнее
10-07-2003 дата публикации

Metal-insulator-metal capacitor for dynamic RAM, has dielectric film interposed between lower and upper electrodes comprising oxide film of ruthenium, tungsten or iridium

Номер: DE0010256713A1
Принадлежит:

A lower electrode (145) formed on a semiconductor substrate (100) comprises a conductor layer having ruthenium, tungsten or iridium. The conductor layer is oxidized to form an oxide film. A dielectric film (150) is coated over the upper portion of the lower electrode. An upper electrode (155) formed at the upper portion of the dielectric film, is coated with a conductive layer oxide film. An Independent claim is also included for the manufacture of a metal-insulator-metal capacitor.

Подробнее
23-12-2004 дата публикации

Halbleitervorrichtung mit Kondensator und Herstellungsverfahren für diese

Номер: DE0010359276A1
Принадлежит:

Eine spitzzulaufende Form kann an dem oberen Ende der unteren Kondensatorelektrode (9) eines zylindrischen Kondensators vorhanden sein. Um dieses spitzzulaufende Ende zu bedecken, wird eine zweilagige dielektrische Schicht einer dielektrischen Kondensatorschicht (10) und einer weiteren dielektrischen Kondensatorschicht (100) gebildet. Während die untere Kondensatorelektrode (9) eine spitzzulaufende Form an ihrem oberen Ende aufweist, hat die dielektrische Schicht (10, 100), die den Bereich mit einer spitzzulaufenden Form bedeckt, eine größere Dicke als die dielektrische Schicht (10), die die anderen Teilbereiche des vertikalen Bereichs (91) abdeckt. Folglich zeigt die dielektrische Schicht (10, 100) eine hinreichende Isolierungsleistung, um Leckstrom zu verhindern, selbst wenn der Bereich der unteren Kondensatorelektrode (9) mit einer spitzzulaufenden Form eine Konzentration elektrischen Feldes aufweist. Auf diese Art wird durch die Verringerung des Risikos der Erzeugung eines Leckstroms ...

Подробнее
04-08-2005 дата публикации

Werkstück-Einspannvorrichtung mit Temperatursteuerbaueinheit mit Abstandshaltern zwischen Schichten, die einen Zwischenraum für thermoelektrische Module schaffen

Номер: DE0010392912T5
Принадлежит: TEMPTRONIC CORP, TEMPTRONIC CORP., SHARON

Werkstück-Einspannvorrichtung zum Halten eines Werkstücks, die umfasst: eine obere Schicht, auf der das Werkstück angebracht werden kann; und eine Temperatursteuerbaueinheit, die mit der oberen Schicht in thermischer Verbindung steht, um die Temperatur des Werkstücks zu steuern, wobei die Temperatursteuerbaueinheit umfasst: eine obere Schicht, eine untere Schicht, wenigstens ein thermoelektrisches Modul, das zwischen der oberen Schicht und der unteren Schicht der Temperatursteuerbaueinheit angeordnet ist; und wenigstens einen Abstandshalter zwischen der oberen Schicht und der unteren Schicht der Temperatursteuerbaueinheit, wobei der wenigstens eine Abstandshalter die obere Schicht und die untere Schicht der Temperatursteuerbaueinheit so beabstandet, dass das wenigstens eine thermoelektrische Modul in einem Raum zwischen der oberen Schicht und der unteren Schicht der Temperatursteuerbaueinheit vertikal schwebt.

Подробнее
13-05-1993 дата публикации

Storage cell capacitors prodn. for DRAM technology - by forming capacitor electrode contact hole, depositing doped poly-silicon@ layer, depositing silicon nitride, poly-silicon@ and silica layers, etching,etc.

Номер: DE0004237100A1
Принадлежит:

Prodn. comprises: (a) forming a capacitor electrode contact hole (after producing necessary elements in a semiconductor substrate (I)) by depositing an insulating layer (2) on the wafer (1) and subsequently etching a section of the layer (2) by a photo-lithographic process; (b) depositing a doped poly-Si lyaer (3) that a contact forms which connects a capacitor electrode with a source/drain region; (c) depositing a silicon nitride layer (5) and a SiO2 layer (6), and opening a window (55) in a section, in which the electrode is to be formed, by a photolithographic process; (d) depositing a hemispherical poly-Si layer (4) having a no. of "hills" (44) and "valleys" (42) on the exposed surfaces of the layer (3), and a silicon nitride layer (6) and SiO2 layer (5); (e) depositing a SiO2 layer (62) and back-etching so that the SiO2 layer (62) remains in the "valleys" (42) of the poly-Si layer (4); (f) forming a no. of poly-Si protrusions (32) in the poly-Si laye (3) by dry etching the poly-Si ...

Подробнее
17-02-2005 дата публикации

Verfahren zum Ausbilden eines Kondensators und Kondensator

Номер: DE102004025413A1
Автор: LEE HEON, LEE, HEON
Принадлежит:

Ein flächeneffizienter Stapelkondensator zur Verwendung in einer integrierten Schaltung umfaßt eine Schicht aus elementarem Platin (Pt) als untere Elektrode, eine Schicht aus halbkugelförmig gekörntem Poly-Si auf der unteren Elektrode aus Pt, eine über der Schicht aus halbkugelförmig gekörntem Poly-Si abgeschiedene zweite Schicht aus Pt, eine über der zweiten Schicht aus Pt abgeschiedene Dielektrikumsschicht und eine über der Dielektrikumsschicht abgeschiedene dritte Schicht aus Pt, wobei die dritte Schicht aus Pt als obere Elektrode wirkt.

Подробнее
19-01-2012 дата публикации

Semiconductor light emitting device and method for manufacturing same

Номер: US20120012814A1
Принадлежит: Toshiba Corp

According to one embodiment, a semiconductor light emitting device includes an n-type semiconductor layer, a p-type semiconductor layer, and a light emitting part provided therebetween. The light emitting part includes a plurality of light emitting layers. Each of the light emitting layers includes a well layer region and a non-well layer region which is juxtaposed with the well layer region in a plane perpendicular to a first direction from the n-type semiconductor layer towards the p-type semiconductor layer. Each of the well layer regions has a common An In composition ratio. Each of the well layer regions includes a portion having a width in a direction perpendicular to the first direction of 50 nanometers or more.

Подробнее
19-01-2012 дата публикации

Semiconductor device and method for fabricating the same

Номер: US20120012944A1
Автор: Jae-Yun YI
Принадлежит: Hynix Semiconductor Inc

A semiconductor device includes a memory block including a transistor region and a memory region. A variable resistance layer of the memory region acts as a gate insulating layer in the transistor region.

Подробнее
19-01-2012 дата публикации

High-k gate dielectric oxide

Номер: US20120015488A1
Автор: Kie Y. Ahn, Leonard Forbes
Принадлежит: Individual

A dielectric such as a gate oxide and method of fabricating a gate oxide that produces a more reliable and thinner equivalent oxide thickness than conventional SiO2 gate oxides are provided. Gate oxides formed from elements such as zirconium are thermodynamically stable such that the gate oxides formed will have minimal reactions with a silicon substrate or other structures during any later high temperature processing stages. The process shown is performed at lower temperatures than the prior art, which further inhibits reactions with the silicon substrate or other structures. Using a thermal evaporation technique to deposit the layer to be oxidized, the underlying substrate surface smoothness is preserved, thus providing improved and more consistent electrical properties in the resulting gate oxide.

Подробнее
26-01-2012 дата публикации

Display substrate and method of manufacturing the same

Номер: US20120018720A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A display substrate includes a gate line extending in a first direction on a base substrate, a data line on the base substrate and extending in a second direction crossing the first direction, a gate insulating layer on the gate line, a thin-film transistor and a pixel electrode. The thin-film transistor includes a gate electrode electrically connected the gate line, an oxide semiconductor pattern, and source and drain electrodes on the oxide semiconductor pattern and spaced apart from each other. The oxide semiconductor pattern includes a first semiconductor pattern including indium oxide and a second semiconductor pattern including indium-free oxide. The pixel electrode is electrically connected the drain electrode.

Подробнее
26-01-2012 дата публикации

Sodium Sputtering Doping Method for Large Scale CIGS Based Thin Film Photovoltaic Materials

Номер: US20120018828A1
Автор: May Shao
Принадлежит: CM Manufacturing Inc

A method of processing sodium doping for thin-film photovoltaic material includes forming a metallic electrode on a substrate. A sputter deposition using a first target device comprising 4-12 wt % Na 2 SeO 3 and 88-96 wt % copper-gallium species is used to form a first precursor with a first Cu/Ga composition ratio. A second precursor over the first precursor has copper species and gallium species deposited using a second target device with a second Cu/Ga composition ratio substantially equal to the first Cu/Ga composition ratio. A third precursor comprising indium material overlies the second precursor. The precursor layers are subjected to a thermal reaction with at least selenium species to cause formation of an absorber material comprising sodium species and a copper to indium-gallium atomic ratio of about 0.9.

Подробнее
02-02-2012 дата публикации

Semiconductor device and method of manufacturing semiconductor device

Номер: US20120025395A1
Принадлежит: Renesas Electronics Corp, Ulvac Inc

A semiconductor device includes: a first porous layer that is formed over a substrate and includes a SiO 2 skeleton; a second porous layer that is formed immediately above the first porous layer and includes a SiO 2 skeleton; a via wiring that is provided in the first porous layer; and a trench wiring that is buried in the second porous layer. The first porous layer has a pore density x 1 of 40% or below and the second porous layer has a pore density x 2 of (x 1 +5) % or above.

Подробнее
09-02-2012 дата публикации

Semiconductor substrate, semiconductor device, and method of producing semiconductor substrate

Номер: US20120032312A1
Принадлежит: Denso Corp, Sumco Corp

A semiconductor substrate which allows desired electrical characteristics to be more easily acquired, a semiconductor device of the same, and a method of producing the semiconductor substrate. The method of producing this semiconductor substrate is provided with: a first epitaxial layer forming step (S 1 ) of forming a first epitaxial layer; a trench forming step (S 2 ) of forming trenches in the first epitaxial layer; and epitaxial layer forming steps (S 3, S 4, S 5 ) of forming epitaxial layers on the first epitaxial layer and inside the trenches, using a plurality of growth conditions including differing growth rates, so as to fill the trenches, and keeping the concentration of dopant taken into the epitaxial layers constant in the plurality of growth conditions.

Подробнее
09-02-2012 дата публикации

Methods of fabricating semiconductor devices having various isolation regions

Номер: US20120034757A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A method of fabricating a semiconductor device includes forming a first trench and a second trench in a semiconductor substrate, forming a first insulator to completely fill the first trench, the first insulator covering a bottom surface and lower sidewalls of the second trench and exposing upper sidewalls of the second trench, and forming a second insulator on the first insulator in the second trench.

Подробнее
09-02-2012 дата публикации

Method of removing contaminants and native oxides from a substrate surface

Номер: US20120034761A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention generally relate to methods for removing contaminants and native oxides from substrate surfaces. The methods generally include exposing a substrate having an oxide layer thereon to an oxidizing source. The oxidizing source oxidizes an upper portion of the substrate beneath the oxide layer to form an oxide layer having an increased thickness. The oxide layer with the increased thickness is then removed to expose a clean surface of the substrate. The removal of the oxide layer generally includes removal of contaminants present in and on the oxide layer, especially those contaminants present at the interface of the oxide layer and the substrate. An epitaxial layer may then be formed on the clean surface of the substrate.

Подробнее
09-02-2012 дата публикации

Substrate processing apparatus and producing method of semiconductor device

Номер: US20120034788A1
Принадлежит: Hirohisa Yamazaki, Masanori Sakai, Toru Kagaya

A substrate treatment apparatus includes a reaction tube and a heater heating a silicon wafer. Trimethyl aluminum (TMA) and ozone (O 3 ) are alternately fed into the reaction tubeto generate Al 2 O 3 film on the surface of the wafer. The apparatus also includes supply tubes and for flowing the ozone and TMA and a nozzle supplying gas into the reaction tube. The two supply tubes are connected to the nozzle disposed inside the heater in a zone inside the reaction tube where a temperature is lower than a temperature near the wafer, and the ozone and TMA are supplied into the reaction tube through the nozzle.

Подробнее
16-02-2012 дата публикации

Thin film transistor and method for manufacturing thin film transistor

Номер: US20120037897A1
Принадлежит: Bridgestone Corp

(1) Disclosed is a thin film transistor comprising elements, namely a source electrode, a drain electrode, a gate electrode, a channel layer and a gate insulating film, said thin film transistor being characterized in that the channel layer is formed of an indium oxide film that is doped with tungsten and zinc and/or tin. (2) Disclosed is a bipolar thin film transistor comprising elements, namely a source electrode, a drain electrode, a gate electrode, a channel layer and a gate insulating film, said bipolar thin film transistor being characterized in that the channel layer is a laminate of an organic material film and a metal oxide film that contains indium doped with at least one of tungsten, tin or titanium and has an electrical resistivity that is controlled in advance. (3) Disclosed is a method for manufacturing a thin film transistor comprising elements, namely a source electrode, a drain electrode, a gate electrode, a channel layer and a gate insulating film, said method for manufacturing a thin film transistor being characterized in that at least the channel layer or a part of the channel layer is formed by forming a metal oxide film by a sputtering process using an In-containing target without heating the substrate, and a heat treatment is carried out after forming the above-described elements on the substrate.

Подробнее
16-02-2012 дата публикации

Semiconductor device with protective films and manufacturing method thereof

Номер: US20120037963A1
Автор: Kiyotaka Yonekawa
Принадлежит: Oki Semiconductor Co Ltd

A semiconductor device includes a semiconductor substrate having a drain region, a source region and an impurity diffusion region; an oxide film formed on the impurity diffusion region; a first protective film including a SiN film as a principle component and being formed on the oxide film; and a second protective film containing carbon and being formed on the first protective film. A method of manufacturing the semiconductor device, includes doping an impurity into a semiconductor substrate, thereby forming a drain region, a source region and an impurity diffusion region; forming an oxide film on the impurity diffusion region; forming a first protective film including a SiN film as a principle component on the oxide film; and forming a second protective film containing carbon on the first protective film.

Подробнее
16-02-2012 дата публикации

Method for forming silicon film having microcrystal structure

Номер: US20120040519A1
Автор: Ching-ting Lee

A method for forming a silicon film having a microcrystal structure is provided. The method includes following steps. A plasma-enhanced chemical vapor deposition system having a reaction chamber, a top electrode and a bottom electrode is provided. The top electrode and the bottom electrode are opposite and disposed in the reaction chamber. A substrate is disposed on the bottom electrode. A silane gas is applied into the reaction chamber. A silicon film having a microcrystal structure is formed by simultaneously irradiating the silane gas in the reaction chamber by a carbon dioxide laser and performing a plasma-enhanced chemical vapor deposition step.

Подробнее
23-02-2012 дата публикации

Process to make metal oxide thin film transistor array with etch stopping layer

Номер: US20120043538A1
Автор: YAN Ye
Принадлежит: Applied Materials Inc

The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.

Подробнее
23-02-2012 дата публикации

Systems and methods for preparing epitaxially textured polycrystalline films

Номер: US20120045191A1
Автор: James S. Im
Принадлежит: Columbia University of New York

The disclosed subject matter relates to systems and methods for preparing epitaxially textured polycrystalline films. In one or more embodiments, the method for making a textured thin film includes providing a precursor film on a substrate, the film includes crystal grains having a surface texture and a non-uniform degree of texture throughout the thickness of the film, wherein at least a portion of the this substrate is transparent to laser irradiation; and irradiating the textured precursor film through the substrate using a pulsed laser crystallization technique at least partially melt the film wherein the irradiated film crystallizes upon cooling to form crystal grains having a uniform degree of texture.

Подробнее
01-03-2012 дата публикации

Electronic device, manufacturing method of electronic device, and sputtering target

Номер: US20120049183A1
Автор: Shunpei Yamazaki
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A film formation is performed using a target in which a material which is volatilized more easily than gallium when heated at 400° C. to 700° C., such as zinc, is added to gallium oxide by a sputtering method with high mass-productivity which can be applied to a large-area substrate, such as a DC sputtering method or a pulsed DC sputtering method. This film is heated at 400° C. to 700° C., whereby the added material is segregated in the vicinity of a surface of the film. Another portion of the film has a decreased concentration of the added material and a sufficiently high insulating property; therefore, it can be used for a gate insulator of a semiconductor device, or the like.

Подробнее
08-03-2012 дата публикации

Integrated Capacitor Comprising an Electrically Insulating Layer Made of an Amorphous Perovskite-Type Material and Manufacturing Process

Номер: US20120056299A1

An integrated capacitor comprises a layer of dielectric material known as functional dielectric material based on crystallized material of perovskite type, between at least one first electrode known as a bottom electrode at the surface of a substrate and at least one second electrode known as a top electrode, said electrodes being electrically insulated by a layer of electrically insulating material in order to allow at least one contact on the top electrode. The electrically insulating material is made of an amorphous dielectric material of perovskite type having a dielectric constant lower than that of the crystallized material of perovskite type. The contact is formed from an etched contacting layer in contact with the electrically insulating dielectric layer level with its surface parallel to the plane of the layers. A process for manufacturing such an integrated capacitor is also provided.

Подробнее
08-03-2012 дата публикации

Method for manufacturing semiconductor device

Номер: US20120058598A1
Автор: Shunpei Yamazaki
Принадлежит: Semiconductor Energy Laboratory Co Ltd

Electrical characteristics of transistors using an oxide semiconductor are greatly varied in a substrate, between substrates, and between lots, and the electrical characteristics are changed due to heat, bias, light, or the like in some cases. In view of the above, a semiconductor device using an oxide semiconductor with high reliability and small variation in electrical characteristics is manufactured. In a method for manufacturing a semiconductor device, hydrogen in a film and at an interface between films is removed in a transistor using an oxide semiconductor. In order to remove hydrogen at the interface between the films, the substrate is transferred under a vacuum between film formations. Further, as for a substrate having a surface exposed to the air, hydrogen on the surface of the substrate may be removed by heat treatment or plasma treatment.

Подробнее
08-03-2012 дата публикации

Field effect transistor and method for manufacturing the same

Номер: US20120058613A1
Принадлежит: Individual

A field effect transistor (FET) and a method for manufacturing the same, in which the FET may include an isolation film formed on a semiconductor substrate to define an active region, and a gate electrode formed on a given portion of the semiconductor substrate. A channel layer may be formed on a portion of the gate electrode, with source and drain regions formed on either side of the channel layer so that boundaries between the channel layer and the source and drain regions of the FET may be perpendicular to a surface of the semiconductor substrate.

Подробнее
15-03-2012 дата публикации

Method for manufacturing semiconductor device

Номер: US20120064690A1
Принадлежит: Elpida Memory Inc

A method for manufacturing a semiconductor device includes at least forming a lower electrode made of titanium nitride on a semiconductor substrate, forming a dielectric film comprising zirconium oxide, in which at least the uppermost layer of the dielectric film is formed by an atomic layer deposition (ALD) method on the lower electrode, forming a first protective film on the dielectric film without exceeding the film forming temperature of the ALD method over 70° C., and forming an upper electrode made of a titanium nitride on the first protective film.

Подробнее
15-03-2012 дата публикации

Manufacturing method of semiconductor device

Номер: US20120064703A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

An object is to provide a technique by which a semiconductor device including a high-performance and high-reliable transistor is manufactured. A protective conductive film which protects an oxide semiconductor layer when a wiring layer is formed from a conductive layer is formed between the oxide semiconductor layer and the conductive layer, and an etching process having two steps is performed. In a first etching step, an etching is performed under conditions that the protective conductive film is less etched than the conductive layer and the etching selectivity of the conductive layer to the protective conductive film is high. In a second etching step, etching is performed under conditions that the protective conductive film is more easily etched than the oxide semiconductor layer and the etching selectivity of the protective conductive film to the oxide semiconductor layer is high.

Подробнее
15-03-2012 дата публикации

Producing method of semiconductor device and substrate processing apparatus

Номер: US20120064730A1
Принадлежит: Individual

Disclosed is a method for manufacturing a semiconductor device which comprises a step for carrying a plurality of substrates ( 1 ) in a process chamber ( 4 ), a step for supplying an oxygen-containing gas from the upstream side of the substrates ( 1 ) carried in the process chamber ( 4 ), a step for supplying a hydrogen-containing gas from at least one location corresponding to a position within the region where substrates ( 1 ) are placed in the process chamber ( 4 ), a step for oxidizing the substrates ( 1 ) by reacting the oxygen-containing gas with the hydrogen-containing gas in the process chamber ( 4 ), and a step for carrying the thus-processed substrates ( 1 ) out of the process chamber ( 4 ).

Подробнее
22-03-2012 дата публикации

Surface wave plasma cvd apparatus and film forming method

Номер: US20120067281A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus includes a waveguide that is connected to a microwave source and formed of a plurality of slot antennae; a dielectric member that introduces microwaves emitted from the plurality of slot antennae into a plasma processing chamber to generate surface wave plasma; a moving device that reciprocatory moves a substrate-like subject of film formation such that the subject of film formation passes a film formation processing region that faces the dielectric member; and a control device that controls the reciprocatory movement of the subject of film formation by the moving device depending on film forming conditions to perform film formation on the subject of film formation.

Подробнее
29-03-2012 дата публикации

Method of and apparatus for active energy assist baking

Номер: US20120077339A1

A method of and apparatus for forming interconnects on a substrate includes etching patterns in ultra-low k dielectric and removing moisture from the ultra-low k dielectric using active energy assist baking. During active energy assist baking, the ultra-low k dielectric is heated and exposed to light having only wavelengths greater than 400 nm for about 1 to about 20 minutes at a temperature of about 300 to about 400 degrees Celsius. The active energy assist baking is performed after wet-cleaning or after chemical mechanical polishing, or both.

Подробнее
29-03-2012 дата публикации

Substrate treatment method

Номер: US20120077348A1
Принадлежит: Sony Corp

There is provided a substrate treatment method for performing treatment by feeding a chemical liquid to a surface of a substrate, in which, before feeding the chemical liquid to a predetermined area of the substrate, a liquid substance having a resistivity lower than that of the chemical liquid is fed to the surface of the substrate so that the liquid substance wets at least the predetermined area, and then, the chemical liquid is fed to the predetermined area so that the treatment is performed on the substrate with the chemical liquid fed to the surface of the substrate.

Подробнее
05-04-2012 дата публикации

Method Of Forming Hardened Porous Dielectric Layer And Method Of Fabricating Semiconductor Device Having Hardened Porous Dielectric Layer

Номер: US20120083117A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Example embodiments relate to a method of forming a hardened porous dielectric layer. The method may include forming a dielectric layer containing porogens on a substrate, transforming the dielectric layer into a porous dielectric layer using a first UV curing process to remove the porogens from the dielectric layer, and transforming the porous dielectric layer into a crosslinked porous dielectric layer using a second UV curing process to generate crosslinks in the porous dielectric layer.

Подробнее
12-04-2012 дата публикации

Liquid crystal display device

Номер: US20120086012A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A method of manufacturing, with high mass productivity, liquid crystal display devices having highly reliable thin film transistors with excellent electric characteristics is provided. In a liquid crystal display device having an inverted staggered thin film transistor, the inverted staggered thin film transistor is formed as follows: a gate insulating film is formed over a gate electrode; a microcrystalline semiconductor film which functions as a channel formation region is formed over the gate insulating film; a buffer layer is formed over the microcrystalline semiconductor film; a pair of source and drain regions are formed over the buffer layer; and a pair of source and drain electrodes are formed in contact with the source and drain regions so as to expose a part of the source and drain regions.

Подробнее
26-04-2012 дата публикации

Semiconductor device and manufacturing method thereof

Номер: US20120097960A1
Автор: Shunpei Yamazaki
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A semiconductor device having high operating performance and reliability, and a manufacturing method thereof are provided. An LDD region 207 provided in an n-channel TFT 302 forming a driving circuit enhances the tolerance for hot carrier injection. LDD regions 217 - 220 provided in an n-channel TFT (pixel TFT) 304 forming a pixel portion greatly contribute to the decrease in the OFF current value. Here, the LDD region of the n-channel TFT of the driving circuit is formed such that the concentration of the n-type impurity element becomes higher as the distance from an adjoining drain region decreases.

Подробнее
26-04-2012 дата публикации

Method of manufacturing semiconductor device, apparatus for manufacturing same, and storage medium

Номер: US20120100727A1
Автор: Sumie Nagaseki
Принадлежит: Tokyo Electron Ltd

A method of manufacturing a semiconductor device includes steps of: generating positively or negatively charged fine bubbles having substantially zero buoyancy in a coating solution as an insulating film forming material; coating the coating solution including the bubbles on a substrate to form a coating film; and baking the coating film by heating the substrate before the bubbles are removed to obtain a porous low dielectric constant insulating film.

Подробнее
03-05-2012 дата публикации

Semiconductor device and method for manufacturing the same

Номер: US20120104386A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

As a display device has a higher definition, the number of pixels, gate lines, and signal lines are increased. When the number of the gate lines and the signal lines are increased, there occurs a problem that it is difficult to mount an IC chip including a driver circuit for driving the gate and signal lines by bonding or the like, whereby manufacturing cost is increased. A pixel portion and a driver circuit for driving the pixel portion are provided over the same substrate, and at least part of the driver circuit includes a thin film transistor using an oxide semiconductor interposed between gate electrodes provided above and below the oxide semiconductor. The pixel portion and the driver portion are provided over the same substrate, whereby manufacturing cost can be reduced.

Подробнее
10-05-2012 дата публикации

Oxide semiconductor, thin film transistor including the same and thin film transistor display panel including the same

Номер: US20120112181A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An oxide semiconductor including: (A) at least one element of zinc (Zn) and tin (Sn); and (B) at least one element of arsenic (As), antimony (Sb), chromium (Cr), cerium (Ce), tantalum (Ta), neodymium (Nd), niobium (Nb), scandium (Sc), yttrium (Y), and hafnium (Hf), is provided.

Подробнее
10-05-2012 дата публикации

Method of depositing dielectric films using microwave plasma

Номер: US20120115334A1
Автор: Hiroyuki Takaba
Принадлежит: Tokyo Electron Ltd

Embodiments of the invention describe a method for forming dielectric films for semiconductor devices. The method includes providing a substrate in a process chamber containing a microwave plasma source, introducing into the process chamber a non-metal-containing process gas including a deposition gas having a carbon-nitrogen intermolecular bond, forming a plasma from the process gas, and exposing the substrate to the plasma to deposit carbon-nitrogen-containing film on the substrate. In some embodiments, the carbon-nitrogen-containing film can include a CN film, a CNO film, a Si-doped CN film, or a Si-doped CNO film.

Подробнее
17-05-2012 дата публикации

Selenization of precursor layer containing culns2 nanoparticles

Номер: US20120122268A1
Принадлежит: PURDUE RESEARCH FOUNDATION

A method of fabrication of thin films for photovoltaic or electronic applications is provided. The method includes fabricating a nanocrystal precursor layer and selenizing the nanocrystal precursor layer in a selenium containing atmosphere. The nanocrystal precursor layer includes one of CuInS 2 , CuIn(S y ,Se 1−y ) 2 , CuGaS 2 , CuGa(S y ,Se 1−y ) 2 , Cu(In x Ga 1−x )S 2 , and Cu(In x Ga 1−x )(S y ,Se 1−y ) 2 nanoparticles and combinations thereof, wherein 0≦x≦1 and 1≦y≦0.

Подробнее
24-05-2012 дата публикации

Method of manufacturing semiconductor device, semiconductor device and substrate processing apparatus

Номер: US20120126355A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film.

Подробнее
24-05-2012 дата публикации

Display device and manufacturing method of the same

Номер: US20120129288A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A display device including a thin film transistor with high electric characteristics and high reliability, and a method for manufacturing the display device with high mass-productivity. In a display device including an inverted-staggered channel-stop-type thin film transistor, the inverted-staggered channel-stop-type thin film transistor includes a microcrystalline semiconductor film including a channel formation region, and an impurity region containing an impurity element of one conductivity type is selectively provided in a region which is not overlapped with source and drain electrodes, in the channel formation region of the microcrystalline semiconductor film.

Подробнее
31-05-2012 дата публикации

Semiconductor film, semiconductor element, semiconductor device, and method for manufacturing the same

Номер: US20120132907A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

One of objects is to provide a semiconductor film having stable characteristics. Further, one of objects is to provide a semiconductor element having stable characteristics. Further, one of objects is to provide a semiconductor device having stable characteristics. Specifically, a structure which includes a seed crystal layer (seed layer) including crystals each having a first crystal structure, one of surfaces of which is in contact with an insulating surface, and an oxide semiconductor film including crystals growing anisotropically, which is on the other surface of the seed crystal layer (seed layer) may be provided. With such a heterostructure, electric characteristics of the semiconductor film can be stabilized.

Подробнее
31-05-2012 дата публикации

Method of manufacturing porous insulating film

Номер: US20120135611A1
Принадлежит: Renesas Electronics Corp

A method includes forming an insulating film over a substrate by introducing a cyclic siloxane compound having a cyclic siloxane as a skeleton and having at least one volatile hydrocarbon group bonded to a side chain, and a silicon-containing compound into a plasma, and converting the insulating film to a porous insulating film by adding energy to the insulating film. The silicon-containing compound is decomposed using less energy as compared with the skeleton of the cyclic siloxane compound, the volatile hydrocarbon group, and the bond between the cyclic siloxane compound and the volatile hydrocarbon group.

Подробнее
31-05-2012 дата публикации

Method and System of Improved Uniformity Testing

Номер: US20120136601A1
Принадлежит: Intermolecular Inc

A method and system includes a first substrate and a second substrate, each substrate comprising a predetermined baseline transmittance value at a predetermine wavelength of light, processing regions on the first substrate by combinatorially varying at least one of materials, process conditions, unit processes, and process sequences associated with the graphene production, performing a first characterization test on the processed regions on the first substrate to generate first results, processing regions on a second substrate in a combinatorial manner by varying at least one of materials, process conditions, unit processes, and process sequences associated with the graphene production based on the first results of the first characterization test, performing a second characterization test on the processed regions on the second substrate to generate second results, and determining whether at least one of the first substrate and the second substrate meet a predetermined quality threshold based on the second results.

Подробнее
07-06-2012 дата публикации

SYNTHESIS OF MULTINARY CHALCOGENIDE NANOPARTICLES COMPRISING Cu, Zn, Sn, S, AND Se

Номер: US20120138866A1
Принадлежит: PURDUE RESEARCH FOUNDATION

Nanoparticle compositions and methods for synthesizing multinary chalcogenide CZTSSe nanoparticles containing Cu, Zn, and Sn in combination with S, Se or both are described. The nanoparticles may be incorporated into one or more ink solutions alone or in combination with other chalcogenide-based particles to make thin films useful for photovoltaic applications, including thin films from multilayer particle films having a composition profile. The composition and stoichiometry of the thin films may be further modified by subjecting the particle films to gas or liquid phase chalcogen exchange reactions.

Подробнее
07-06-2012 дата публикации

Oxide semiconductor film and semiconductor device

Номер: US20120138922A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

An oxide semiconductor film which has more stable electric conductivity is provided. Further, a semiconductor device which has stable electric characteristics and high reliability is provided by using the oxide semiconductor film. An oxide semiconductor film includes a crystalline region, and the crystalline region includes a crystal in which an a-b plane is substantially parallel with a surface of the film and a c-axis is substantially perpendicular to the surface of the film; the oxide semiconductor film has stable electric conductivity and is more electrically stable with respect to irradiation with visible light, ultraviolet light, and the like. By using such an oxide semiconductor film for a transistor, a highly reliable semiconductor device having stable electric characteristics can be provided.

Подробнее
07-06-2012 дата публикации

Thin film transistor, method for manufacturing same, active matrix substrate, display panel and display device

Номер: US20120138923A1
Принадлежит: Sharp Corp

The present invention provides a thin film transistor including an oxide semiconductor layer ( 4 ) for electrically connecting a signal electrode ( 6 a ) and a drain electrode ( 7 a ), the an oxide semiconductor layer being made from an oxide semiconductor; and a barrier layer ( 6 b ) made from at least one selected from the group consisting of Ti, Mo, W, Nb, Ta, Cr, nitrides thereof, and alloys thereof, the barrier layer ( 6 b ) being in touch with the signal electrode ( 6 a ) and the oxide semiconductor layer ( 4 ) and separating the signal electrode ( 6 a ) from the oxide semiconductor layer ( 4 ). Because of this configuration, the thin film transistor can form and maintain an ohmic contact between the first electrode and the channel layer, thereby being a thin film transistor with good properties.

Подробнее
07-06-2012 дата публикации

Semiconductor device and method for forming the same

Номер: US20120139016A1
Автор: Youfeng He

A semiconductor device and a method for forming the same are provided. The method includes: providing a substrate having a gate structure and first spacers on both sidewalls of the gate structure formed on a top surface of the substrate; forming first openings in the substrate by using the first spacers as a mask, wherein the first openings are located on both sides of the gate structure; forming second openings by etching the first openings with an etching gas, wherein each of the second openings is an expansion of a corresponding one of the first openings toward the gate structure and extends to underneath an adjacent first spacer; and forming epitaxial layers in the first openings and the second openings.

Подробнее
07-06-2012 дата публикации

Method of manufacturing display apparatus

Номер: US20120142131A1
Принадлежит: Canon Inc

Provided is a method of manufacturing a display apparatus, including forming a drive circuit and a light-emitting portion on a substrate in which the forming the light-emitting portion includes forming a transparent anode electrode for applying a charge to an emission layer, forming a first coating layer and a second coating layer on the transparent anode electrode, removing the first coating layer by etching using the second coating layer as a mask, and forming a layer including the emission layer on a part of the transparent anode electrode from which the first coating layer is removed. A surface of the transparent anode electrode becomes as clean as a surface cleaned with ultraviolet irradiation.

Подробнее
14-06-2012 дата публикации

Thin film transistor (tft) having copper electrodes

Номер: US20120146018A1

A TFT structure is provided in which an oxidic semiconductor is used in combination with an electrode material based on a Cu alloy.

Подробнее
14-06-2012 дата публикации

Insulating region for a semiconductor substrate

Номер: US20120146175A1

An insulating region for a semiconductor wafer and a method of forming same. The insulating region can include a tri-layer structure of silicon oxide, boron nitride and silicon oxide. The insulating region may be used to insulate a semiconductor device layer from an underlying bulk semiconductor substrate. The insulating region can be formed by coating the sides of a very thin cavity with silicon oxide, and filling the remainder of the cavity between the silicon oxide regions with boron nitride.

Подробнее
14-06-2012 дата публикации

Semiconductor having interconnects with improved mechanical properties by insertion of nanoparticles

Номер: US20120146224A1
Принадлежит: International Business Machines Corp

In a BEOL process, UV radiation is used in a curing process of ultra low-k (ULK) dielectrics. This radiation penetrates through the ULK material and reaches the cap film underneath it. The interaction between the UV light and the film leads to a change the properties of the cap film. Of particular concern is the change in the stress state of the cap from compressive to tensile stress. This leads to a weaker dielectric-cap interface and mechanical failure of the ULK film. A layer of nanoparticles is inserted between the cap and the ULK film. The nanoparticles absorb the UV light before it can damage the cap film, thus maintaining the mechanical integrity of the ULK dielectric.

Подробнее
14-06-2012 дата публикации

Method for forming stair-step structures

Номер: US20120149201A1
Автор: Hyun-Yong Yu, Qian Fu
Принадлежит: Lam Research Corp

A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.

Подробнее
21-06-2012 дата публикации

Silicon dioxide film fabricating process

Номер: US20120156891A1
Принадлежит: United Microelectronics Corp

A silicon dioxide film fabricating process includes the following steps. Firstly, a substrate is provided. A rapid thermal oxidation-in situ steam generation process is performed to form a silicon dioxide film on the substrate. An annealing process is performed to anneal the substrate in a first gas mixture at a temperature in the range of 1000° C. to 1100° C.

Подробнее
28-06-2012 дата публикации

Semiconductor Device

Номер: US20120161226A1
Автор: Mohamed N. Darwish
Принадлежит: MaxPower Semiconductor Inc

A semiconductor device includes a semiconductor layer of a first conductivity type and a semiconductor layer of a second conductivity type formed thereon. The semiconductor layer of the second conductivity type is characterized by a first thickness. The semiconductor device includes a set of trenches having a predetermined depth and extending into the semiconductor layer of the second conductivity type, thereby defining interfacial regions disposed between the semiconductor layer of the second conductivity type and each of the trenches. The trenches comprises a distal portion consisting essentially of a dielectric material disposed therein and a proximal portion comprising the dielectric material and a gate material disposed interior to the dielectric material in the proximal portion of the trench. The semiconductor device further includes a source region coupled to the semiconductor layer of the second conductivity type.

Подробнее
28-06-2012 дата публикации

Variable-Density Plasma Processing of Semiconductor Substrates

Номер: US20120164834A1
Принадлежит: Novellus Systems Inc

Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region.

Подробнее
28-06-2012 дата публикации

Thin film forming method, thin film forming apparatus, and program

Номер: US20120164847A1
Принадлежит: Tokyo Electron Ltd

A control unit heats a reaction pipe to a load temperature by controlling a temperature-raising heater 16, and then makes semiconductor wafers received in the reaction pipe. Next, the control unit heats the reaction pipe in which the semiconductor wafers are received to a film formation temperature by controlling the temperature-raising heater, and then forms thin films on the semiconductor wafers by supplying a film forming gas into the reaction pipe from a process gas introducing pipe. Also, the control unit sets the load temperature to a temperature higher than the film formation temperature.

Подробнее
05-07-2012 дата публикации

Wafer washing water and wafer washing method

Номер: US20120172273A1
Принадлежит: Kurita Water Industries ltd

The invention provides a wafer washing technique which does not require complicated operations and by which a wafer is washed with ultrapure water through relatively simple operations without contaminating the wafer surface with metals even if the ultrapure water contains metal ions on the ng/L (ppt) level. Wafer washing water includes ultrapure water to which a substance having an affinity for metal ions has been added. A wafer washing method uses this wafer washing water. A substance that exhibits an affinity for metal ions is added beforehand to wafer washing ultrapure water. As a result, the substance captures metal ions present in the ultrapure water and stabilizes them in water, thereby effectively preventing the metal ions from migrating toward the wafer surface and becoming attached to the wafer surface during washing.

Подробнее
12-07-2012 дата публикации

Semiconductor device and method of manufacturing the same

Номер: US20120178226A1
Автор: Kozo Makiyama
Принадлежит: Fujitsu Ltd

A semiconductor device includes a substrate, a compound semiconductor layer formed over the substrate, and a protective insulating film composed of silicon nitride, which is formed over a surface of the compound semiconductor layer and whose film density in an intermediate portion is lower than that in a lower portion.

Подробнее
12-07-2012 дата публикации

Manufacturing method of the semiconductor device

Номер: US20120178249A1
Автор: Shunpei Yamazaki
Принадлежит: Semiconductor Energy Laboratory Co Ltd

The semiconductor device is manufactured through the following steps: after first heat treatment is performed on an oxide semiconductor film, the oxide semiconductor film is processed to form an oxide semiconductor layer; immediately after that, side walls of the oxide semiconductor layer are covered with an insulating oxide; and in second heat treatment, the side surfaces of the oxide semiconductor layer are prevented from being exposed to a vacuum and defects (oxygen deficiency) in the oxide semiconductor layer are reduced.

Подробнее
19-07-2012 дата публикации

Semiconductor processing system and methods using capacitively coupled plasma

Номер: US20120180954A1
Принадлежит: Applied Materials Inc

Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.

Подробнее
19-07-2012 дата публикации

Semiconductor element and manufacturing method of the same

Номер: US20120181531A1
Принадлежит: ROHM CO LTD

A semiconductor element includes a semiconductor layer mainly composed of Mg x Zn 1-x O (0<=x<1), in which manganese contained in the semiconductor layer as impurities has a density of not more than 1×10 16 cm −3 .

Подробнее
19-07-2012 дата публикации

Thin film transistor array panel

Номер: US20120181533A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A thin film transistor array panel includes: an substrate; a gate line positioned on the substrate; a data line intersecting the gate line; a thin film transistor connected to the gate line and the data line; a gate insulating layer between the gate electrode of the thin film transistor and the semiconductor of the thin film transistor; a pixel electrode connected to the thin film transistor; and a passivation layer positioned between the pixel electrode and the thin film transistor, wherein at least one of the gate insulating layer and the passivation layer includes a silicon nitride layer, and the silicon nitride layer includes hydrogen content at less than 2×10 22 cm 3 or 4 atomic %.

Подробнее
19-07-2012 дата публикации

Semiconductor Device, An Electronic Device and an Electronic Apparatus

Номер: US20120181633A1
Автор: Masayasu Miyata
Принадлежит: Seiko Epson Corp

A semiconductor device 1 includes: a base 2 mainly formed of a semiconductor material; a gate electrode 5 ; and a gate insulating film 3 provided between the base 2 and the gate electrode 5 . The gate insulating film 3 is formed of an insulative inorganic material containing silicon, oxygen and element X other than silicon and oxygen as a main material. The gate insulating film 3 is provided in contact with the base 2 , and contains hydrogen atoms. The gate insulating film 3 has a region where A and B satisfy the relation: B/A is 10 or less in the case where the total concentration of the element X in the region is defined as A and the total concentration of hydrogen in the region is defined as B. Further, the region is at least apart of the gate insulating film 3 in the thickness direction thereof.

Подробнее
19-07-2012 дата публикации

Semiconductor device

Номер: US20120181660A1
Автор: Naonori Fujiwara
Принадлежит: Elpida Memory Inc

A semiconductor device comprises a capacitor, the capacitor including a lower electrode, a dielectric film containing crystalline zirconium oxide formed on the lower electrode, and an upper electrode containing a titanium nitride film contacting to the dielectric film, wherein the dielectric film comprises an amorphous film on an interface with the titanium nitride film, thereby preventing the reduction of the thickness of the titanium nitride film formed on the dielectric electrode with a low leakage current and a high dielectric constant.

Подробнее
19-07-2012 дата публикации

Display device and method for manufacturing thereof

Номер: US20120182208A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

An object is to provide a system-on-panel display device including a display portion and a peripheral circuit for controlling display on the display portion over one substrate, which can operate more accurately. The display device has a display portion provided with a pixel portion including a plurality of pixels and a peripheral circuit portion for controlling display on the display portion, which are provided over a substrate. Each of the display portion and the peripheral circuit portion includes a plurality of transistors. For semiconductor layers of the transistors, single crystal semiconductor materials are used.

Подробнее
26-07-2012 дата публикации

Field effect device provided with a localized dopant diffusion barrier area and fabrication method

Номер: US20120187489A1

The field effect device comprises a sacrificial gate electrode having side walls covered by lateral spacers formed on a semiconductor material film. The source/drain electrodes are formed in the semiconductor material film and are arranged on each side of the gate electrode. A diffusion barrier element is implanted through the void left by the sacrificial gate so as to form a modified diffusion area underneath the lateral spacers. The modified diffusion area is an area where the mobility of the doping impurities is reduced compared with the source/drain electrodes.

Подробнее
26-07-2012 дата публикации

Mask and method of manufacturing array substrate using the same

Номер: US20120190157A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A mask includes: a substrate that includes a central area and a peripheral area disposed around the central area; and lenses disposed in rows and columns, in the central area and the peripheral area. The lenses of opposing sides of the peripheral area may be disposed in different rows or columns. For a given amount of input light, the lenses of the peripheral area may focus less light on a substrate than the lenses of the central area. The mask may be disposed over the substrate in different positions, and then the substrate may be irradiated through the mask, while the mask is in each of the positions. The peripheral portion of the mask may be disposed over the same area of the substrate, while the mask is in different ones of the positions.

Подробнее
02-08-2012 дата публикации

Methods of forming an insulating metal oxide

Номер: US20120196448A1
Автор: Kie Y. Ahn, Leonard Forbes
Принадлежит: Individual

A dielectric containing an insulating metal oxide film having multiple metal components and a method of fabricating such a dielectric produce a reliable dielectric for use in a variety of electronic devices. Embodiments include a titanium aluminum oxide film structured as one or more monolayers. Embodiments also include structures for capacitors, transistors, memory devices, and electronic systems with dielectrics containing a titanium aluminum oxide film.

Подробнее
09-08-2012 дата публикации

Semiconductor device including high field regions and related method

Номер: US20120199906A1
Принадлежит: International Business Machines Corp

A semiconductor device is disclosed. In an embodiment, a semiconductor device includes a N-well within a P-well in a silicon layer, the silicon layer positioned atop a buried oxide layer of a silicon-on-insulator (SOI) substrate; a first source region and a second source region within a portion of the P-well; a first drain region and a second drain region within a portion of the P-well and within a portion of the N-well; and a gate positioned atop the N-well, wherein a lateral high field region is generated between the N-well and the P-well and a vertical high field region is generated between the gate and the N-well. A related method is disclosed.

Подробнее
09-08-2012 дата публикации

Method for Growth of Indium-Containing Nitride Films

Номер: US20120199952A1
Принадлежит: Soraa Inc

A method for growth of indium-containing nitride films is described, particularly a method for fabricating a gallium, indium, and nitrogen containing material. On a substrate having a surface region a material having a first indium-rich concentration is formed, followed by a second thickness of material having a first indium-poor concentration. Then a third thickness of material having a second indium-rich concentration is added to form a sandwiched structure which is thermally processed to cause formation of well-crystallized, relaxed material within a vicinity of a surface region of the sandwich structure.

Подробнее
16-08-2012 дата публикации

Combined Injection Module For Sequentially Injecting Source Precursor And Reactant Precursor

Номер: US20120207926A1
Автор: Sang In LEE
Принадлежит: Synos Technology Inc

Performing atomic layer deposition using a combined injector that sequentially injects source precursor and reactant precursor onto a substrate. The source precursor is injected into the injector via a first channel, injected onto the substrate and then discharged through a first exhaust portion. The reactant precursor is then injected into the injector via a second channel separate from the first channel, injected onto the substrate and then discharged through a second exhaust portion separate from the first exhaust portion. After injecting the source precursor or the reactant precursor, a purge gas may be injected into the injector and discharged to remove any source precursor or reactant precursor remaining in paths from the first or second channel to the first or second exhaust portion.

Подробнее
16-08-2012 дата публикации

Semiconductor device having a metal oxide channel

Номер: US20120208318A1
Принадлежит: Individual

A semiconductor device includes a metal oxide channel and methods for forming the same. The metal oxide channel includes indium, gallium, and zinc.

Подробнее
23-08-2012 дата публикации

Semiconductor device

Номер: US20120211744A1
Автор: Kosei Noda, Masumi Nomura
Принадлежит: Semiconductor Energy Laboratory Co Ltd

It is an object to reduce concentration of an electric field on an end of a drain electrode of a semiconductor device. A semiconductor device includes an oxide semiconductor film including a first region and a second region; a pair of electrodes which is partly in contact with the oxide semiconductor film; a gate insulating film over the oxide semiconductor film; and a gate electrode that overlaps with part of one of the pair of electrodes and the first region with the gate insulating film provided therebetween. At least part of the first region and part of the second region are between the pair of electrodes. The gate electrode does not overlap with the other of the pair of electrodes.

Подробнее
30-08-2012 дата публикации

Method for fabricating semiconductor device

Номер: US20120220130A1
Автор: Chai-O CHUNG
Принадлежит: Hynix Semiconductor Inc

A method for fabricating a semiconductor device includes forming a trench over a substrate, forming a spin on dielectric (SOD) layer in a first part of the trench, and forming an oxide layer within the trench, where the oxide layer is formed over the SOD layer by using a process for plasma chemical vapor deposition.

Подробнее
06-09-2012 дата публикации

Reduced pattern loading using silicon oxide multi-layers

Номер: US20120225565A1
Принадлежит: Applied Materials Inc

Aspects of the disclosure pertain to methods of depositing conformal silicon oxide multi-layers on patterned substrates. The conformal silicon oxide multi-layers are each formed by depositing multiple sub-layers. Sub-layers are deposited by flowing BIS(DIETHYLAMINO)SILANE (BDEAS) and an oxygen-containing precursor into a processing chamber such that a relatively uniform dielectric growth rate is achieved across the patterned substrate surface. A plasma treatment may follow formation of sub-layers to further improve conformality and to decrease the wet etch rate of the conformal silicon oxide multi-layer film. The deposition of conformal silicon oxide multi-layers grown according to embodiments have a reduced dependence on pattern density while still being suitable for non-sacrificial applications.

Подробнее
20-09-2012 дата публикации

Fully-depleted son

Номер: US20120235238A1
Принадлежит: International Business Machines Corp

A semiconductor device and a method of fabricating a semiconductor device. The semiconductor device includes a semiconductor substrate, an insulating layer, a first semiconductor layer, a dielectric layer, a second semiconductor layer, a source and drain junction, a gate, and a spacer. The method includes the steps of forming a semiconductor substrate, forming a shallow trench isolation layer, growing a first epitaxial layer, growing a second epitaxial layer, forming a gate, forming a spacer, performing a reactive ion etching, removing a portion of the first epitaxial layer, filling the void with a dielectric, etching back a portion of the dielectric, growing a silicon layer, implanting a source and drain junction, and forming an extension.

Подробнее
20-09-2012 дата публикации

Chalcogenide Absorber Layers for Photovoltaic Applications and Methods of Manufacturing the Same

Номер: US20120238053A1
Принадлежит: AQT SOLAR Inc

In one example embodiment, a method includes depositing one or more thin-film layers onto a substrate. More particularly, at least one of the thin-film layers comprises at least one electropositive material and at least one of the thin-film layers comprises at least one chalcogen material suitable for forming a chalcogenide material with the electropositive material. The method further includes annealing the one or more deposited thin-film layers at an average heating rate of or exceeding 1 degree Celsius per second. The method may also include cooling the annealed one or more thin-film layers at an average cooling rate of or exceeding 0.1 degrees Celsius per second.

Подробнее
20-09-2012 дата публикации

Methods for etch of sin films

Номер: US20120238102A1
Принадлежит: Applied Materials Inc

A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

Подробнее
27-09-2012 дата публикации

Oxide semiconductor film and semiconductor device

Номер: US20120241735A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

Provided is an oxide semiconductor film which has more stable electric characteristics and essentially consists of indium zinc oxide. In addition, provided is a highly reliable semiconductor device which has stable electric characteristics by using the oxide semiconductor film. The oxide semiconductor film essentially consisting of indium zinc oxide has a hexagonal crystal structure in which the a-b plane is substantially parallel to a surface of the oxide semiconductor film and a rhombohedral crystal structure in which the a-b plane is substantially parallel to the surface of the oxide semiconductor film.

Подробнее
27-09-2012 дата публикации

Semiconductor device and method for manufacturing the same

Номер: US20120241736A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

In the transistor including an oxide semiconductor film, a gate insulating film of the transistor including an oxide semiconductor film has a stacked-layer structure of the hydrogen capture film and the hydrogen permeable film. At this time, the hydrogen permeable film is formed on a side which is in contact with the oxide semiconductor film, and the hydrogen capture film is formed on a side which is in contact with a gate electrode. After that, hydrogen released from the oxide semiconductor film is transferred to the hydrogen capture film through the hydrogen permeable film by the heat treatment.

Подробнее
27-09-2012 дата публикации

Method of manufacturing a base substrate for a semi-conductor on insulator type substrate

Номер: US20120244687A1
Принадлежит: Soitec SA

A method and system are provided for manufacturing a base substrate that is used in manufacturing semi-conductor on insulator type substrate. The base substrate may be manufactured by providing a silicon substrate having an electrical resistivity above 500 Ohm·cm; cleaning the silicon substrate so as to remove native oxide and dopants from a surface thereof; forming, on the silicon substrate, a layer of dielectric material; and forming, on the layer of dielectric material, a layer of poly-crystalline silicon. These actions are implemented successively in an enclosure.

Подробнее
27-09-2012 дата публикации

Tray for cvd and method for forming film using same

Номер: US20120244703A1
Принадлежит: Sumco Corp

A tray for film formation by a CVD method includes a tray main body ( 2 ) and a supporting member ( 3 ) mounted on the tray main body ( 2 ) for supporting a silicon wafer ( 5 ). The supporting member ( 3 ) has a holding portion ( 3 c ), on which the silicon wafer ( 5 ) is directly placed. The holding portion ( 3 c ) has its lower surface ( 3 d ) apart from a surface ( 2 a ) of the tray main body that is opposed to and apart from the supported silicon wafer ( 5 ), whereby the thickness distribution of an oxide film formed on the silicon wafer can be made uniform. The tray has a structure for reducing a contact area between the supporting member ( 3 ) and the tray main body ( 2 ), with the holding portion ( 3 c ) having a tilted surface with its inner circumferential side closer to the tray main body surface ( 2 a ) that is opposed to the silicon wafer.

Подробнее
27-09-2012 дата публикации

Processing method and storage medium

Номер: US20120244720A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a processing method that removes moisture in a low permittivity film formed on a substrate to be processed which has a damaged layer on the surface thereof while maintaining the specific permittivity or a leakage current value low when the film is subjected to a recovery processing. The method for the recovery processing includes applying, on the damaged layer of the low permittivity film, a first processing gas whose molecules are small sufficient to permeate the inside of the damaged layer of the low permittivity film and which is able to remove the moisture in the damaged layer and a second processing gas which forms a hydrophobic dense reformatted layer on the surface of the damaged layer, thereby allowing the first processing gas and the second processing gas to react with the damaged layer.

Подробнее
04-10-2012 дата публикации

Apparatus for delivering a process gas

Номер: US20120247581A1
Принадлежит: Individual

A processing system for delivering a process gas to a reaction chamber using a recipe having a recipe flow rate is provided. The processing system includes a gas flow delivery system configured for delivering the process gas, wherein said gas flow delivery system controlled by a mass flow controller (MFC) to an orifice. The predicted flow rate is previously computed by pressurizing a gas. The predicted flow rate further being previously computed measuring a set of upstream pressure values of the gas via at least one sensor. The processing system also includes a programmed computing device configured for applying a calibration factor of a set of calibration factors to determine the predicted flow rate, the calibration factor being a ratio of an average of the set of upstream pressure values to an average of a set of golden upstream pressure values.

Подробнее
04-10-2012 дата публикации

Method for controlling the electrical conduction between two metallic portions and associated device

Номер: US20120248568A1
Автор: Serge Blonkowski
Принадлежит: STMicroelectronics Crolles 2 SAS

A method for controlling the electrical conduction between two electrically conductive portions may include placing of an at least partially ionic crystal between the two electrically conductive portions. The crystal may include at least one surface region coupled to the two electrically conductive portions. The surface region is insulating under the application of an electrical field to the surface region, and electrically conductive in the absence of the electrical field. An application or not of an electrical field to the at least one surface region reduces or establishes the electrical conduction.

Подробнее
04-10-2012 дата публикации

Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication

Номер: US20120252204A1
Принадлежит: International Business Machines Corp

An interconnect structure is provided that includes at least one patterned and cured low-k material located on a surface of a patterned graded cap layer. The at least one cured and patterned low-k material and the patterned graded cap layer each have conductively filled regions embedded therein. The patterned and cured low-k material is a cured product of a functionalized polymer, copolymer, or a blend including at least two of any combination of polymers and/or copolymers having one or more acid-sensitive imageable groups, and the graded cap layer includes a lower region that functions as a barrier region and an upper region that has antireflective properties of a permanent antireflective coating.

Подробнее
04-10-2012 дата публикации

Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device

Номер: US20120252227A1
Принадлежит: Fujitsu Semiconductor Ltd

A method of manufacturing a semiconductor device includes the steps of: preparing an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O 2 to bring the surface more hydrophilic. Film peel-off and cracks in the interlayer insulating layer decrease.

Подробнее
11-10-2012 дата публикации

Thin film transistor, organic luminescence display including the same, and method of manufacturing the organic luminescence display

Номер: US20120256176A1
Автор: Eun-Hyun Kim, Hui-Won Yang
Принадлежит: Samsung Mobile Display Co Ltd

A thin film transistor (TFT) including a substrate; a gate electrode formed over the substrate, an active layer insulated from the gate electrode by using a gate insulation film; an etch stop layer which is formed over the active layer and includes first and second holes for exposing the active layer; a first electrode; and a second electrode including a first part and a second part. The first part is formed over the etch stop layer, and the second part is received in the second hole, contacts the active layer directly, and connects the first part to the active layer. At least one portion of the first part of the second electrode overlaps with the gate electrode. The second part of the second electrode does not overlap with and is separated from the gate electrode.

Подробнее
11-10-2012 дата публикации

Manufacturing method of semiconductor device

Номер: US20120258575A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

To provide a highly reliable semiconductor device manufactured by giving stable electric characteristics to a semiconductor device including an oxide semiconductor. In a manufacturing process of a transistor, an oxide semiconductor layer, a source electrode layer, a drain electrode layer, a gate insulating film, a gate electrode layer, and an aluminum oxide film are formed in this order, and then heat treatment is performed on the oxide semiconductor layer and the aluminum oxide film, whereby an oxide semiconductor layer from which an impurity containing a hydrogen atom is removed and which includes a region containing oxygen more than the stoichiometric proportion is formed. In addition, when the aluminum oxide film is formed, entry and diffusion of water or hydrogen into the oxide semiconductor layer from the air due to heat treatment in a manufacturing process of a semiconductor device or an electronic appliance including the transistor can be prevented.

Подробнее
18-10-2012 дата публикации

Oxide thin film transistor and method of fabricating the same

Номер: US20120261660A1
Принадлежит: LG Display Co Ltd

An oxide thin film transistor (TFT) and its fabrication method are disclosed. In a TFT of a bottom gate structure using amorphous zinc oxide (ZnO)-based semiconductor as an active layer, source and drain electrodes are formed, on which the active layer made of oxide semiconductor is formed to thus prevent degeneration of the oxide semiconductor in etching the source and drain electrodes.

Подробнее
18-10-2012 дата публикации

Semiconductor device and manufacturing method of the semiconductor device

Номер: US20120261760A1
Принадлежит: Fujitsu Semiconductor Ltd

A semiconductor device includes a semiconductor substrate, a device region including first and second parts, first and second gate electrodes formed in the first and the second parts, first and second source regions, first and second drain regions, first, second, third, and fourth embedded isolation film regions formed under the first source, the first drain, the second source, and the second drain regions, respectively. Further, the first drain region and the second source region form a single diffusion region, the second and the third embedded isolation film regions form a single embedded isolation film region, an opening is formed in a part of the single diffusion region so as to extend to the second and the third embedded isolation film regions, and the opening is filled with an isolation film.

Подробнее
25-10-2012 дата публикации

Semiconductor device and method for manufacturing semiconductor device

Номер: US20120267623A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A semiconductor device having a transistor including an oxide semiconductor film is disclosed. In the semiconductor device, the oxide semiconductor film is provided along a trench formed in an insulating layer. The trench includes a lower end corner portion and an upper end corner portion having a curved shape with a curvature radius of longer than or equal to 20 nm and shorter than or equal to 60 nm, and the oxide semiconductor film is provided in contact with a bottom surface, the lower end corner portion, the upper end corner portion, and an inner wall surface of the trench. The oxide semiconductor film includes a crystal having a c-axis substantially perpendicular to a surface at least over the upper end corner portion.

Подробнее
25-10-2012 дата публикации

Thin film transistor substrate, method of manufacturing the same, and display apparatus having the same

Номер: US20120267635A1
Принадлежит: Individual

In a method of manufacturing a thin film transistor substrate, a semiconductor pattern is formed on a substrate, a first etch stop layer and a second etch stop layer are sequentially formed on the semiconductor pattern, and the second etch stop layer and the first etch stop layer are sequentially patterned to form a second etch stop pattern and a first etch stop pattern. Thus, when the second etch stop layer is patterned using an etchant, the first etch stop layer covers the semiconductor pattern, thereby preventing the semiconductor pattern from being etched by the etchant.

Подробнее
25-10-2012 дата публикации

Semiconductor device

Номер: US20120267709A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

To provide a highly reliable semiconductor device. To provide a semiconductor device which prevents a defect and achieves miniaturization. An oxide semiconductor layer in which the thickness of a region serving as a source region or a drain region is larger than the thickness of a region serving as a channel formation region is formed in contact with an insulating layer including a trench. In a transistor including the oxide semiconductor layer, variation in threshold voltage, degradation of electric characteristics, and shift to normally on can be suppressed and source resistance or drain resistance can be reduced, so that the transistor can have high reliability.

Подробнее
25-10-2012 дата публикации

Film deposition method and apparatus

Номер: US20120269969A1
Принадлежит: Tokyo Electron Ltd

A film deposition method of depositing a thin film by alternately supplying at least a first source gas and a second source gas to a substrate is disclosed. The film deposition method includes steps of evacuating a process chamber where the substrate is accommodated, without supplying any gas to the process chamber; supplying an inert gas to the process chamber until a pressure within the process chamber becomes a predetermined pressure; supplying the first source gas to the process chamber filled with the inert gas at the predetermined pressure without evacuating the process chamber; stopping supplying the first source gas to the process chamber and evacuating the process chamber; supplying the second source gas to the process chamber; and stopping supplying the second source gas to the process chamber and evacuating the process chamber.

Подробнее
01-11-2012 дата публикации

Method of depositing gate dielectric, method of preparing mis capacitor, and mis capacitor

Номер: US20120273861A1

The present invention relates to a method of depositing a gate dielectric, a method of preparing a MIS capacitor and the MIS capacitor. In the method of depositing the gate dielectric, a semiconductor substrate surface is preprocessed with oxygen plasma and nitrogen-containing plasma to form a nitrogen-containing oxide layer thereon. Then, a high-k gate dielectric layer is grown on the nitrogen-containing oxide layer surface by a plasma-enhanced atomic layer deposition process, and the oxide layer converts during the gate dielectric layer growth process into a buffer layer of a dielectric constant higher than SiO 2 . Then, a metal electrode is formed on both an upper layer and a lower layer of the thus-formed semiconductor construction, so that a MIS capacitor is prepared. According to the present invention, the formation of the buffer layer enables the interface characteristics between semiconductor materials and high-k gate dielectric layers to be improved effectively, equivalent oxide thickness (EOT) to be reduced and electrical properties to be enhanced.

Подробнее
01-11-2012 дата публикации

Manufacturing method of semiconductor device

Номер: US20120276694A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A semiconductor device using an oxide semiconductor is provided with stable electric characteristics to improve the reliability. In a manufacturing process of a transistor including an oxide semiconductor film, an oxide semiconductor film containing a crystal having a c-axis which is substantially perpendicular to a top surface thereof (also called a first crystalline oxide semiconductor film) is formed; oxygen is added to the oxide semiconductor film to amorphize at least part of the oxide semiconductor film, so that an amorphous oxide semiconductor film containing an excess of oxygen is formed; an aluminum oxide film is formed over the amorphous oxide semiconductor film; and heat treatment is performed thereon to crystallize at least part of the amorphous oxide semiconductor film, so that an oxide semiconductor film containing a crystal having a c-axis which is substantially perpendicular to a top surface thereof (also called a second crystalline oxide semiconductor film) is formed.

Подробнее
08-11-2012 дата публикации

Method for air gap interconnect integration using photo-patternable low k material

Номер: US20120280398A1
Принадлежит: International Business Machines Corp

Methods for producing air gap-containing metal-insulator interconnect structures for VLSI and ULSI devices using a photo-patternable low k material as well as the air gap-containing interconnect structure that is formed are disclosed. More particularly, the methods described herein provide interconnect structures built in a photo-patternable low k material in which air gaps are defined by photolithography in the photo-patternable low k material. In the methods of the present invention, no etch step is required to form the air gaps. Since no etch step is required in forming the air gaps within the photo-patternable low k material, the methods disclosed in this invention provide highly reliable interconnect structures.

Подробнее
15-11-2012 дата публикации

Method of manufacturing semiconductor device

Номер: US20120286260A1
Принадлежит: Semiconductor Energy Laboratory Co Ltd

A highly reliable transistor which includes an oxide semiconductor and has high field-effect mobility and in which a variation in threshold voltage is small is provided. By using the transistor, a high-performance semiconductor device, which has been difficult to realize, is provided. The transistor includes an oxide semiconductor film which contains two or more kinds, preferably three or more kinds of elements selected from indium, tin, zinc, and aluminum. The oxide semiconductor film is formed in a state where a substrate is heated. Further, oxygen is supplied to the oxide semiconductor film with an adjacent insulating film and/or by ion implantation in a manufacturing process of the transistor, so that oxygen deficiency which generates a carrier is reduced as much as possible. In addition, the oxide semiconductor film is highly purified in the manufacturing process of the transistor, so that the concentration of hydrogen is made extremely low.

Подробнее
15-11-2012 дата публикации

Display device and electronic device

Номер: US20120286262A1
Автор: Jun Koyama, Toru Tanabe
Принадлежит: Semiconductor Energy Laboratory Co Ltd

An object is, in a structure where switch circuits in a signal line driver circuit is placed over the same substrate as a pixel portion, to reduce the size of transistors in the switch circuits and to reduce load in the circuits during charging and discharging of signal lines due to the supply of data. A display device is provided which includes a pixel portion receiving a video signal, and a signal line driver circuit including a switch circuit portion configured to control output of the video signal to the pixel portion. The switch circuit portion includes a transistor over an insulating substrate. The transistor has a field-effect mobility of at least 80 cm 2 /Vs or more. The transistor includes an oxide semiconductor layer.

Подробнее
15-11-2012 дата публикации

Amorphous oxide thin film, thin film transistor using the same, and method for manufacturing the same

Номер: US20120286265A1
Принадлежит: NEC Corp

A thin film transistor using an amorphous oxide thin film for an active layer, wherein: the amorphous oxide thin film includes, as main components, indium (In), oxygen (O), and a metal element (M) selected from the group consisting of silicon (Si), aluminum (Al), germanium (Ge), tantalum (Ta), magnesium (Mg) and titanium (Ti); an atomic ratio of M to In in this amorphous oxide thin film is 0.1 or more and 0.4 or less; and carrier density in the amorphous oxide thin film is 1×10 15 cm −3 or more and 1×10 19 cm −1 or less.

Подробнее
15-11-2012 дата публикации

Preserving stress benefits of uv curing in replacement gate transistor fabrication

Номер: US20120286375A1
Принадлежит: International Business Machines Corp

A method of forming a semiconductor structure includes forming a stress inducing layer over one or more partially completed field effect transistor (FET) devices disposed over a substrate, the one or more partially completed FET devices including sacrificial dummy gate structures; planarizing the stress inducing layer and removing the sacrificial dummy gate structures; and following the planarizing the stress inducing layer and removing the sacrificial dummy gate structures, performing an ultraviolet (UV) cure of the stress inducing layer so as to enhance a value of an initial applied stress by the stress inducing layer on channel regions of the one or more partially completed FET devices.

Подробнее
15-11-2012 дата публикации

Gas supply apparatus, thermal treatment apparatus, gas supply method, and thermal treatment method

Номер: US20120288625A1
Принадлежит: Tokyo Electron Ltd

A gas supply apparatus including a raw material gas supply system supplying a raw material gas inside a raw material storage tank into the processing container by the carrier gas, the gas supply apparatus includes: a carrier gas passage introducing the carrier gas into the raw material storage tank, a raw material gas passage connecting the raw material storage tank and the processing container to supply the carrier gas and the raw material gas; a pressure control gas passage being connected to the raw material gas passage to supply the pressure control gas; and a valve control unit controlling an opening/closing valve to perform for starting a supply of the pressure control gas into the processing container and simultaneously starting supply of the raw material gas into the processing container from the raw material storage tank, and stopping the supply of the pressure control gas.

Подробнее
15-11-2012 дата публикации

Nanoscale chemical templating with oxygen reactive materials

Номер: US20120289035A1
Принадлежит: International Business Machines Corp

A method of fabricating templated semiconductor nanowires on a surface of a semiconductor substrate for use in semiconductor device applications is provided. The method includes controlling the spatial placement of the semiconductor nanowires by using an oxygen reactive seed material. The present invention also provides semiconductor structures including semiconductor nanowires. In yet another embodiment, patterning of a compound semiconductor substrate or other like substrate which is capable of forming a compound semiconductor alloy with an oxygen reactive element during a subsequent annealing step is provided. This embodiment provides a patterned substrate that can be used in various applications including, for example, in semiconductor device manufacturing, optoelectronic device manufacturing and solar cell device manufacturing.

Подробнее
15-11-2012 дата публикации

Methods for Manufacturing High Dielectric Constant Films

Номер: US20120289052A1
Принадлежит: Applied Materials Inc

Provided are methods for depositing a high-k dielectric film on a substrate. The methods comprise annealing a substrate after cleaning the surface to create dangling bonds and depositing the high-k dielectric film on the annealed surface.

Подробнее