Low-cost complementary BiCMOS integration scheme

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

BiCMOS Integration Using a Shared SiGe Layer

Номер патента: US20150303187A1. Автор: Preisler Edward,Thibeault Todd. Владелец: . Дата публикации: 2015-10-22.

Low-Cost Complementary BiCMOS Integration Scheme

Номер патента: US20150303185A1. Автор: Edward Preisler,Todd Thibeault. Владелец: Newport Fab LLC. Дата публикации: 2015-10-22.

Low-Cost Complementary BiCMOS Integration Scheme

Номер патента: US20150303185A1. Автор: Preisler Edward,Thibeault Todd. Владелец: . Дата публикации: 2015-10-22.

BiCMOS Integration with Reduced Masking Steps

Номер патента: US20150303188A1. Автор: Edward Preisler,Todd Thibeault. Владелец: Newport Fab LLC. Дата публикации: 2015-10-22.

Vertical polysilicon diode compatible with CMOS/BiCMOS integrated circuit processes

Номер патента: US5982021A. Автор: PURAKH Raj Verma. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 1999-11-09.

Method of manufacturing a capacitor by BICMOS integrated circuit manufacturing technology

Номер патента: JP2988461B2. Автор: グリ イヴォン. Владелец: ESU TEE MIKUROEREKUTORONIKUSU SA. Дата публикации: 1999-12-13.

Hybrid gate last integration scheme for multi-layer high-k gate stacks

Номер патента: WO2014062377A2. Автор: Robert D. Clark. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2014-04-24.

INTEGRATION SCHEME FOR GATE HEIGHT CONTROL AND VOID FREE RMG FILL

Номер патента: US20180323113A1. Автор: CHANG Chih-Chiang,PATIL Suraj Kumar,LIU Pei,ONISHI Katsunori. Владелец: . Дата публикации: 2018-11-08.

Integration scheme for gate height control and void free rmg fill

Номер патента: US20180323113A1. Автор: Katsunori Onishi,Pei Liu,Chih-Chiang Chang,Suraj Kumar PATIL. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-08.

Method and apparatus for a high yield contact integration scheme

Номер патента: US20160141242A1. Автор: Ryan Kim,Wenhui Wang,Jason R. Cantone. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-19.

Spacer integration scheme for NFET and PFET devices

Номер патента: TW201816847A. Автор: 彭建偉,吳旭升. Владелец: 格羅方德美國公司. Дата публикации: 2018-05-01.

Integration scheme method and structure for transistors using strained silicon

Номер патента: US20070099369A1. Автор: Xian Ning. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2007-05-03.

Manufacturing method of BiCMOS integrated circuit

Номер патента: CN105990254A. Автор: 文燕,马万里,潘光燃,王焜,石金成,高振杰. Владелец: Shenzhen Founder Microelectronics Co Ltd. Дата публикации: 2016-10-05.

Wrap-around contact integration scheme

Номер патента: US20180068899A1. Автор: Akiteru Ko,Kaoru Maekawa,Satoru Nakamura,Gerrit J. Leusink,Kandabara N. Tapily,Soo Doo Chae. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-08.

Integration scheme for an nmos metal gate

Номер патента: US20100178739A1. Автор: Michael Francis Pas. Владелец: Texas Instruments Inc. Дата публикации: 2010-07-15.

Integration scheme for an NMOS metal gate

Номер патента: US8114728B2. Автор: Michael Francis Pas. Владелец: Texas Instruments Inc. Дата публикации: 2012-02-14.

Integration scheme for an NMOS metal gate

Номер патента: US8629021B2. Автор: Michael Francis Pas. Владелец: Texas Instruments Inc. Дата публикации: 2014-01-14.

Semiconductor Device Manufactured by Removing Sidewalls During Replacement Gate Integration Scheme

Номер патента: US20090127632A1. Автор: Michael F. Pas. Владелец: Texas Instruments Inc. Дата публикации: 2009-05-21.

Bipolar transistor having a non homogeneous emitter in a BICMOS integrated circuit

Номер патента: EP0843350A1. Автор: Yvon Gris. Владелец: STMICROELECTRONICS STMICROELECTRONICS S A SA. Дата публикации: 1998-05-20.

Beol integration scheme for copper cmp to prevent dendrite formation

Номер патента: US20140065815A1. Автор: Kunaljeet Tanwar. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Chamfer-less via integration scheme

Номер патента: US11987876B2. Автор: Hui-Jung Wu,Richard Wise,Arpan Mahorowala,Sivananda Krishnan Kanakasabapathy. Владелец: Lam Research Corp. Дата публикации: 2024-05-21.

Chamfer-less via integration scheme

Номер патента: US20240263301A1. Автор: Hui-Jung Wu,Richard Wise,Arpan Pravin Mahorowala,Sivananda Krishnan Kanakasabapathy. Владелец: Lam Research Corp. Дата публикации: 2024-08-08.

Method for increasing pattern density in self-aligned patterning integration schemes

Номер патента: US9673059B2. Автор: Akiteru Ko,Angelique RALEY. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-06-06.

Method of fabricating a stacked poly-poly and MOS capacitor using a SiGe integration scheme

Номер патента: US20020089008A1. Автор: James Dunn,Douglas Coolbaugh,Stephen Onge. Владелец: Individual. Дата публикации: 2002-07-11.

Method of fabricating a stacked poly-poly and MOS capacitor using a SiGe integration scheme

Номер патента: TW506043B. Автор: Douglas D Coolbaugh,James Stuart Dunn,Stephen Arthur St Onge. Владелец: Ibm. Дата публикации: 2002-10-11.

Multilayer-interconnecting first integration scheme for graphene and carbon nanotube transistor besed integration

Номер патента: GB201317258D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2013-11-13.

Gate structure integration scheme for fin field effect transistors

Номер патента: US09583585B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2017-02-28.

Gate structure integration scheme for fin field effect transistors

Номер патента: US20160118302A1. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2016-04-28.

Gate structure integration scheme for fin field effect transistors

Номер патента: US9391155B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2016-07-12.

Gate structure integration scheme for fin field effect transistors

Номер патента: US20160118302A1. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2016-04-28.

Method of fabricating a stacked poly-poly and mos capacitor using a sige integration scheme

Номер патента: IL140565A0. Автор: . Владелец: Ibm. Дата публикации: 2002-02-10.

Bicmos integration of multiple-times-programmable non-volatile memories

Номер патента: EP2368266A2. Автор: Theodore James Letavic,Wibo D. Van Noort,Francis Zaato,Charudatta Mandhare. Владелец: NXP BV. Дата публикации: 2011-09-28.

Bicmos integration of multiple-times-programmable non-volatile memories

Номер патента: WO2010058379A3. Автор: Theodore James Letavic,Wibo D. Van Noort,Francis Zaato,Charudatta Mandhare. Владелец: NXP B.V.. Дата публикации: 2010-09-02.

HYBRID GATE LAST INTEGRATION SCHEME FOR MULTI-LAYER HIGH-k GATE STACKS

Номер патента: US20140110791A1. Автор: Robert D. Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-04-24.

Integration scheme for strained source/drain CMOS using oxide hard mask

Номер патента: US8058120B2. Автор: Bei Zhu,Xian Jie Ning. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2011-11-15.

CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode

Номер патента: TW200950002A. Автор: Jack O Chu,Young-Hee Kim,Cyril Cabral Jr. Владелец: Ibm. Дата публикации: 2009-12-01.

BiCMOS integrated circuit device and method of manufacturing the same

Номер патента: JP2950009B2. Автор: 正一 佐々木. Владелец: Nippon Electric Co Ltd. Дата публикации: 1999-09-20.

METHOD FOR MANUFACTURING BICMOS INTEGRATED CIRCUITS ON A CLASSIC CMOS SUBSTRATE

Номер патента: FR2786608B1. Автор: Michel Laurens. Владелец: STMICROELECTRONICS SA. Дата публикации: 2001-02-09.

Method of manufacturing BICMOS integrated circuits on a conventional CMOS substrate

Номер патента: US6171894B1. Автор: Michel Laurens. Владелец: STMICROELECTRONICS SA. Дата публикации: 2001-01-09.

METHODS FOR MAKING ROBUST REPLACEMENT METAL GATES AND MULTI-THRESHOLD DEVICES IN A SOFT MASK INTEGRATION SCHEME

Номер патента: US20160086860A1. Автор: Kwon Unoh,Rajaram Rekha,Kannan Balaji. Владелец: . Дата публикации: 2016-03-24.

METHOD AND APPARATUS FOR HIGH YIELD CONTACT INTEGRATION SCHEME

Номер патента: US20150097263A1. Автор: Wang Wenhui,Kim Ryan,Cantone Jason R.. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-04-09.

SPACER INTEGRATION SCHEME FOR NFET AND PFET DEVICES

Номер патента: US20180114730A1. Автор: Wu Xusheng,PENG Jianwei. Владелец: . Дата публикации: 2018-04-26.

WIDE BANDGAP SEMICONDUCTOR DEVICE WITH A SELF-ALIGNED CHANNEL AND INTEGRATION SCHEMES

Номер патента: US20220181479A1. Автор: LINEWIH Handoko,CHENG Chor Shu,CHWA SIOW LEE. Владелец: . Дата публикации: 2022-06-09.

Electrical/optical integration scheme using direct copper bonding

Номер патента: US20080135965A1. Автор: Jun-Fei Zheng,Vandentop J. Gilroy. Владелец: Individual. Дата публикации: 2008-06-12.

Electrical/optical integration scheme using direct copper bonding

Номер патента: AU2002359506A8. Автор: Jun-Fei Zheng,Gilroy Vandentop. Владелец: Intel Corp. Дата публикации: 2003-07-09.

Electrical/optical integration scheme using direct copper bonding

Номер патента: EP1456879A2. Автор: Jun-Fei Zheng,Gilroy Vandentop. Владелец: Intel Corp. Дата публикации: 2004-09-15.

Electrical/optical integration scheme using direct copper bonding

Номер патента: AU2002359506A1. Автор: Jun-Fei Zheng,Gilroy Vandentop. Владелец: Intel Corp. Дата публикации: 2003-07-09.

Integration scheme for semiconductor photodetectors on an integrated circuit chip

Номер патента: WO2007123754A1. Автор: David Kencke,Miriam Reshotko,Bruce Block. Владелец: Intel Corporation. Дата публикации: 2007-11-01.

Integration scheme for non-volatile memory on gate-all-around structure

Номер патента: US20200135937A1. Автор: ZHENG Xu,Kangguo Cheng,Dexin Kong,Zhenxing Bi. Владелец: International Business Machines Corp. Дата публикации: 2020-04-30.

Copper etching integration scheme

Номер патента: US09633949B2. Автор: Tien-I Bao,Chung-Ju Lee,Hsiang-Huan Lee,Chih-Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-25.

Wrap-around contact integration scheme

Номер патента: US20180068899A1. Автор: Akiteru Ko,Kaoru Maekawa,Satoru Nakamura,Gerrit J. Leusink,Kandabara N. Tapily,Soo Doo Chae. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-08.

GATE STRUCTURE INTEGRATION SCHEME FOR FIN FIELD EFFECT TRANSISTORS

Номер патента: US20160079384A1. Автор: Yin Yunpeng,Yeh Chun-chen,He Hong,Tseng Chiahsun. Владелец: . Дата публикации: 2016-03-17.

Copper etching integration scheme

Номер патента: US20140197538A1. Автор: Tien-I Bao,Chung-Ju Lee,Hsiang-Huan Lee,Chih-Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-07-17.

INTEGRATION SCHEME FOR NON-VOLATILE MEMORY ON GATE-ALL-AROUND STRUCTURE

Номер патента: US20200135937A1. Автор: Cheng Kangguo,Xu Zheng,Bi Zhenxing,Kong Dexin. Владелец: . Дата публикации: 2020-04-30.

INTEGRATION SCHEME FOR NON-VOLATILE MEMORY ON GATE-ALL-AROUND STRUCTURE

Номер патента: US20200135938A1. Автор: Cheng Kangguo,Xu Zheng,Bi Zhenxing,Kong Dexin. Владелец: . Дата публикации: 2020-04-30.

MULTI-TIER REPLACEMENT MEMORY STACK STRUCTURE INTEGRATION SCHEME

Номер патента: US20170229472A1. Автор: Alsmeier Johann,Chien Henry,Lu Zhenyu,YU Jixin,LU Ching-Huang,Mao Daxin,SHI Wenguang Stephen. Владелец: . Дата публикации: 2017-08-10.

THREE-DIMENSIONAL INTEGRATION SCHEMES FOR REDUCING FLUORINE-INDUCED ELECTRICAL SHORTS

Номер патента: US20160300848A1. Автор: Alsmeier Johann,PACHAMUTHU Jayavel,BAENNINGER Matthias,Shi Stephen. Владелец: . Дата публикации: 2016-10-13.

Copper etching integration scheme

Номер патента: US20180301416A1. Автор: Tien-I Bao,Chung-Ju Lee,Hsiang-Huan Lee,Chih-Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-10-18.

WRAP-AROUND CONTACT INTEGRATION SCHEME

Номер патента: US20170345904A1. Автор: Clark Robert D.,Tapily Kandabara N.. Владелец: . Дата публикации: 2017-11-30.

Integration scheme for fully silicided gate

Номер патента: US7544553B2. Автор: Hermann Wendt,Marcus Culmsee,Lothar Doni. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2009-06-09.

Wrap-around contact integration scheme

Номер патента: KR101917029B1. Автор: 칸다바라 엔. 타필리,로버트 디 클락. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2018-11-08.

Integration scheme for fully silicided gate

Номер патента: WO2006103158A2. Автор: Hermann Wendt,Marcus Culmsee,Lothar Doni. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-10-05.

Copper etching integration scheme

Номер патента: US20160254225A1. Автор: Tien-I Bao,Chung-Ju Lee,Hsiang-Huan Lee,Chih-Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-01.

High-density finfet integration scheme

Номер патента: EP1644988B1. Автор: Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2010-03-17.

High-density finfet integration scheme

Номер патента: WO2005001905A2. Автор: Edward J. Nowak. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2005-01-06.

NONVOLATILE MEMORY DEVICE WITH AN ERASE GATE OVERHANG AND INTEGRATION SCHEMES

Номер патента: US20220293614A1. Автор: Tan Shyue Seng,Quek Kiok Boone Elgin,CAI Xinshu. Владелец: . Дата публикации: 2022-09-15.

Reliable interconnect integration scheme

Номер патента: US09490165B2. Автор: Fan Zhang,Jun Chen,Bei Chao Zhang,Juan Boon Tan,Luying Du. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2016-11-08.

CHAMFER-LESS VIA INTEGRATION SCHEME

Номер патента: US20210017643A1. Автор: Wu Hui-Jung,Wise Richard,Mahorowala Arpan,Kanakasabapathy Sivananda Krishnan. Владелец: . Дата публикации: 2021-01-21.

COPPER ETCHING INTEGRATION SCHEME

Номер патента: US20140131872A1. Автор: Lu Chih-Wei,BAO Tien-I,Lee Chung-Ju,Lee Hsiang-Huan. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2014-05-15.

COPPER ETCHING INTEGRATION SCHEME

Номер патента: US20170194258A1. Автор: Lu Chih-Wei,BAO Tien-I,Lee Chung-Ju,Lee Hsiang-Huan. Владелец: . Дата публикации: 2017-07-06.

COBALT PLATED VIA INTEGRATION SCHEME

Номер патента: US20190206729A1. Автор: Ahmed Shafaat,Shu Jiehui,Fang Qiang,Koli Dinesh R.,Sun Zhiguo,Tseng Wei-Tsu. Владелец: . Дата публикации: 2019-07-04.

METHOD FOR INCREASING PATTERN DENSITY IN SELF-ALIGNED PATTERNING INTEGRATION SCHEMES

Номер патента: US20160225640A1. Автор: KO Akiteru,Raley Angelique. Владелец: . Дата публикации: 2016-08-04.

COPPER ETCHING INTEGRATION SCHEME

Номер патента: US20160254225A1. Автор: Lu Chih-Wei,BAO Tien-I,Lee Chung-Ju,Lee Hsiang-Huan. Владелец: . Дата публикации: 2016-09-01.

TRIM METHOD FOR PATTERNING DURING VARIOUS STAGES OF AN INTEGRATION SCHEME

Номер патента: US20170256395A1. Автор: KO Akiteru,Raley Angelique. Владелец: . Дата публикации: 2017-09-07.

BREAKDOWN VOLTAGE MULTIPLYING INTEGRATION SCHEME

Номер патента: US20150270380A1. Автор: Bedell Stephen W.,Sadana Devendra K.,Shahidi Ghavam G.,Shahrjerdi Davood,Hekmatshoartabari Bahman. Владелец: . Дата публикации: 2015-09-24.

PATTERNING INTEGRATION SCHEME WITH TRENCH ALIGNMENT MARKS

Номер патента: US20200350257A1. Автор: Yang Chih-Chao,Tang Hao,Peethala Cornelius Brown,Metzler Dominik. Владелец: . Дата публикации: 2020-11-05.

Integration scheme for metal gap fill, with fixed abrasive CMP

Номер патента: US20040248399A1. Автор: Peter Wrschka,Thomas Goebel,Werner Robl. Владелец: Infineon Technologies North America Corp. Дата публикации: 2004-12-09.

Integration scheme for metal gap fill, with fixed abrasive CMP

Номер патента: US6943114B2. Автор: Peter Wrschka,Thomas Goebel,Werner Robl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-09-13.

Inward dielectric spacers for replacement gate integration scheme

Номер патента: US20090189201A1. Автор: Chorng-Ping Chang,Bingxi Sun Wood. Владелец: Applied Materials Inc. Дата публикации: 2009-07-30.

Poison-free and low ULK damage integration scheme for damascene interconnects

Номер патента: US8008200B2. Автор: Ping Jiang,William W. Dostalik,Yong Seok Choi. Владелец: Texas Instruments Inc. Дата публикации: 2011-08-30.

Method for increasing pattern density in self-aligned patterning integration schemes

Номер патента: TW201642311A. Автор: 安潔莉 D 萊利,高明輝. Владелец: 東京威力科創股份有限公司. Дата публикации: 2016-12-01.

Poison-free and low ULK damage integration scheme for damascene interconnects

Номер патента: US7884019B2. Автор: Ping Jiang,William W. Dostalik,Yong Seok Choi. Владелец: Texas Instruments Inc. Дата публикации: 2011-02-08.

Integration scheme for filling gaps between metal lines with fixed abrasive CMP

Номер патента: DE10307279B4. Автор: Peter Wrschka,Thomas Goebel,Werner Dr. Robl. Владелец: Qimonda AG. Дата публикации: 2008-06-19.

Novel air gap integration scheme

Номер патента: CN101595559B. Автор: 夏立群,亚历山德罗斯·T·迪莫斯,德里克·R·维迪,金柏涵,伊沙姆·迈'萨德. Владелец: Applied Materials Inc. Дата публикации: 2012-01-04.

Back end of line integration scheme

Номер патента: TWI258200B. Автор: Yung-Cheng Lu,Ying-Tsung Chen,Zhen-Cheng Wu,Pi-Tsung Chen. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2006-07-11.

Novel air gap integration scheme

Номер патента: US20100151671A1. Автор: Li-Qun Xia,Alexandros T. Demos,Hichem M'Saad,Derek R. Witty,Bok Hoen Kim. Владелец: Applied Materials Inc. Дата публикации: 2010-06-17.

Heterogenous Integration Scheme for III-V/Si and Si CMOS Integrated Circuits

Номер патента: US20230154912A1. Автор: Chan-Hong Chern,Yi-An Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-05-18.

Back end of line integration scheme

Номер патента: TW200620540A. Автор: Yung-Cheng Lu,Zhen-Cheng Wu,Pi-Tsung Chen,Ying-Sung Chen. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-06-16.

Interconnect integration scheme with fully self-aligned vias

Номер патента: US20210118733A1. Автор: Terry A. Spooner,Shyng-Tsong Chen. Владелец: International Business Machines Corp. Дата публикации: 2021-04-22.

MTJ STRUCTURE AND INTEGRATION SCHEME

Номер патента: US20150056722A1. Автор: Li Xia,Nowak Matthew Michael,Kang Seung Hyuk. Владелец: . Дата публикации: 2015-02-26.

PARTIALLY SILICIDED NONVOLATILE MEMORY DEVICES AND INTEGRATION SCHEMES

Номер патента: US20220149057A1. Автор: Tan Shyue Seng,Toh Eng Huat,CAI Xinshu,Sun Yongshun,Wang Lanxiang. Владелец: . Дата публикации: 2022-05-12.

METHOD AND APPARATUS FOR A HIGH YIELD CONTACT INTEGRATION SCHEME

Номер патента: US20160141242A1. Автор: Wang Wenhui,Kim Ryan,Cantone Jason R.. Владелец: . Дата публикации: 2016-05-19.

RRAM PROCESS INTEGRATION SCHEME AND CELL STRUCTURE WITH REDUCED MASKING OPERATIONS

Номер патента: US20190288037A1. Автор: Haukness Brent Steven,LU Zhichao. Владелец: . Дата публикации: 2019-09-19.

RRAM PROCESS INTEGRATION SCHEME AND CELL STRUCTURE WITH REDUCED MASKING OPERATIONS

Номер патента: US20200381479A1. Автор: Haukness Brent Steven,LU Zhichao. Владелец: . Дата публикации: 2020-12-03.

Light-emitting diode integration scheme

Номер патента: US8058669B2. Автор: Chen-Hua Yu,Wen-Chih Chiou,Ding-Yuan Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-11-15.

Quantum dot integration schemes

Номер патента: WO2017007770A2. Автор: . Владелец: Sxaymiq Technologies Llc. Дата публикации: 2017-01-12.

Light-emitting diode integration scheme

Номер патента: US8525216B2. Автор: Chen-Hua Yu,Wen-Chih Chiou,Ding-Yuan Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-09-03.

Magnetic tunnel junction structure and integration schemes

Номер патента: US20210384416A1. Автор: Young Seon You,Naganivetha Thiyagarajah,Suk Hee JANG,Funan Tan. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2021-12-09.

Integration scheme for extension of via opening depth

Номер патента: TW200949947A. Автор: Yun-Yu Wang,Richard P Volant,Ramona Kei,David P Colon,Bradley P Jones,Raymond G Knauss. Владелец: Ibm. Дата публикации: 2009-12-01.

Quasi-complementary BiCMOS circuit with enhanced pull down transistor clamp

Номер патента: US5886542A. Автор: Michael D. Cooper. Владелец: Texas Instruments Inc. Дата публикации: 1999-03-23.

High density BiCMOS circuits and methods of making same

Номер патента: US4975764A. Автор: Sheng T. Hsu. Владелец: David Sarnoff Research Center Inc. Дата публикации: 1990-12-04.

Method of manufacturing high performance bipolar transistors in a bicmos process

Номер патента: WO1996004678A1. Автор: Johan A. Darmawan. Владелец: National Semiconductor Corporation. Дата публикации: 1996-02-15.

Spacer integration scheme in MRAM technology

Номер патента: TW200406034A. Автор: Kia-Seng Low,Greg Costrini,John P Hummel. Владелец: Ibm. Дата публикации: 2004-04-16.

Spacer integration scheme in mram technology

Номер патента: EP1547148A2. Автор: Kia-Seng Low,John P. Hummel,Greg Costrini,Wolfgang Raberg,Igor Kasko,Frank Findeis. Владелец: International Business Machines Corp. Дата публикации: 2005-06-29.

Top oxide nitride liner integration scheme for vertical dram

Номер патента: US20050070108A1. Автор: Ramachandra Divakaruni,Klaus Hummler. Владелец: Infineon Technologies North America Corp. Дата публикации: 2005-03-31.

Integration scheme for a mobile telephone network with fixed terminals and a wireline network

Номер патента: CA2249974A1. Автор: Daniel Richard Waugh,Wayne Nelson Shelley. Владелец: Individual. Дата публикации: 1999-04-07.

Aggregation and integration scheme for grid relevant customization information

Номер патента: US20050138147A1. Автор: Alexander Gebhart,Erol Bozak. Владелец: SAP SE . Дата публикации: 2005-06-23.

Cross-regional service integration scheme

Номер патента: CN111010380A. Автор: 韩芳. Владелец: Hangzhou Shidong Technology Co Ltd. Дата публикации: 2020-04-14.

Spacer integration scheme in MRAM technology

Номер патента: US20050146927A1. Автор: Gregory Costrini. Владелец: Gregory Costrini. Дата публикации: 2005-07-07.

Magnetic non-volatile memory coil layout architecture and process integration scheme

Номер патента: US20040202018A1. Автор: William Witcraft,Joel Drewes,Hongyue Liu. Владелец: Micron Technology Inc. Дата публикации: 2004-10-14.

Ferroelectric nonvolatile memory device and integration schemes

Номер патента: US11825663B2. Автор: Johannes Müller,Ralf Richter,Thomas Melde,Stefan Dunkel. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-11-21.

Novel integration scheme to form vertical 3d x-point memory with lower cost

Номер патента: WO2022032550A1. Автор: Jun Liu. Владелец: Yangtze Advanced Memory Industrial Innovation Center Co., Ltd. Дата публикации: 2022-02-17.

Schottky diode structure with localized diode well

Номер патента: US5150177A. Автор: Murray J. Robinson,Christopher C. Joyce,Tim W. Luk. Владелец: National Semiconductor Corp. Дата публикации: 1992-09-22.

Write failure protection for hierarchical integrity schemes

Номер патента: US8606764B2. Автор: Boris Dolgunov,Arsenly Aharonov. Владелец: Sandisk IL Ltd. Дата публикации: 2013-12-10.

Write failure protection for hierarchical integrity schemes

Номер патента: US20090112823A1. Автор: Arseniy Aharonov,Boris Dolgunov. Владелец: Sandisk IL Ltd. Дата публикации: 2009-04-30.

SLIDING WINDOW INTEGRATION SCHEME FOR OBJECT DETECTION IN A RADAR SYSTEM

Номер патента: US20200150222A1. Автор: Longman Oren,Bilik Igal. Владелец: . Дата публикации: 2020-05-14.

Caching for structural integrity schemes

Номер патента: IL187040A0. Автор: . Владелец: Sandisk IL Ltd. Дата публикации: 2008-02-09.

SLIDING WINDOW INTEGRATION SCHEME FOR OBJECT DETECTION IN A RADAR SYSTEM

Номер патента: DE102019115661A1. Автор: Oren Longman,Igal Bilik. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2020-05-14.

Caching for structural integrity schemes

Номер патента: WO2009057092A1. Автор: Arseniy Aharonov. Владелец: SANDISK IL LTD.. Дата публикации: 2009-05-07.

Selection method of server integration scheme based on energy consumption

Номер патента: CN102567076A. Автор: 金海�,吴松,石宣化,严格知. Владелец: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY. Дата публикации: 2012-07-11.

Double-gated integrating scheme for electron beam tester

Номер патента: EP0517294B1. Автор: Hitoshi Takahashi,Neil Richardson,Douglas Masnaghetti. Владелец: Schlumberger Technologies Inc. Дата публикации: 1997-07-02.

Write failure protection for hierarchical integrity schemes

Номер патента: WO2009057099A1. Автор: Arseniy Aharonov,Boris Dolgunov. Владелец: SANDISK IL LTD.. Дата публикации: 2009-05-07.

Process plant with flexible heat integration scheme

Номер патента: EP4324561A1. Автор: Hemant Jagannath MAHAJAN,Ashwin Singh TENSINGH. Владелец: Haldor Topsoe AS. Дата публикации: 2024-02-21.

Process plant with flexible heat integration scheme

Номер патента: WO2024038030A1. Автор: Hemant Jagannath MAHAJAN,Ashwin Singh TENSINGH. Владелец: Topsoe A/S. Дата публикации: 2024-02-22.

Write Failure Protection for Hierarchical Integrity Schemes

Номер патента: US20120185442A1. Автор: Boris Dolgunov,Arsenly Aharonov. Владелец: Individual. Дата публикации: 2012-07-19.

Method of forming a semiconductor device having a high-k dielectric

Номер патента: WO2006112948A1. Автор: Olubunmi O. Adetutu,David C. Gilmer,Hsing H. Tseng. Владелец: FREESCALE SEMICONDUCTOR. Дата публикации: 2006-10-26.

3D material modification for advanced processing

Номер патента: US09773675B2. Автор: Jun Xue,Srinivas D. Nemani,Erica Chen,Ludovic Godet,Ellie Y. Yieh,Gary E. Dickerson. Владелец: Applied Materials Inc. Дата публикации: 2017-09-26.

3D material modification for advanced processing

Номер патента: US9620407B2. Автор: Jun Xue,Srinivas D. Nemani,Erica Chen,Ludovic Godet,Ellie Y. Yieh,Gary E. Dickerson. Владелец: Applied Materials Inc. Дата публикации: 2017-04-11.

High voltage tolerant, small footprint BJT-CMOS active clamp

Номер патента: US8451569B2. Автор: Vladislav Vashchenko. Владелец: National Semiconductor Corp. Дата публикации: 2013-05-28.

Contact integration and selective silicide formation methods

Номер патента: WO2018052473A2. Автор: Matthias BAUER. Владелец: Applied Materials, Inc.. Дата публикации: 2018-03-22.

Contact integration and selective silicide formation methods

Номер патента: US20180076041A1. Автор: Matthias BAUER. Владелец: Applied Materials Inc. Дата публикации: 2018-03-15.

Methods for silicide formation

Номер патента: US20180166288A1. Автор: Matthias BAUER,Hua Chung,Schubert S. Chu,Satheesh Kuppurao. Владелец: Applied Materials Inc. Дата публикации: 2018-06-14.

Contact integration for reduced interface and series contact resistance

Номер патента: US09484251B1. Автор: Sanjay Gopinath,Paul Raymond Besser,William Worthington Crew, Jr.. Владелец: Lam Research Corp. Дата публикации: 2016-11-01.

Composition and process for selectively etching p-doped polysilicon relative to silicon nitride

Номер патента: WO2017091572A1. Автор: Emanuel I. Cooper,Steven Bilodeau. Владелец: ENTEGRIS, INC.. Дата публикации: 2017-06-01.

Device having improved radiation hardness and high breakdown voltages

Номер патента: US09620586B2. Автор: James Fred Salzman. Владелец: Texas Instruments Inc. Дата публикации: 2017-04-11.

Methods for fabricating radiation hardened MOS devices

Номер патента: US09653544B2. Автор: James Fred Salzman. Владелец: Texas Instruments Inc. Дата публикации: 2017-05-16.

Radiation Hardened MOS Devices and Methods of Fabrication

Номер патента: US20160141389A1. Автор: James Fred Salzman. Владелец: Texas Instruments Inc. Дата публикации: 2016-05-19.

Radiation Hardened MOS Devices and Methods of Fabrication

Номер патента: US20160163794A1. Автор: James Fred Salzman. Владелец: Texas Instruments Inc. Дата публикации: 2016-06-09.

Radiation hardened mos devices and methods of fabrication

Номер патента: US20150108588A1. Автор: James Fred Salzman. Владелец: Texas Instruments Inc. Дата публикации: 2015-04-23.

Plasma treatment method to meet line edge roughness and other integration objectives

Номер патента: US09978563B2. Автор: Akiteru Ko,Vinh Luong. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-22.

Method and system for selective spacer etch for multi-patterning schemes

Номер патента: US09748110B2. Автор: Subhadeep Kal,Aelan Mosden,Angelique D. Raley,Nihar Mohanty. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-29.

Twin monos memory cell and corresponding fabrication method

Номер патента: EP1237192A2. Автор: Seiki Ogura,Tomoya Saito,Kimihiro Satoh. Владелец: Halo LSI Design and Device Technology Inc. Дата публикации: 2002-09-04.

Display with embedded pixel driver chips

Номер патента: US20230387097A1. Автор: Hsin-Hua Hu. Владелец: Apple Inc. Дата публикации: 2023-11-30.

Dual damascene fully aligned via interconnects

Номер патента: US20210305090A1. Автор: Chih-Chao Yang,Koichi Motoyama,Chanro Park,Kenneth Chun Kuen Cheng. Владелец: International Business Machines Corp. Дата публикации: 2021-09-30.

Bicmos process utilizing novel planarization technique

Номер патента: WO1991011019A1. Автор: James A. Matthews. Владелец: MICROUNITY SYSTEMS ENGINEERING, INC.. Дата публикации: 1991-07-25.

Heterojunction bipolar transistors with reduced base resistance

Номер патента: US8513706B2. Автор: Qizhi Liu,Peter B. Gray,Erik M. Dahlstrom. Владелец: International Business Machines Corp. Дата публикации: 2013-08-20.

Heterojunction bipolar transistors with reduced base resistance

Номер патента: US20130062668A1. Автор: Qizhi Liu,Peter B. Gray,Erik M. Dahlstrom. Владелец: International Business Machines Corp. Дата публикации: 2013-03-14.

Heterojunction bipolar transistors with reduced base resistance

Номер патента: US20120126292A1. Автор: Qizhi Liu,Peter B. Gray,Erik M. Dahlstrom. Владелец: International Business Machines Corp. Дата публикации: 2012-05-24.

Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables

Номер патента: US09899219B2. Автор: Akiteru Ko,Vinh Luong. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-02-20.

Resistive random access memory and manufacturing method

Номер патента: US20230050843A1. Автор: Han Xiao,Ru Huang,Zongwei Wang. Владелец: Advanded Institute Of Information Technology Aiit Peking University. Дата публикации: 2023-02-16.

Authentication scheme for providing software updates to an update agent

Номер патента: US20240281244A1. Автор: Clara Gifre,David Patino,Federico RUAU. Владелец: Giesecke and Devrient Mobile Security GmbH. Дата публикации: 2024-08-22.

Authentication scheme for providing software updates to an update agent

Номер патента: EP4113340A1. Автор: Clara Gifre,David Patino,Federico RUAU. Владелец: Giesecke and Devrient Mobile Security GmbH. Дата публикации: 2023-01-04.

Vertical 3d pcm memory cell and program read scheme

Номер патента: WO2022104591A1. Автор: Jun Liu. Владелец: Yangtze Advanced Memory Industrial Innovation Center Co., Ltd. Дата публикации: 2022-05-27.

Authentication scheme for providing software updates to an update agent

Номер патента: WO2023274577A1. Автор: Clara Gifre,David Patino,Federico RUAU. Владелец: Giesecke+Devrient Mobile Security Gmbh. Дата публикации: 2023-01-05.

Method and apparatus for transmitting data in an integrated circuit

Номер патента: US20070147430A1. Автор: Brian Connolly,Todd Leonard. Владелец: International Business Machines Corp. Дата публикации: 2007-06-28.

Scalable network security with fast response protocol

Номер патента: US09756082B1. Автор: Markus Hahn,Andreas Seip Haugsnes. Владелец: ServiceNow Inc. Дата публикации: 2017-09-05.

Ultrasonic meter employing two or more dissimilar chordal multipath integration methods in one body

Номер патента: WO2017117242A1. Автор: Gregor J. Brown. Владелец: Cameron International Corporation. Дата публикации: 2017-07-06.

System and method for managing data using an enumerator

Номер патента: US20210097026A1. Автор: Ravikanth Chaganti,Rizwan Ali,Dharmesh M. Patel. Владелец: Dell Products LP. Дата публикации: 2021-04-01.

Номер патента: IN2014CH00378A. Автор: SAMADDAR MITALI,CHAKRABORTY ZINIA,NUVULA ASHOK,Neelima Vadde,Swapna Sagar Duggineni,Devi Komath Uma. Владелец: Hemarus Therapeutics Ltd. Дата публикации: 2015-08-07.

System and method for interactive knitting functions

Номер патента: WO2014012088A1. Автор: Jeffrey Blair SMITH,Sally A. HOLT. Владелец: Create2Thrive Llp. Дата публикации: 2014-01-16.

Recognizing a movement of a pointing device

Номер патента: US09454244B2. Автор: Andrew Wilson. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2016-09-27.

Compact pointing device "mouse"

Номер патента: RU2630165C2. Автор: . Владелец: Немнюгин Андрей Юрьевич. Дата публикации: 2017-09-05.

Process for separating dehydrogenation products

Номер патента: US5457256A. Автор: Michael J. Mitariten,Robert K. Busch. Владелец: UOP LLC. Дата публикации: 1995-10-10.

Three step process for producing light olefins from methane and/or ethane

Номер патента: CA2228738C. Автор: Terry L. Marker,Bipin V. Vora,Henning R. Nilsen. Владелец: NORSK HYDRO ASA. Дата публикации: 2006-09-26.

Purification of beta casein from milk

Номер патента: AU2006312074B2. Автор: John Anthony Lucey,James Anthony O'mahony,Karen E. Smith. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2012-05-31.

Three step process for producing light olefins from crude methane and/or ethane

Номер патента: MY122134A. Автор: Terry L Marker,Bipin V Vora,Henning R Nilsen. Владелец: Uop Inc. Дата публикации: 2006-03-31.

Time-stacking method for dynamic simulations

Номер патента: US20150149132A1. Автор: Yu Zhang,Bin Zheng,Zhenyu Huang,Di Wu,Ruisheng Diao,Yousu Chen,Shuangshuang Jin. Владелец: Battelle Memorial Institute Inc. Дата публикации: 2015-05-28.

Light-Emitting Diode Integration Scheme

Номер патента: US20120025222A1. Автор: Yu Chen-Hua,Chen Ding-Yuan,Chiou Wen-Chih. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-02-02.

RELIABLE INTERCONNECT INTEGRATION SCHEME

Номер патента: US20120168915A1. Автор: . Владелец: GLOBALFOUNDRIES Singapore Pte. Ltd.. Дата публикации: 2012-07-05.

Write Failure Protection for Hierarchical Integrity Schemes

Номер патента: US20120185442A1. Автор: Aharonov Arsenly,Dolgunov Boris. Владелец: . Дата публикации: 2012-07-19.

MTJ STRUCTURE AND INTEGRATION SCHEME

Номер патента: US20130119494A1. Автор: Li Xia,Kang Seung H.,Nowak Matthew M.. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2013-05-16.

REDUCING LINE EDGE ROUGHNESS IN HARDMASK INTEGRATION SCHEMES

Номер патента: US20130302989A1. Автор: Huisinga Torsten,Kenny Oisin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-11-14.

BEOL INTEGRATION SCHEME FOR COPPER CMP TO PREVENT DENDRITE FORMATION

Номер патента: US20140065815A1. Автор: Tanwar Kunaljeet. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-03-06.

LOW-K DAMAGE FREE INTEGRATION SCHEME FOR COPPER INTERCONNECTS

Номер патента: US20140127901A1. Автор: BAO Tien-I,Lee Chung-Ju,Lu Chih Wei. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-05-08.

CONTACT DEVICE FOR CONNECTING INTEGRAL SCHEMES

Номер патента: SU364131A1. Автор: К. Гамаюнов Г.. Владелец: . Дата публикации: 1972-12-25.

PROBE FOR MEASURING THE PARAMETERS OF INTEGRAL SCHEMES

Номер патента: SU427499A1. Автор: . Владелец: Г. С. Исмаилов. Дата публикации: 1974-05-05.

Medical data integration scheme oriented to digital family

Номер патента: CN101340448A. Автор: 罗笑南,余元龙,卢林发,陈钦基. Владелец: ZHONGSHAN AIKE DIGITAL TECHNOLOGY Co Ltd. Дата публикации: 2009-01-07.

DEVICE FOR RECOGNITION ZONE OF DIFFERENCE PARAMETERS OF DIGITAL INTEGRAL SCHEME

Номер патента: SU369521A1. Автор: П. Ерлашов В.. Владелец: . Дата публикации: 1973-02-08.

METHOD OF CONTROL OF INTEGRAL SCHEMES

Номер патента: SU382031A1. Автор: Ф. Г. Старое И. В. Берг Б. А. Красюк Б. Д. Платонов. Владелец: . Дата публикации: 1973-05-22.

CONVERTER CURRENT CODE FOR THE CONTROL OF INTEGRATED SCHEMES

Номер патента: SU420112A1. Автор: . Владелец: ФОНД ттЕ. Дата публикации: 1974-03-15.

METHOD OF MAKING CERAMIC FOUNDATIONS OF CASES OF INTEGRAL SCHEMES

Номер патента: SU427425A1. Автор: . Владелец: Р. Я. Клименский , Е. В. Ромашов. Дата публикации: 1974-05-05.

A kind of two polyplanar SOI BiCMOS integrated device and preparation method

Номер патента: CN102738172B. Автор: 宋建军,王斌,郝跃,舒斌,张鹤鸣,胡辉勇,宣荣喜,戴显英. Владелец: Xidian University. Дата публикации: 2015-08-12.

A kind of SOI SiGe BiCMOS integrated device and preparation method

Номер патента: CN102800681B. Автор: 宋建军,王斌,周春宇,王海栋,郝跃,张鹤鸣,胡辉勇,宣荣喜. Владелец: Xidian University. Дата публикации: 2016-02-24.

BiCMOS integrated circuit manufacture method

Номер патента: CN106033743B. Автор: 文燕,马万里,潘光燃,石金成,高振杰,蔡新春. Владелец: Shenzhen Founder Microelectronics Co Ltd. Дата публикации: 2019-04-02.

COMPOSITION FOR ADVANCED NODE FRONT-AND BACK-END OF LINE CHEMICAL MECHANICAL POLISHING

Номер патента: US20120003901A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Polishing slurry for chalcogenide alloy

Номер патента: US20120001118A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method Of Polishing Chalcogenide Alloy

Номер патента: US20120003834A1. Автор: Reddy Kancharla-Arun Kumar,Liu Zhendong,Koo Ja-Ho,Sawant Kaveri. Владелец: . Дата публикации: 2012-01-05.

Three Dimensional Multilayer Circuit

Номер патента: US20120001654A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

AVOIDING DEGRADATION OF CHALCOGENIDE MATERIAL DURING DEFINITION OF MULTILAYER STACK STRUCTURE

Номер патента: US20120001145A1. Автор: . Владелец: . Дата публикации: 2012-01-05.