Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 3746. Отображено 200.
27-11-1999 дата публикации

ВЫБОРОЧНОЕ УДАЛЕНИЕ МАТЕРИАЛА ОБЛУЧЕНИЕМ

Номер: RU2141879C1

Способ удаления нежелательного материала с обрабатываемой поверхности подложки содержит операции: подачи вокруг нежелательного материала потока газа, практически инертного по отношению к упомянутому нежелательному материалу, и облучения упомянутого нежелательного материала излучением. Удаляемый нежелательный материал является практически непрерывной пленкой нежелательного материала, которой покрыт желательный материал. Облучение упомянутого нежелательного материала включает воздействие на предварительно определенную поверхность упомянутой практически непрерывной пленки энергетическими фотонами с пространственной и временной концентрациями в пределах предварительно определенной поверхности, достаточными для высвобождения соответствующего поверхности раздела участка пленки нежелательного материала с обрабатываемой поверхности и недостаточными для повышения температуры желательного материала выше температуры, при которой плавится желательный материал или физические свойства желательного материала ...

Подробнее
24-02-2021 дата публикации

Номер: RU2019118487A3
Автор:
Принадлежит:

Подробнее
02-09-2021 дата публикации

ГОЛОВКА, СИСТЕМА И СПОСОБ ДЛЯ ОБРАБОТКИ ЛОКАЛЬНОЙ ОБЛАСТИ ПОВЕРХНОСТИ ПОДЛОЖКИ

Номер: RU2754491C1

Изобретение относится к головке для обработки, системе для обработки и способу обработки локальной области поверхности подложки с использованием плазмы. Головка для обработки области поверхности подложки содержит корпус, имеющий основную поверхность, выполненную с возможностью размещения рядом с подлежащей обработке областью поверхности подложки и обращенную к этой области; вытяжное отверстие, выполненное в основной поверхности корпуса, способное соединяться с вытяжным устройством посредством канала для вытягивания газа, образованного по меньшей мере в части корпуса; радиационный нагреватель, размещенный в корпусе, предназначенный для испускания теплового излучения через отверстие для выхода излучения, выполненное в основной поверхности; источник плазмы, размещенный в корпусе, для выпуска струи плазмы через отверстие для выхода плазмы, выполненное в основной поверхности; выпускное отверстие в основной поверхности корпуса, способное соединяться с источником газа посредством газового канала ...

Подробнее
06-04-2006 дата публикации

Verfahren und System für die Kontaminationserkennung und Überwachung in einer Lithographiebelichtungsanlage und Verfahren zum Betreiben der gleichen unter gesteuerten atomsphärischen Bedingungen

Номер: DE102004047677A1
Принадлежит:

Durch Anwenden äußerst effizienter Detektionstechniken, etwa der Chromatographie und der Absorptionsspektroskopie, können ein oder mehrere Kontaminationsstoffe erkannt und deren Konzentration quantitativ bestimmt werden. Auf diese Weise kann die nachteilige Auswirkung auf kritische Komponenten, etwa Retikel und Linsen in Form von beispielsweise abgeschiedenen anorganischen Salzen, deutlich verringert und das Prozessverhalten verbessert werden.

Подробнее
15-03-2010 дата публикации

REDUCTION DE LA CONTAMINATION SUPERFICIELLE CAUSEE PAR THE RADIATIONS

Номер: AT0000460688T
Принадлежит:

Подробнее
15-01-2009 дата публикации

PROCEDURE FOR THE CLEANING OF THE SURFACE OF A PHOTOMASK

Номер: AT0000419559T
Принадлежит:

Подробнее
15-12-1999 дата публикации

DISTANCE OF FOULING BY IRRADIATION

Номер: AT0000186859T
Принадлежит:

Подробнее
15-06-1999 дата публикации

DISTANCE OF SURFACE CONTAMINATIONS BY RADIANT EMITTANCE

Номер: AT0000180703T
Принадлежит:

Подробнее
20-02-1992 дата публикации

REMOVAL OF SURFACE CONTAMINANTS BY IRRADIATION FROM A HIGH-ENERGY SOURCE

Номер: AU0000620766B2
Принадлежит:

Подробнее
29-09-2006 дата публикации

Reticle Handhabungsvorrichtung.

Номер: CH0000695872A5

Подробнее
10-10-2018 дата публикации

라디칼을 운반하기 위한 배열체 및 방법

Номер: KR0101905618B1

... 본 발명은 라디칼들을 운반하기 위한 배열체에 관한 것이다. 이 배열체는 플라즈마 발생기 및 안내 본체를 포함한다. 플라즈마 발생기는 내부에 플라즈마가 형성될 수 있는 챔버(2)를 포함한다. 챔버는 입력 가스를 수용하기 위한 유입구(5) 및 플라즈마 및 그 안에 생성된 라디칼들을 중 하나 이상의 제거를 위한 하나 또는 둘 이상의 유출구(6)들을 갖는다. 안내 본체는 중공형이고 플라즈마 내에 형성된 라디칼들을 오염물질 퇴적물이 제거될 영역 또는 용적을 향하여 안내하기 위해 배열된다. 챔버 유입구는 안내 몸체 내에 유동을 생성하도록 챔버 내로 펄스형 압력을 제공하기 위한 압력 장치(40)에 커플링된다.

Подробнее
11-02-2020 дата публикации

APPARATUS AND METHOD FOR WASHING MASK

Номер: KR0102075685B1
Автор:
Принадлежит:

Подробнее
07-10-2010 дата публикации

LITHOGRAPHIC APPARATUS AND CONTAMINATION REMOVAL OR PREVENTION METHOD

Номер: KR0100986233B1
Автор:
Принадлежит:

Подробнее
22-01-2020 дата публикации

ARRANGEMENT AND METHOD FOR TRANSPORTING RADICALS

Номер: KR0102069183B1
Автор:
Принадлежит:

Подробнее
21-01-2014 дата публикации

LITHOGRAPHIC APPARATUS AND SURFACE CLEANING METHOD

Номер: KR0101353372B1
Автор:
Принадлежит:

Подробнее
03-07-2017 дата публикации

액침 리소그래피 장치 및 액침 리소그래피 장치에 사용되는 세정 방법

Номер: KR0101753496B1
Принадлежит: 가부시키가이샤 니콘

... 액침 리소그래피 장치는, 레티클을 유지하도록 배열되는 레티클 스테이지, 피가공물을 유지하도록 배열되는 워킹 스테이지, 및 조명 소스와 그 조명 소스로부터의 방사광에 의해 투영된 레티클의 이미지 패턴을 가지는 피가공물에 대향하는 광학 소자를 포함하는 광학 시스템을 가진다. 갭은 광학 소자와 피가공물 사이에 규정되며, 액침액을 이 갭에 공급하여 그 공급된 액침액이 액침 리소그래피 공정 동안에 광학 소자와 피가공물 양자에 접촉하도록 액침액을 이 갭에 공급하도록 기능한다. 세정 공정 동안에 광학 소자로부터 흡수된 액체를 제거하기 위한 세정 디바이스가 포함된다. 세정 디바이스는 흡수된 액체, 열, 진공 상태, 초음파 진동 또는 그 흡수된 액체를 제거하기 위한 진공 기포 (cavitating bubble) 에 대한 친화력을 가지는 세정액을 이용한다. 세정액은 밸브와 같은 스위칭 디바이스가 제공되는 동일한 유체 인가 디바이스를 통하여 공급될 수도 있다.

Подробнее
11-08-2010 дата публикации

WASHING LIQUID FOR PHOTOLITHOGRAPHY, AND METHOD FOR WASHING EXPOSURE DEVICE USING THE SAME

Номер: KR0100974840B1
Автор:
Принадлежит:

Подробнее
10-02-2017 дата публикации

액침 리소그래피에 의한 광학기기의 세정방법

Номер: KR1020170016014A
Принадлежит:

... 액침 리소그래피 장치는, 레티클을 유지하도록 배열되는 레티클 스테이지, 피가공물을 유지하도록 배열되는 워킹 스테이지, 및 조명 소스와 그 조명 소스로부터의 방사광에 의해 투영된 레티클의 이미지 패턴을 가지는 피가공물에 대향하는 광학 소자를 포함하는 광학 시스템을 가진다. 갭은 광학 소자와 피가공물 사이에 규정되며, 액침액을 이 갭에 공급하여 그 공급된 액침액이 액침 리소그래피 공정 동안에 광학 소자와 피가공물 양자에 접촉하도록 액침액을 이 갭에 공급하도록 기능한다. 세정 공정 동안에 광학 소자로부터 흡수된 액체를 제거하기 위한 세정 디바이스가 포함된다. 세정 디바이스는 흡수된 액체, 열, 진공 상태, 초음파 진동 또는 그 흡수된 액체를 제거하기 위한 진공 기포 (cavitating bubble) 에 대한 친화력을 가지는 세정액을 이용한다. 세정액은 밸브와 같은 스위칭 디바이스가 제공되는 동일한 유체 인가 디바이스를 통하여 공급될 수도 있다.

Подробнее
28-10-2009 дата публикации

LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS INCLUDING A SCRUBBING STATION

Номер: KR1020090112604A
Принадлежит:

PURPOSE: A lithographic apparatus and a method of operating the apparatus are provided to reduce or minimize the error because of positioning the liquid supplying system at a certain position. CONSTITUTION: A lithographic apparatus comprises an inlet port, and a liquid seal. The inlet port is to bring in the cleaning fluid into the whole or the washed surface. The liquid seal is to seal the whole or the portion surrounding of the space hermetically to prevent entry of the fluid into the space. COPYRIGHT KIPO 2010 ...

Подробнее
31-08-2007 дата публикации

EXPOSURE APPARATUS GENERATING ELECTROMAGNETIC WAVES TO CAUSE PHOTOCHEMICAL REACTION OF MATERIAL, CONTROL METHOD FOR THE SAME, AND DEVICE MANUFACTURING METHOD

Номер: KR1020070089633A
Принадлежит:

PURPOSE: An exposure apparatus, a control method thereof, and a method for manufacturing the same are provided to grow a layer on the capping layer by causing a photochemical reaction of a material using electromagnetic waves, thereby repairing the capping layer. CONSTITUTION: An exposure apparatus includes a chamber in which an optical element(22e) with a capping layer is disposed, and exposes a substrate by using the optical element. A supply unit(31) supplies a material into the chamber to repair the capping layer. The optical element is irradiated by electromagnetic waves from an electromagnetic wave providing unit. The electromagnetic waves cause a photochemical reaction of the material to grow a layer on the capping layer to repair the capping layer. The unit has an irradiation condition adjusting unit for adjusting an irradiation condition when the optical element is irradiated by the electromagnetic waves. © KIPO 2007 ...

Подробнее
17-04-2008 дата публикации

METHOD FOR PREVENTING OR REDUCING CONTAMINATION OF AN IMMERSION-TYPE PROJECTION APPARATUS AND AN IMMERSION-TYPE LITHOGRAPHIC APPARATUS

Номер: KR1020080033912A
Принадлежит:

PURPOSE: A method for preventing or reducing contamination of an immersion-type projection apparatus and an immersion-type lithographic apparatus are provided to rinse a part or the entire part of an immersion space with rinsing liquid, before the apparatus is used to project radiation beam on a substrate. CONSTITUTION: A method for preventing or reducing contamination of an immersion-type projection apparatus, which comprises one or more immersion space(10), and an immersion system(12,13) configured to fill the entire or a part of the immersion space with immersion liquid, the method comprises a step of rinsing the entire or a part of the immersion space using the immersion system with rinsing liquid, before the apparatus is used to project radiation beam on a substrate(W). © KIPO 2008 ...

Подробнее
02-09-2010 дата публикации

SYSTEM AND A METHOD FOR CLEANING A LENS IN-SITU IN IMMERSION LITHOGRAPHY, WHICH IS USED IN AN IMMERSION LITHOGRAPHY SYSTEM OPERATED USING IMMERSED LIQUID OF HIGH REFRACTIVITY

Номер: KR1020100097083A
Принадлежит:

PURPOSE: A system and a method for cleaning a lens in-situ in immersion lithography is provided to prevent the damages to final lens elements and to efficiently performing an in-situ cleaning process of final lens elements without removing the leans and repositioning the lens. CONSTITUTION: An apparatus for immersion lithography comprises: an energy source(110); a projection optical system(130); a stage(160) which a substrate(150) is arranged in and moves the substrate; a showerhead including an immersion liquid feed device and an immersion liquid discharge device; and a cleaning device which cleans a part of the projection optical system contacting immersed liquid(140) through cleaning gas. The cleaning device includes a UV source. COPYRIGHT KIPO 2011 ...

Подробнее
28-10-2004 дата публикации

METHOD AND DEVICE FOR THE DECONTAMINATION OF OPTICAL SURFACES

Номер: KR20040091718A
Принадлежит:

The invention relates to a method and device for the decontamination of the surfaces of beam guiding lenses using UV-radiation in a cleaning atmosphere. According to the invention, the wavelength of the UV-radiation thus used lies in a region of high oxygen absorption and the cleaning atmosphere has an oxygen concentration lower than that of the air. © KIPO & WIPO 2007 ...

Подробнее
16-03-2008 дата публикации

Method for forming fine pattern of semiconductor device

Номер: TW0200814140A
Принадлежит:

A method for forming a fine pattern of a semiconductor device includes forming a first photoresist film pattern over a semiconductor substrate including an underlying layer, exposing the first photoresist film pattern to generate an acid from the first photoresist film pattern, bleaching the first photoresist film pattern, and forming a second photoresist film pattern between the first photoresist patterns.

Подробнее
16-06-2019 дата публикации

Apparatus for lithography, method and apparatus of cleaning electrostatic reticle holder

Номер: TW0201923472A
Принадлежит:

An apparatus for cleaning an electrostatic reticle holder used in a lithography system includes a chamber for providing a low pressure environment for the electrostatic reticle holder and an ultrasound transducer configured to apply ultrasound waves to the electrostatic reticle holder. The apparatus further includes a controller configured to control the ultrasound transducer and a gas flow controller. The gas flow controller is configured to enable pressurizing or depressurizing the chamber.

Подробнее
16-02-2012 дата публикации

Exposure apparatus, liquid immersion member, and device manufacturing method

Номер: TW0201207569A
Принадлежит:

Liquid (Lq) is held between a tip lens (42) of a projection optical system and a wafer (W) on a wafer stage, using a nozzle member (32) which has a shape enclosing an optical path of an illumination light (IL), and a bottom surface to which the wafer is placed facing via a predetermined clearance that has an annular recess section (32n, 32h) formed having multiple projecting sections (32b1, 32b2, 32d). This prevents adhesion of contamination and liquid from remaining that become factors of defects of a pattern formed on the wafer. The nozzle member preferably has an annular shaped inclined surface(32c) whose gap with the wafer surface becomes smaller from the inner side to the outer side, formed on an inner bottom surface facing the wafer of an outer recess section (32h) formed on the bottom surface of the nozzle member.

Подробнее
01-03-2005 дата публикации

Exposure method and device-manufacturing method

Номер: TW0200509205A
Принадлежит:

The present invention provides an exposure device which can project and form a desired device pattern on a substrate by removing an unnecessary liquid, when exposing a pattern onto the substrate via a projection optical system and the liquid. The exposure device exposes the substrate by projecting an image of the pattern on the substrate P via the projection optical system and the liquid and has a liquid-removing mechanism for removing the liquid left on a part 7 arranged near the imaging surface of the projection optical system.

Подробнее
16-11-2017 дата публикации

Lithography apparatus and article manufacturing method

Номер: TW0201740222A
Принадлежит:

A lithography apparatus transfers a pattern of an original to a substrate. The apparatus includes an electrode structure arranged so as to surround a side surface of one of the substrate and the original, and a power supply configured to supply an alternating voltage to the electrode structure. The electrode structure includes a plurality of electrode groups electrically insulated from each other, each electrode group including a plurality of electrodes electrically connected to each other, and the power supply supplies alternating voltages having different phases to the plurality of electrode groups.

Подробнее
01-05-2014 дата публикации

Номер: TWI436403B
Принадлежит: NIKON CORP, NIKON CORPORATION

Подробнее
21-01-2010 дата публикации

METHOD FOR REMOVING A DEPOSITION ON AN UNCAPPED MULTILAYER MIRROR OF A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: WO2010006847A1
Принадлежит:

A method for removal of a deposition on an uncapped multilayer mirror of an apparatus. The method includes providing a gas comprising one or more Of H2, D2 and DH, and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the apparatus; producing hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas; and bringing the uncapped multilayer mirror with deposition into contact with at least part of the hydrogen and/or deuterium radicals and the radicals of the one or more additional compounds to remove at least part of the deposition.

Подробнее
22-10-2009 дата публикации

LITHOGRAPHIC APPARATUS COMPRISING AN INTERNAL SENSOR AND A MINI-REACTOR, AND METHOD FOR TREATING A SENSING SURFACE OF THE INTERNAL SENSOR

Номер: WO2009128717A1
Принадлежит:

A lithographic apparatus (1) includes a projection system (PS) constructed and arranged to project a beam of radiation (B) onto a target portion (C) of a substrate (W), an internal sensor (201) having a sensing surface (202), and a mini-reactor (210) movable with respect to the sensor (201). The mini-reactor includes an inlet (220) for a hydrogen containing gas (226), a hydrogen radical generator (260), and an outlet (230) for a hydrogen radical containing gas (266). The mini-reactor (210) is constructed and arranged to create a local mini-environment (255) comprising hydrogen radicals to treat the sensing surface (202).

Подробнее
02-12-2004 дата публикации

EXPOSURE DEVICE AND DEVICE MANUFACTURING METHOD

Номер: WO2004105107A1
Принадлежит:

There is provided an exposure device capable of forming a desirable device pattern by removing unnecessary liquid when performing exposure by projecting a pattern onto the substrate via a projection optical system and liquid. The exposure device projects a pattern image onto the substrate (P) via the projection optical system and the liquid so as to expose the substrate (P). The exposure device includes a liquid removal mechanism (40) for removing residual liquid from a part (7) arranged in the vicinity of the image surface of the projection optical system.

Подробнее
09-05-2017 дата публикации

Lithographic apparatus and surface cleaning method

Номер: US0009645508B2
Принадлежит: ASML NETHERLANDS B.V., ASML NETHERLANDS BV

An apparatus and method for cleaning a contaminated surface of a lithographic apparatus are provided. A liquid confinement structure comprises at least two openings used to supply and extract liquid to a gap below the structure. The direction of flow between the openings can be switched. Liquid may be supplied to the gap radially outward of an opening adapted for dual flow. Supply and extraction lines to respectively supply liquid to and extract liquid from the liquid confinement structure have an inner surface that is resistant to corrosion by an organic liquid. A corrosive cleaning fluid can be used to clean photo resist contamination.

Подробнее
24-06-2014 дата публикации

Exposure apparatus and method for producing device

Номер: US0008760617B2
Принадлежит: Nikon Corporation, NIKON CORP, NIKON CORPORATION

A cleaning of a liquid immersion exposure apparatus is performed at a different time than an exposure operation. A stage is placed under a liquid supply inlet during a cleaning operation. The cleaning operation is performed at the different time than the exposure operation in which an immersion liquid is supplied onto a substrate held on a holder of the stage. The immersion liquid is supplied from a liquid supply inlet during the cleaning operation. The immersion liquid is supplied to a portion of the stage different from a portion at which the substrate is held by the holder of the stage.

Подробнее
13-04-2021 дата публикации

Contamination handling for semiconductor apparatus

Номер: US0010976676B2

The present disclosure describes a semiconductor apparatus and a method for handling contamination from a semiconductor manufacturing process. The semiconductor apparatus can include a chuck configured to hold a substrate, a drain cup configured to surround the chuck and to capture a chemical sprayed from the substrate, and a detection module disposed in a space between the drain cup and the chuck and configured to monitor sidewalls of the drain cup.

Подробнее
30-08-2007 дата публикации

EXPOSURE APPARATUS, CONTROL METHOD FOR THE SAME, AND DEVICE MANUFACTURING METHOD

Номер: US20070202423A1
Принадлежит: CANON KABUSHIKI KAISHA

An exposure apparatus includes a chamber where an optical element having a capping layer is arranged, and exposes a substrate by using the optical element. The exposure apparatus includes a supply unit which supplies a material to repair the capping layer into the chamber, and a providing unit which provides electromagnetic waves to the optical element. The electromagnetic waves cause a photochemical reaction of the material to grow a layer on the capping layer so as to repair the capping layer.

Подробнее
25-08-2020 дата публикации

Extreme ultraviolet (EUV) exposure apparatus and method of manufacturing semiconductor device using the same

Номер: US0010754254B1
Принадлежит: Samsung Electronics Co., Ltd.

An extreme ultraviolet (EUV) exposure apparatus includes a chamber, an EUV source in the chamber and configured to generate an EUV beam, an optical system above the EUV source and configured to provide the EUV beam to a substrate, a substrate stage in the chamber and configured to receive the substrate, a reticle stage in the chamber and configured to hold a reticle that is configured to project the EUV beam onto the substrate, and a plasma source configured to provide plasma to the reticle to electrically neutralize the reticle charged by the EUV beam.

Подробнее
05-01-2010 дата публикации

Bevel inspection apparatus for substrate processing

Номер: US0007641406B2
Принадлежит: Sokudo Co., Ltd., SOKUDO CO LTD, SOKUDO CO., LTD.

A substrate processing apparatus includes an indexer block, an anti-reflection film processing block, a resist film processing block, a development processing block, a resist cover film processing block, a resist cover film removal block, and an interface block. The interface block includes a bevel portion inspection unit. The bevel portion inspection unit inspects a bevel portion of a substrate to determine whether or not the bevel portion of the substrate is contaminated. The substrate whose bevel portion is determined to be contaminated and the substrate whose bevel portion is determined that it is not contaminated are respectively subjected to different types of processing.

Подробнее
16-08-2011 дата публикации

Processing liquid for resist substrate and method of processing resist substrate using the same

Номер: US0007998664B2

The present invention provides a resist substrate treating solution and a method for pattern formation using that treating solution, and thereby problems such as foreign substances on the substrate surface, pattern collapse and pattern roughness can be easily solved at the same time. The treating solution comprises water and an alkylene oxide adduct of a primary amine having a hydrocarbon group of 11 to 30 carbon atoms or of ammonia. The method for pattern formation according to the invention comprises a step of treating the developed pattern with that treating solution.

Подробнее
21-06-2007 дата публикации

Apparatus and method for the detection of a surface reaction, especially for cleaning of an arbitrary two-dimensional surface or three-dimensional body

Номер: US2007143032A1
Принадлежит:

There is provided an apparatus that includes a film that undergoes a chemical reaction when exposed to a species. The chemical reaction causes an alteration of a physical property of the film as an indicator of the species.

Подробнее
07-03-2002 дата публикации

Solution treatment apparatus

Номер: US2002026896A1
Автор:
Принадлежит:

In a solution treatment apparatus, a nozzle for discharging a treatment solution toward a substrate held by a spin chuck includes a discharge opening for discharging the treatment solution on a surface of the substrate. A collision body against which the treatment solution discharged from the nozzle collides is disposed between the discharge opening of the nozzle and the substrate. Since the collision body is disposed between the discharge opening and the substrate, even when the discharge pressure of the treatment solution is high, the discharged treatment solution once collides against the collision body so that the discharge speed on reaching the substrate is reduced, damage to the substrate is eased, and the amount of air entering into the discharged treatment solution is decreased. Accordingly, no micro-bubble occurs.

Подробнее
09-01-2018 дата публикации

Apparatus and methods for photomask backside cleaning

Номер: US0009864283B2

Apparatus for cleaning a photo mask includes a rotor in a head, with the rotor having a seal plate having a central opening, a resilient mask seal in the central opening, and retractors attached to the resilient mask seal and adapted to move the resilient mask seal into open and closed positions. A motor in the head rotates the rotor. A push plate in the head moves to operate the retractors. In the closed position the resilient mask seal seals against the sides of the photo mask. The back side of the photo mask can then be cleaned without affecting the patterned front side of the photo mask.

Подробнее
28-07-2015 дата публикации

Source collector, lithographic apparatus and device manufacturing method

Номер: US0009091944B2

An EUV lithographic apparatus includes a source collector apparatus in which the extreme ultraviolet radiation is generated by exciting a fuel to provide a plasma emitting the radiation. The source collector apparatus includes a chamber in fluid communication with a guide way external to the chamber. A pump for circulating buffer gas is part of the guide way, and provides a closed loop buffer gas flow. The gas flowing through the guide way traverses a gas decomposer wherein a compound of fuel material and buffer gas material is decomposed, so that decomposed buffer gas material can be fed back into the closed loop flow path.

Подробнее
27-07-2010 дата публикации

High power EUV lamp system

Номер: US0007763872B2

A system for providing extreme ultraviolet (EUV) radiation comprises a laser source arranged to produce a laser beam having a focus; and a carrier movable relative to the laser source for carrying a surface material, the surface material when carried by the carrier providing a renewable target edge. The focussed beam is arranged to impinge on the target edge to produce an EUV radiation emitting plasma. The system is cooperable with a mirror for harnessing the EUV radiation by reflecting EUV radiation impinging thereon. The mirror comprises a substantially aspheric surface and means for supplying a reflecting liquid to at least partially coat the aspheric surface, the mirror being rotatable to centrifugally confine the liquid to the aspheric surface.

Подробнее
14-02-2013 дата публикации

Debris Removal in High Aspect Structures

Номер: US20130037053A1
Принадлежит: RAVE, LLC

A system for removing debris from a surface of a photolithographic mask is provided. The system includes an atomic force microscope with a tip supported by a cantilever. The tip includes a surface and a nanometer-scaled coating disposed thereon. The coating has a surface energy lower than the surface energy of the photolithographic mask.

Подробнее
07-11-2010 дата публикации

Веvеl inspесtiоn аppаrаtus fоr substrаtе prосеssing

Номер: US0022917132B2
Принадлежит: Sokudo Co., Ltd., SOKUDO CO LTD, SOKUDO CO., LTD.

А substrаtе prосеssing аppаrаtus inсludеs аn indехеr blосk, аn аnti-rеflесtiоn film prосеssing blосk, а rеsist film prосеssing blосk, а dеvеlоpmеnt prосеssing blосk, а rеsist соvеr film prосеssing blосk, а rеsist соvеr film rеmоvаl blосk, аnd аn intеrfасе blосk. Тhе intеrfасе blосk inсludеs а bеvеl pоrtiоn inspесtiоn unit. Тhе bеvеl pоrtiоn inspесtiоn unit inspесts а bеvеl pоrtiоn оf а substrаtе tо dеtеrminе whеthеr оr nоt thе bеvеl pоrtiоn оf thе substrаtе is соntаminаtеd. Тhе substrаtе whоsе bеvеl pоrtiоn is dеtеrminеd tо bе соntаminаtеd аnd thе substrаtе whоsе bеvеl pоrtiоn is dеtеrminеd thаt it is nоt соntаminаtеd аrе rеspесtivеlу subjесtеd tо diffеrеnt tуpеs оf prосеssing.

Подробнее
02-01-2013 дата публикации

Exposure apparatus and method for producing device

Номер: EP2535769A3
Принадлежит:

There is provided an exposure apparatus (EX) capable of forming a desirable device pattern by removing unnecessary liquid when performing exposure by projecting a pattern onto the substrate (P) via a projection optical system (PL) and the liquid (1). The exposure device projects an image of the pattern onto the substrate via the projection optical system and the liquid so as to expose the substrate. The exposure device includes a liquid removing mechanism (40) which removes the liquid remaining on a part (7) arranged in the vicinity of the image plane of the projection optical system.

Подробнее
15-07-2000 дата публикации

SELECTIVE DISTANCE OF MATERIAL BY IRRADIATION

Номер: AT0000194515T
Принадлежит:

Подробнее
27-04-2005 дата публикации

METHOD FOR RADIATION TREATING AN OPTICAL SYSTEM

Номер: AU2003270216A1
Принадлежит:

Подробнее
05-02-1990 дата публикации

REMOVAL OF SURFACE CONTAMINANTS BY IRRADIATION FROM A HIGH-ENERGY SOURCE

Номер: AU0003867689A
Принадлежит:

Подробнее
27-08-1998 дата публикации

REMOVAL OF MATERIAL POLARIZED RADIATION AND APPLICATION OF RADIATION WITH REVERSE SIDE OF

Номер: EA0199800002A1
Автор:
Принадлежит:

Подробнее
21-02-2020 дата публикации

Method for handling mask and lithography apparatus

Номер: CN0110824834A
Автор: LIAO JU-WEI, LIAO CHI-HUNG
Принадлежит:

Подробнее
15-06-2011 дата публикации

Lithographic apparatus and surface cleaning method

Номер: CN0102096329A
Принадлежит:

The invention discloses a lithographic apparatus and a surface cleaning method. In the lithographic apparatus, a liquid confinement structure comprises at least two openings used to supply and extract liquid to a gap below the structure. The direction of flow between the openings can be switched. Liquid may be supplied to the gap radially outward of an opening adapted for dual flow. Supply and extraction lines to respectively supply liquid to and extract liquid from the liquid confinement structure have an inner surface that is resistant to corrosion by an organic liquid. A corrosive cleaningfluid can be used to clean photo resist contamination.

Подробнее
23-12-2009 дата публикации

Optical system having a cleaning arrangement

Номер: CN0100573334C
Автор: ZINK PETER, PETER ZINK
Принадлежит:

Подробнее
21-09-2016 дата публикации

Exposure device of the dust removing device and method

Номер: CN0104508561B
Автор:
Принадлежит:

Подробнее
17-10-2007 дата публикации

Lithographic Apparatus, Device Manufacturing Method, and Device Manufactured Thereby

Номер: KR0100767837B1
Автор:
Принадлежит:

Подробнее
24-09-2014 дата публикации

HYDROCARBON GETTER FOR LITHOGRAPHIC EXPOSURE TOOLS

Номер: KR0101442780B1
Автор:
Принадлежит:

Подробнее
17-10-2007 дата публикации

Device Manufacturing Method

Номер: KR0100767829B1
Автор:
Принадлежит:

Подробнее
04-03-2009 дата публикации

MAINTENANCE METHOD, EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: KR1020090023331A
Принадлежит:

A maintenance method for performing maintenance of an exposure apparatus comprising a liquid-immersion space defining member (30) for defining a liquid-immersion region by supplying liquid (1) in the space between an optical member (2) and a substrate (P), a liquid supply mechanism (10) for supplying the liquid (1) into the liquid-immersion space, a substrate stage (PST) for moving the substrate (P), and a measurement stage (MST) on which a reference mark is made. To clean a liquid-immersion space defining member (30), a cleaning liquid is supplied into the space between the measurement stage (MST) and the liquid-immersion space defining member (30). The exposure apparatus has various types of cleaning mechanisms for cleaning the liquid-immersion space defining member (30). While efficiently performing maintenance of the exposure apparatus, liquid-immersion exposure can be performed. © KIPO & WIPO 2009 ...

Подробнее
27-09-2011 дата публикации

CLEANUP METHOD FOR OPTICS IN IMMERSION LITHOGRAPHY

Номер: KR1020110105856A
Автор:
Принадлежит:

Подробнее
08-06-2007 дата публикации

METHOD FOR PREVENTING OR REDUCING CONTAMINATION OF IMMERSION TYPE PROJECTION APPARATUS BY CLEANING AT LEAST PART OF IMMERSION SPACE WITH RINSING LIQUID, AND IMMERSION TYPE LITHOGRAPHIC APPARATUS

Номер: KR1020070058360A
Принадлежит:

PURPOSE: A method for preventing or reducing contamination of an immersion type projection apparatus and an immersion type lithographic apparatus are provided to clean a part of an immersion space with a rinsing liquid before the apparatus is used to project beam of radiation onto a substrate. CONSTITUTION: An immersion type projection apparatus includes at least one immersion space that is wholly or partially filled with liquid when the apparatus projects a beam of radiation onto a substrate. A part of the immersion space is cleaned with rinsing liquid before the apparatus is used to project the beam of radiation onto a substrate. The part of the immersion space and/or the rinsing liquid is illuminated with ultraviolet radiation. The immersion space extends between the part of a substrate, a dummy substrate or a substrate shaped object and/or a substrate holder on one side, and a projection system on an opposite side. © KIPO 2007 ...

Подробнее
15-10-2018 дата публикации

METHOD FOR PRODUCING PHOTOMASK BLANK

Номер: KR1020180113177A
Автор: KISHITA TAKAHIRO
Принадлежит:

Provided is a method for producing a photomask blank, capable of reducing risks of having defects in penetrable pinholes on a hard mask film, taking place during a washing process. To this end, the method for producing the photomask blank is to form a pattern formation film on a substrate having transparency with respect to exposure light and to form the hard mask film with thickness T on the pattern formation film. In order to form the hard mask film, the method comprises the following processes: growing a thin film having thickness smaller than the thickness T of the hard mask film; and repeating a process of removing foreign substances on the thin film by washing twice or more, so as to form the hard mask film with thickness T. COPYRIGHT KIPO 2018 (A1,A2,A3) Foreign defect (B1,B2) Cleaning solution water ...

Подробнее
19-05-2006 дата публикации

METHOD FOR REMOVING DEPOSITS FROM OPTICAL ELEMENT USING HYDROGEN RADICALS FOR IMPROVING DEVICE PERFORMANCE, OPTICAL ELEMENT PROTECTING METHOD, DEVICE MANUFACTURING METHOD, PREDETERMINED APPARATUS WITH OPTICAL ELEMENT AND LITHOGRAPHIC APPARATUS

Номер: KR1020060051990A
Принадлежит:

PURPOSE: A method for removing deposits from an optical element, an optical element protecting method, a device manufacturing method, a predetermined apparatus with the optical element and a lithographic apparatus are provided to enhance a device performance by using hydrogen radicals. CONSTITUTION: A gas containing H2 is supplied to an apparatus with an optical element(100). Hydrogen radicals are generated from the H2 of the gas. Deposits are eliminated from the optical element by applying the hydrogen radicals to the optical element. The deposits contain at least one or more selected from a group consisting of B, C, Si, Ge and Sn. © KIPO 2006 ...

Подробнее
22-06-2004 дата публикации

LITHOGRAPHY APPARATUS WITH DOWNSTREAM RADICAL SOURCE AND METHOD OF MANUFACTURING DEVICE

Номер: KR20040052189A
Принадлежит:

PURPOSE: A lithography apparatus and a method of manufacturing a device are provided to clean surfaces in the same without damage of sensitive elements by using a downstream radical source. CONSTITUTION: A lithography apparatus includes a support structure for supporting a patterning part, a substrate table for loading a substrate, a projection system for projecting patterned light on a target of the substrate, a downstream radical source and a directing part. The downstream radical source(10) is connected to a gas supply apparatus. The downstream radical source provides a beam of radicals. The directing part is used for guiding the beam of radicals to a surface(8) of a cleaning object. © KIPO 2005 ...

Подробнее
01-09-2008 дата публикации

Cleaning liquid, cleaning method, liquid generating apparatus, exposure apparatus, and device fabricating method

Номер: TW0200836246A
Принадлежит:

An exposure apparatus exposes a substrate through an exposure liquid with exposure light. A cleaning liquid is provided to the exposure apparatus, in order to clean at least part of the exposure apparatus. In the cleaning liquid, a prescribed gas of an amount that is greater than or equal to the saturation concentration is dissolved.

Подробнее
16-09-2019 дата публикации

Cleaning apparatus and methods of cleaning

Номер: TW0201937272A
Принадлежит:

The present application relates to a carbon dioxide snow cleaning apparatus comprising: a carbon dioxide source; a carbon dioxide snow nozzle in fluid communication with the carbon dioxide source; a charging element; and a collection surface. Also described is a method of cleaning a surface, the method comprising the steps of: (i) passing a stream of carbon dioxide out of a carbon dioxide snow nozzle to form a carbon dioxide snow stream; (ii) charging the carbon dioxide snow stream; (iii) directing the charged carbon dioxide snow stream onto the surface to be cleaned; (iv) collecting particles removed by the charged carbon dioxide snow stream from the surface to be cleaned on a collection surface. Also described is the use of such apparatus in a lithographic apparatus and the use of such an apparatus or method.

Подробнее
16-09-2021 дата публикации

Treatment head, treatment system and method for treating a local surface area of a substrate

Номер: TW202133954A
Принадлежит:

A treatment head for treating a surface area of a substrate includes a housing having a main surface configured to be arranged adjacent to and facing the surface area of the substrate to be treated. An exhaust opening in the main surface of the housing is connectable to an exhaust device via an exhaust gas path formed at least in part in the housing. A plasma source is arranged in the housing to emit a plasma jet through a plasma exit opening in the main surface. An outlet opening in the main surface of the housing is connectable to a gas source via an gas path formed at least in part in the housing. The centers of the exhaust opening, the plasma exit opening, and the outlet opening are arranged in the above order along a first direction of the main surface.

Подробнее
29-08-2011 дата публикации

LITHOGRAPHIC TOOL IN SITU CLEAN FORMULATIONS

Номер: SG0000173172A1

Compositions and methods of using the said composition for removing polymeric materials from surfaces, preferably cleaning contaminant buildup from a lithography apparatus without total disassembly of the said apparatus. The said compositions comprise at least one organic solvent and one non-ionic surfactant. Moreover, the pH of the said composition is about 5 to 9.

Подробнее
30-01-2007 дата публикации

Lithographic apparatus and device manufacturing method

Номер: SG0000128452A1
Автор:
Принадлежит:

The use of a downstream radical source 10 to produce a beam 7 of radicals for cleaning contaminants from a surface 8.

Подробнее
03-07-2008 дата публикации

ILLUMINATION SYSTEM, LITHOGRAPHIC APPARATUS, MIRROR, METHOD OF REMOVING CONTAMINATION FROM A MIRROR AND DEVICE MANUFACTURING METHOD

Номер: WO2008079008A2
Принадлежит:

An EUV illumination system, for example, for use in a photolithographic apparatus is configured to condition a radiation beam. A hydrogen radical source configured to supply gas containing hydrogen or hydrogen radicals into the illumination system. The hydrogen gas is effective to remove carbonaceous contamination from the surface of a mirror in the illumination system or to form a buffer against unwanted gases. In order to prevent damage by hydrogen that penetrates the mirror, the mirror comprises a layer made of metal non-metal compound adjacent a reflection surface of the mirror. A transition metal carbide, nitride, boride or suicide compound or mixture thereof may be used for example.

Подробнее
26-09-2013 дата публикации

ARRANGEMENT AND METHOD FOR TRANSPORTING RADICALS

Номер: WO2013139878A2
Автор: KRUIT, Pieter, SMITS, Marc
Принадлежит:

The invention relates to an arrangement for transporting radicals. The arrangement includes a plasma generator and a guiding body. The plasma generator includes a chamber (2) in which a plasma may be formed. The chamber has an inlet (5) for receiving an input gas, and one or more outlets (6) for removal of at least one of the plasma and radicals created therein. The guiding body is hollow and is arranged for guiding radicals formed in the plasma towards an area or volume at which contaminant deposition is to be removed. The chamber inlet is coupled to a pressure device (40) for providing a pulsed pressure into the chamber so as to create a flow in the guiding body.

Подробнее
22-10-2009 дата публикации

EXPOSURE APPARATUS, CLEANING METHOD, AND DEVICE FABRICATING METHOD

Номер: WO2009128554A1
Принадлежит:

An exposure apparatus exposes a substrate with exposure light that passes through an exposure liquid. The exposure apparatus comprises: an optical member, which has an emergent surface wherefrom the exposure light emerges; a first supply port, which supplies the exposure liquid; a liquid immersion member, which is capable of forming an immersion space so that an optical path of the exposure light that emerges from the optical member is filled with the exposure liquid; a second supply port, which supplies a cleaning liquid so that it contacts the liquid immersion member; and a preventive apparatus, which prevents the cleaning liquid and the optical member from contacting one another.

Подробнее
07-09-2012 дата публикации

SYSTEMS AND METHODS FOR OPTICS CLEANING IN AN EUV LIGHT SOURCE

Номер: WO2012118644A1
Принадлежит:

An extreme-ultraviolet (EUV) light source h described herein comprising an optic; a primary EUV light radiator generating an EUV light emitting plasma and producing, a deposit on said optic; and a cleaning system comprising a gas and a secondary light radiator, the secondary light radiator generating a laser produced plasma and producing a cleaning species with the gas.

Подробнее
18-01-2007 дата публикации

EXPOSURE APPARATUS AND METHOD FOR MANUFACTURING DEVICE

Номер: WO2007007746A1
Принадлежит:

Disclosed is an exposure apparatus (EX) comprising a first stage (WST) and a second stage (MST). A maintenance device (55) performs maintenance of the second stage (MST) during an exposure process of a wafer (W) held on the first stage.

Подробнее
18-02-2010 дата публикации

RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: WO2010018039A1
Принадлежит:

A lithographic apparatus includes a source configured to generate a radiation beam comprising desired radiation and undesired radiation using a plasma, an illumination system configured to condition the radiation beam and to receive hydrogen gas during operation of the lithographic apparatus, and a support structure constructed to hold a patterning device. The patterning device is capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus is configured such that the radiation beam on entering the projection system includes at least 50% of the undesired radiation that is generated by the plasma and includes wavelengths of radiation that interact with the hydrogen gas to generate hydrogen radicals.

Подробнее
28-08-2003 дата публикации

Cleaning apparatus and method

Номер: US20030159710A1
Принадлежит: Canon Kabushiki Kaisha

There is provided a cleaning apparatus having a first vacuum container into which a cleaning object is to be introduced, a second vacuum container set apart from the first vacuum container by means of a light-transmissive member, a pump for evacuating the inside of each of the first vacuum container and the second vacuum container, a gas feed means for feeding an electric-discharge gas into the second vacuum container, and an electric-discharge generation means for generating electric discharge in the second vacuum container, wherein the cleaning object is irradiated through the light-transmissive member by light produced by the electric discharge generated in the second vacuum container.

Подробнее
26-02-2015 дата публикации

LITHOGRAPHIC APPARATUS AND A METHOD OF OPERATING THE APPARATUS

Номер: US20150055102A1
Принадлежит: ASML NETHERLANDS B.V.

A lithographic projection apparatus is disclosed which includes a cleaning station. Several embodiments of the cleaning station are disclosed. In an embodiment, measures are taken to avoid contact of a cleaning fluid with the final element of the projection system. In an embodiment, measures are taken to avoid foaming of the cleaning fluid. The use of a thermally isolated island is also disclosed as well as its optimal position.

Подробнее
13-04-2006 дата публикации

Exposure apparatus and method for producing device

Номер: US20060077367A1
Принадлежит: NIKON CORPORATION

There is provided an exposure apparatus capable of forming a desirable device pattern by removing unnecessary liquid when performing exposure by projecting a pattern onto the substrate via a projection optical system and the liquid. The exposure device projects an image of the pattern onto the substrate P via the projection optical system and the liquid so as to expose the substrate P. The exposure device includes a liquid removing mechanism 40 which removes the liquid remaining on a part 7 arranged in the vicinity of the image plane of the projection optical system.

Подробнее
02-12-2004 дата публикации

Self-cleaning method for semiconductor exposure apparatus

Номер: US20040239900A1
Принадлежит:

To an original plate holder 16 to which an original pattern plate 15 is set in ordinary exposure, a transmittable plate 20 having a concave-shaped concave portion 22 formed at a middle of a quartz glass plate is set in self-cleaning and irradiated with ultraviolet light emitted from a light source 11. The ultraviolet light is diffused through the concave lens of the transmittable plate 20 and an entire surface of a projection lens 17 is irradiated with it. Accordingly, molecular bonds of a contaminant adhering to the surface of the projection lens 17 are cut off with strong energy of the ultraviolet light, so that the contaminant is decomposed, vaporized, and then removed.

Подробнее
15-04-2014 дата публикации

Exposure apparatus, method for cleaning member thereof, maintenance method for exposure apparatus, maintenance device, and method for producing device

Номер: US0008698998B2
Принадлежит: Nikon Corporation

An exposure apparatus EXS forms an immersion area AR2 of a liquid LQ on the side of the image plane of a projection optical system PL and performs exposure of a substrate P via the projection optical system PL and the liquid LQ of the immersion region AR2. The exposure apparatus EXS has an optical cleaning unit (80) which irradiates a predetermined irradiation light Lu, having an optical cleaning effect, onto, for example, the upper surface (31) of the substrate stage PST which makes contact with the liquid LQ for forming the immersion area AR2. Thus, it is possible to prevent deterioration of the exposure accuracy and measurement accuracy due to pollution of the member in contact with the liquid in the immersion region.

Подробнее
04-03-2003 дата публикации

Developing apparatus with a porous film nozzle

Номер: US0006527861B2

In a solution treatment apparatus, a nozzle for discharging a treatment solution toward a substrate held by a spin chuck includes a discharge opening for discharging the treatment solution on a surface of the substrate. A collision body against which the treatment solution discharged from the nozzle collides is disposed between the discharge opening of the nozzle and the substrate. Since the collision body is disposed between the discharge opening and the substrate, even when the discharge pressure of the treatment solution is high, the discharged treatment solution once collides against the collision body so that the discharge speed on reaching the substrate is reduced, damage to the substrate is eased, and the amount of air entering into the discharged treatment solution is decreased. Accordingly, no micro-bubble occurs.

Подробнее
28-09-2004 дата публикации

Method and device for decontaminating optical surfaces

Номер: US0006796664B2

A method and a device for decontaminating optical surfaces, in particular for decontaminating the surfaces of beam-guiding optics employing UV-radiation in a cleansing atmosphere. The wavelength of the UV-radiation employed falls within a range where oxygen strongly absorbs and the cleansing atmosphere has an oxygen concentration less than that of air. The method and device have application to, e.g., cleaning the surfaces of the beam-guiding optics of microlithographic projection-exposure systems.

Подробнее
19-07-2012 дата публикации

In-Situ Immersion Hood Cleaning

Номер: US20120180823A1

An apparatus includes a wafer stage configured to secure a wafer; and a cleaning module including a tank adjacent to the wafer stage, and is positioned outside the region occupied by the wafer. The cleaning module is configured to receive de-ionized (DI) water into the tank and extract the DI water out of the tank. The tank is configured to hold DI water with a top surface of the DI water substantially level with a top surface of the wafer.

Подробнее
19-07-2012 дата публикации

Energy beam drawing apparatus and method of manufacturing device

Номер: US20120181455A1
Принадлежит: Canon Inc

An energy beam drawing apparatus includes a member, positioned between an energy beam source and a substrate, on which a deposit is deposited and a removing unit which removes the deposit. The removing unit includes a catalyst for generating, from a gas, an active species for decomposing the deposit by irradiation with the energy beam, a supplying mechanism for supplying the gas to a position where the active species is generated, and a moving mechanism for moving, when executing processing of removing the deposit, the catalyst to a first position which is irradiated with the energy beam, and moving, when executing drawing processing on the substrate, the catalyst to a second position which is not irradiated with the energy beam.

Подробнее
05-12-2013 дата публикации

CLEANING METHOD FOR EUV LIGHT GENERATION APPARATUS

Номер: US20130319466A1
Принадлежит:

A cleaning method for an EUV light generation apparatus may include closing a connection portion so that a chamber interior and the interior of an exposure apparatus do not communicate when EUV light is not being generated, supplying an etchant gas for etching debris that has accumulated on a reflective surface of an optical element to the chamber interior in a state where the connection portion is closed, and exhausting the chamber interior using an exhaust apparatus while supplying the etchant gas. 1. A cleaning method for an EUV light generation apparatus that includes a chamber in which EUV light is generated by introducing a laser beam , a connection portion configured to allow the interior of the chamber and the interior of an exposure apparatus to communicate , a target supply apparatus configured to supply a target material to a plasma generation site in the chamber interior , an optical element having a reflective surface that reflects EUV light generated by irradiating the target material with the laser beam at the plasma generation site , and an exhaust apparatus configured to exhaust the chamber interior , the method comprising:closing the connection portion so that the chamber interior and the interior of the exposure apparatus do not communicate when the EUV light is not being generated;supplying an etchant gas for etching debris that has accumulated on the reflective surface of the optical element to the chamber interior in a state where the connection portion is closed; andexhausting the chamber interior using the exhaust apparatus while supplying the etchant gas.2. The cleaning method for an EUV light generation apparatus according to claim 1 , further comprising:measuring an amount of the debris that has accumulated on the reflective surface of the optical element when the EUV light is being generated,wherein, when it is determined that the amount of the accumulated debris is greater than or equal to a threshold, the closing the connecting portion, ...

Подробнее
06-03-2014 дата публикации

EUV EXPOSURE APPARATUS AND CLEANING METHOD

Номер: US20140063477A1
Автор: Matsunaga Kentaro
Принадлежит: KABUSHIKI KAISHA TOSHIBA

According to one embodiment, an EUV exposure apparatus includes a mirror which reflects an EUV light beam irradiated from a light source and a wafer stage which is irradiated with the EUV light beam reflected by the mirror. When exposure of a first wafer is to be performed, the first wafer is mounted on the wafer stage, and the wafer stage allows the first wafer to be irradiated with the EUV light beam. In addition, when cleaning of the mirror is to be performed, the EUV light beam is reflected by a reflection substrate, and the wafer stage allows the mirror to be irradiated with the reflected light beam. 1. An EUV exposure apparatus comprising:a mirror which reflects an EUV light beam irradiated from a light source; anda wafer stage which is irradiated with the EUV light beam reflected by the mirror, wherein when exposure of a first wafer is to be performed, the first wafer is mounted on the wafer stage, and the wafer stage allows the first wafer to be irradiated with the EUV light beam, and when cleaning of the mirror is to be performed, the EUV light beam is reflected by a reflection substrate, and the wafer stage allows the mirror to be irradiated with the reflected light beam.2. The EUV exposure apparatus according to claim 1 ,wherein the reflection substrate includes:a multilayered film which reflects the EUV light beam; anda diffraction pattern which is disposed in an upper layer from the multilayered film to diffract the EUV light beam, andthe diffraction pattern is formed to have plural dimensions so that the EUV light beam can be reflected at plural angles.3. The EUV exposure apparatus according to claim 2 , wherein the diffraction pattern is formed to have plural dimensions so that any position of a surface of the mirror can be irradiated with the reflected light beam of the EUV light beam.4. The EUV exposure apparatus according to claim 1 ,wherein the reflection substrate includes a multilayered film which reflects the EUV light beam, andthe multilayered ...

Подробнее
06-01-2022 дата публикации

APPARATUS FOR AND METHOD CLEANING A SUPPORT INSIDE A LITHOGRAPHY APPARATUS

Номер: US20220004112A1
Принадлежит: ASML Holding N.V.

An apparatus for and method of cleaning a surface of a support structure in a lithographic system in which a cleaning substrate has at least one motor which causes the cleaning substrate to move laterally across the surface. The cleaning substrate may be provided with a power supply mounted on the cleaning substrate and selectably electrically connectable to the motor. 118.-. (canceled)19. An apparatus for use in cleaning a support structure in a lithographic system , the apparatus comprising:a substrate configured to be supported by the support structure; andat least one motor mechanically coupled to and mounted on the substrate and arranged to induce motion of the substrate.20. The apparatus of claim 19 , wherein the at least one motor comprises a piezoelectric element.21. The apparatus of claim 19 , wherein the at least one motor comprises a microelectromechanical machine.22. The apparatus of claim 19 , wherein the at least one motor comprises a rotor connected to an offset weight.23. The apparatus of claim 19 , further comprising a power supply mounted on the substrate and selectably electrically connected to the motor.24. The apparatus of claim 23 , wherein the power supply comprises a battery.25. The apparatus of claim 19 , wherein the substrate comprises a planar surface and a coating on at least a portion of the planar surface.26. The apparatus of claim 25 , wherein the coating is an abrasive coating.27. The apparatus of claim 25 , wherein the coating includes an absorbed liquid.28. The apparatus of claim 27 , wherein the absorbed liquid comprises an alcohol.29. The apparatus of claim 25 , wherein the coating is a drying coating.30. The apparatus of claim 19 , further comprising at least one sensor mounted on the substrate.31. The apparatus of claim 30 , wherein the sensor is configured to measure lateral movement of the substrate.32. The apparatus of claim 19 , further comprising at least one control unit mounted on the substrate.33. The apparatus of claim ...

Подробнее
02-01-2020 дата публикации

DROPLET GENERATOR AND METHOD OF SEVICING EXTREME ULTRAVIOLET RADIATION SOURCE APPARATUS

Номер: US20200004168A1
Принадлежит:

An extreme ultraviolet radiation source apparatus includes a chamber including at least a droplet generator, a nozzle of the droplet generator, and a dry ice blasting assembly. The droplet generator includes a reservoir for a molten metal, and the nozzle has a first end connected to the reservoir and a second opposing end where molten metal droplets emerge from the nozzle. The dry ice blasting assembly includes a blasting nozzle, a blasting air inlet and a blaster carbon dioxide (CO) inlet. The blasting nozzle is disposed inside the chamber. The blasting nozzle is arranged to direct a pressurized air stream and dry ice particles at the nozzle of the droplet generator. 1. A method of cleaning an extreme ultra violet (EUV) radiation source apparatus , wherein the EUV radiation source apparatus comprises:a target droplet generator for generating a metal droplet within a chamber; anda dry ice blasting assembly having a blasting nozzle disposed inside the chamber and a dry ice supporting member, forming pressurized dry ice particles including dry ice particles and a pressurized air stream from the dry ice supporting member of the dry ice blasting assembly;', 'ejecting the pressurized dry ice particles through the blasting nozzle toward residual material at a nozzle of the target droplet generator;', 'removing the residual material from the target droplet generator; and', 'collecting the residual material and sublimated gaseous carbon dioxide from the pressurized dry ice particles., 'the method comprising2. The cleaning method of claim 1 , further comprising positioning the blasting nozzle with respect to the residual material by an extendable positioner.3. The cleaning method of claim 1 , further comprising oscillating the pressure of the pressurized dry ice particles.4. The cleaning method of claim 1 , further comprising:monitoring the residual material in the droplet generator;adjusting valves of the blasting pump when an amount of the residual material in the droplet ...

Подробнее
13-01-2022 дата публикации

APPARATUS AND METHOD FOR REMOVING A SINGLE PARTICULATE FROM A SUBSTRATE

Номер: US20220011682A1
Принадлежит:

The present application relates to an apparatus and to a method for removing at least a single particulate from a substrate, especially an optical element for extreme ultraviolet (EUV) photolithography, wherein the apparatus comprises: (a) an analysis unit designed to determine at least one constituent of a material composition of the at least one single particulate; and (b) at least one gas injection system designed to provide a gas matched to the particular constituent in an environment of the at least one single particulate; (c) wherein the matched gas contributes to removing the at least one single particulate from the substrate. 1. An apparatus for removing at least a single particulate from a substrate , especially an optical element for extreme ultraviolet (EUV) photolithography , said apparatus comprising:a. an analysis unit designed to determine at least one constituent of a material composition of the at least one single particulate; andb. at least one gas injection system designed to provide a gas matched to the particular constituent in an environment of the at least one single particulate;c. wherein the matched gas contributes to removing the at least one single particulate from the substrate.2. The apparatus of claim 1 , wherein the analysis unit makes use of at least one element from the group of the following techniques: energy-dispersive x-ray spectroscopy (EDX) claim 1 , x-ray photoemission spectroscopy (XPS) claim 1 , Auger electron spectroscopy (AES) claim 1 , secondary ion mass spectrometry (SIMS) claim 1 , secondary neutral mass spectrometry (SNMS) claim 1 , Rutherford backscattering spectrometry (RBS) and low-energy ion scattering spectroscopy (LEIS).3. The apparatus of claim 1 , wherein the analysis unit is designed to take account of an external input in the determination of the at least one constituent of the material composition.4. The apparatus of claim 1 , further comprising a machine learning model trained to use measurement data from ...

Подробнее
09-01-2020 дата публикации

Photomask pellicle glue residue removal

Номер: US20200009854A1
Автор: Banqiu Wu, Eli DAGAN
Принадлежит: Applied Materials Inc

Embodiments described herein generally relate to an apparatus and methods for removing a glue residue from a photomask. The glue residue may be exposed when a pellicle is removed from the photomask. Before a new pellicle can be adhered to the photomask, the glue residue may be removed. To remove the glue residue, a laser beam may be projected through a lens and focused on a surface of the glue residue. The glue residue may be ablated from the photomask by the laser beam.

Подробнее
09-01-2020 дата публикации

EUV CLEANING SYSTEMS AND METHODS THEREOF FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE

Номер: US20200012202A1
Автор: Langlois Marc Guy
Принадлежит:

Methods and apparatus for in-situ incline cleaning an element disposed in a EUV generating chamber are disclosed. A capillary-based hydrogen radical generator is employed to form hydrogen radicals from hydrogen gas. The capillary-based hydrogen radical generator is resistively heated during operation and is oriented such that hydrogen radicals catalytically generated from the hydrogen gas are directed to a surface of the element to clean the surface. 120-. (canceled)21. A method for cleaning a collector mirror disposed within a EUV generating chamber using hydrogen radicals generated from hydrogen gas , comprising: a first capillary disposed within said EUV generating chamber, said first capillary having a first capillary first end and a first capillary second end, said first capillary having at least a first capillary inner surface formed of a catalytic material configured to generate via a thermally-induced catalytic reaction said hydrogen radicals from said hydrogen gas,', 'a manifold, said first capillary first end coupling with said manifold to facilitate gaseous transport communication between said manifold and said first capillary first end,', 'a first electrical terminal for providing a first voltage to said first capillary first end, and', 'a second electrical terminal for providing a second voltage to said first capillary second end;, 'providing a cleaning apparatus comprising at least'}flowing said hydrogen gas into said manifold; andproviding said first voltage and said second voltage, thereby configured for resistively heating said first capillary to facilitate said thermally-induced catalytic reaction in said first capillary and generate said hydrogen radicals, whereby said hydrogen radicals are directed at least partially by an orientation of said first capillary toward a surface of said collector mirror to clean said surface of said collector mirror.22. The method of wherein said first capillary comprises a composite structure having an outer layer ...

Подробнее
17-04-2014 дата публикации

Method of and apparatus for in-situ repair of reflective optic

Номер: US20140102881A1
Автор: Alexander I. Ershov
Принадлежит: Cymer Inc

Method of and apparatus for repairing an optical element disposed in a vacuum chamber while the optical element is in the vacuum chamber. An exposed surface of the optical element is exposed to an ion flux generated by an ion source to remove at least some areas of the surface that have been damaged by exposure to the environment within the vacuum chamber. The method and apparatus are especially applicable to repair multilayer mirrors serving as collectors in systems for generating EUV light for use in semiconductor photolithography.

Подробнее
17-01-2019 дата публикации

Printing device and printing method

Номер: US20190018327A1

Provided is a printing device prints a transfer material onto a substrate via a mask. The printing device includes a placement portion, a moving portion, a cleaning unit, and a control unit. The placement portion is where the mask is movably placed. The moving portion moves the mask placed on the placement portion. The cleaning unit removes the transfer material remaining on the back side of the mask. The control unit controls the moving portion. Upon maintenance of the cleaning unit, the control unit controls the moving portion to move the mask placed on the placement portion to a retract position.

Подробнее
17-01-2019 дата публикации

Wafer Manufacturing Cleaning Apparatus, Process And Method Of Use

Номер: US20190019694A1
Принадлежит: International Test Solutions, Inc.

A cleaning wafer or substrate for use in cleaning, or in combination with, components of, for example, integrated chip manufacturing apparatus. The cleaning substrate can include a substrate having varying predetermined surface features, such as one or more predetermined adhesive, non-tacky, electrostatic, projection, depression, or other physical sections. The predetermined features can provide for more effective cleaning of the components with which they are used, such as an integrated chip manufacturing apparatus in the place of the integrated chip wafer. The cleaning substrate can be urged into cleaning or other position by vacuum, mechanical, electrostatic, or other forces. The cleaning substrate can adapted to accomplish a variety of functions, including abrading or polishing. The cleaning substrate may be made by a novel method of making, and it may then be used in a novel method of use I combination with chip manufacturing apparatus. 1. A semi-conductor manufacturing apparatus cleaning wafer comprising in combination:(i) a resilient cleaning pad body having a cleaning side with a tacky area; and(ii) a compressible projection section separate from the tacky area and protruding outwardly from cleaning side of the cleaning pad body.2. The cleaning wafer of wherein the tacky area is planar.3. The cleaning wafer of wherein the tacky area is shaped to conform to a surface in the semiconductor manufacturing apparatus.4. The cleaning wafer of wherein the tacky area comprises two sub-areas of differing thicknesses.5. The cleaning wafer of wherein at least one of the sub-areas is shaped to conform to a surface in the semiconductor manufacturing apparatus.6. The cleaning wafer of wherein the tacky area comprises two sub-areas of differing levels of tack.7. The cleaning wafer of wherein at least one of the sub-areas is shaped to conform to a surface in the semiconductor manufacturing apparatus.8. A semiconductor manufacturing apparatus cleaning system comprising:a ...

Подробнее
25-01-2018 дата публикации

Cleaning Solution Mixing System With Ultra-Dilute Cleaning Solution And Method Of Operation Thereof

Номер: US20180024447A1
Автор: RASTEGAR Abbas
Принадлежит:

Disclosed are a cleaning solution mixing system, a tool and a method of operation thereof, including an ultrapure water source for providing ultrapure water; an ammonia filter for filtering ammonia in gas form; a hydrogen peroxide filter for filtering hydrogen peroxide in gas form; an ammonia re-gas membrane for dissolving the ammonia in the ultrapure water and forming ultra-dilute ammoniated water; a hydrogen peroxide re-gas membrane for dissolving the hydrogen peroxide in the ultrapure water and forming ultra-dilute hydrogenated water; and a mixer for forming an ultra-dilute cleaning solution by mixing the ultra-dilute ammoniated water and the ultra-dilute hydrogenated water. 1. A method of operation of a cleaning solution mixing system comprising:providing ultrapure water from an ultrapure water source;filtering an amount of ammonia through an ammonia filter;filtering an amount of hydrogen peroxide through a hydrogen peroxide filter;forming ultra-dilute ammoniated water by dissolving the ammonia in the ultrapure water;forming ultra-dilute hydrogenated water by dissolving the hydrogen peroxide in the ultrapure water; andforming an ultra-dilute cleaning solution by mixing the ultra-dilute ammoniated water and the ultra-dilute hydrogenated water.2. The method as claimed in further comprising:filtering an amount of tetramethyl ammonium hydroxide through a tetramethyl ammonium hydroxide filter; andregulating the amount of tetramethyl ammonium hydroxide dissolved in the ultrapure water using a tetramethyl ammonium hydroxide mass flow controller.3. The method as claimed in further comprising filtering the ultra-dilute hydrogenated water through an additional filter.4. The method as claimed in further comprising regulating the amount of the ammonia dissolved in the ultrapure water using an ammonia mass flow controller.5. The method as claimed in further comprising regulating the amount of the hydrogen peroxide dissolved in the ultrapure water using a hydrogen peroxide ...

Подробнее
24-01-2019 дата публикации

TREATMENT LIQUID FOR MANUFACTURING SEMICONDUCTOR AND PATTERN FORMING METHOD

Номер: US20190025702A1
Принадлежит: FUJIFILM Corporation

An object of the present invention is to provide a treatment liquid for manufacturing a semiconductor and a pattern forming method, in which the formation of particles including metal atoms can be reduced and an excellent pattern can be formed. 2. The treatment liquid for manufacturing a semiconductor according to claim 1 ,wherein the total mass of the metal atoms is 1 mass ppb to 1 mass ppm with respect to the sum of the total mass of the additive and the total mass of the metal atoms.3. The treatment liquid for manufacturing a semiconductor according to claim 1 ,wherein a total mass of particulate metal of the metal atoms measured by a SP-ICP-MS method is 0.1 mass ppt to 0.1 mass ppm with respect to the sum of the total mass of the additive and the total mass of the particulate metal.4. The treatment liquid for manufacturing a semiconductor according to claim 1 ,wherein a total mass of particulate metal of the metal atoms measured by a SP-ICP-MS method is 0.1 mass ppt to 1 mass ppb with respect to the sum of the total mass of the additive and the total mass of the particulate metal.5. The treatment liquid for manufacturing a semiconductor according to claim 1 ,wherein a content of the quaternary ammonium compound is 25 mass % or lower and a content of the additive is 1 mass % or lower with respect to the treatment liquid for manufacturing a semiconductor.6. The treatment liquid for manufacturing a semiconductor according to claim 1 , wherein a content of each of the one kind or two or more kinds of metal atoms selected from the group consisting of Na claim 1 , K claim 1 , Ca claim 1 , Fe claim 1 , Cu claim 1 , Mg claim 1 , Mn claim 1 , Li claim 1 , Al claim 1 , Cr claim 1 , Ni claim 1 , and Zn is 1 mass ppq to 1000 mass ppt with respect to the treatment liquid for manufacturing a semiconductor.7. The treatment liquid for manufacturing a semiconductor according to claim 1 ,wherein a content of each of the one kind or two or more kinds of metal atoms selected from ...

Подробнее
23-01-2020 дата публикации

CLEANING APPARATUS, IMPRINT APPARATUS, LITHOGRAPHY APPARATUS, AND CLEANING METHOD

Номер: US20200026204A1
Автор: ISHIDA Shingo
Принадлежит:

To provide a cleaning apparatus advantageous for cleaning, for example, an original plate used to transfer a pattern to a substrate. 1. A cleaning apparatus that cleans an original plate used when a pattern is transferred to a substrate , the cleaning apparatus comprising:a region dividing unit configured to divide the original plate into a plurality of regions on the basis of information of the original plate;a conditions generator configured to generate cleaning conditions for each of the plurality of regions such that a first cleaning condition is generated for a first region among the plurality of regions and a second cleaning condition different from the first cleaning condition is generated for a second region different from the first region among the plurality of regions; anda cleaner configured to clean the first region of the original plate on the basis of the first cleaning condition and to clean the second region of the original plate on the basis of the second cleaning condition different from the first cleaning condition.2. The cleaning apparatus according to claim 1 , wherein the original plate is a mold for forming a pattern of an imprinting material on the substrate.3. The cleaning apparatus according to claim 2 , wherein the region dividing unit is provided in the original plate and configured to divide the mold into the plurality of regions on the basis of a position of a mark to be used when alignment with the pattern on the substrate is performed.4. The cleaning apparatus according to claim 3 , wherein the conditions generator is configured to set a strength of cleaning for a region among the plurality of regions in which the mark is positioned to be lower than strengths of cleaning for other regions among the plurality of regions.5. The cleaning apparatus according to claim 2 ,wherein the mold includes a pattern portion, andthe region dividing unit is configured to divide the mold into the plurality of regions on the basis of a density of a ...

Подробнее
23-01-2020 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATE

Номер: US20200026205A1
Автор: PARK HWANGSOO, YOU Jun Ho
Принадлежит:

A method for treating a substrate includes a substrate treating step of treating the substrate by dispensing a treating liquid onto the substrate supported on a support plate in a processing space of a processing vessel and a vessel cleaning step of cleaning the processing vessel by dispensing a cleaning solution onto a jig supported on the rotating support plate. In the vessel cleaning step, the jig is located such that the center of the jig is offset from the center of the support plate. 1. A method for treating a substrate , the method comprising:a substrate treating step of treating the substrate by dispensing a treating liquid onto the substrate supported on a support plate in a processing space of a processing vessel; anda vessel cleaning step of cleaning the processing vessel by dispensing a cleaning solution onto a jig supported on the rotating support plate,wherein in the vessel cleaning step, the jig is located such that the center of the jig is offset from the center of the support plate.2. The method of claim 1 , wherein a relative height between the support plate and the processing vessel is varied in the vessel cleaning step.3. The method of claim 2 , wherein the height of the processing vessel is varied by a motor.4. The method of claim 1 , wherein the jig has the same size as the substrate.5. An apparatus for treating a substrate claim 1 , the apparatus comprising:a processing vessel having a processing space inside;a substrate support unit configured to support and rotate the substrate or a jig in the processing space;a liquid dispensing unit including a treating liquid dispensing member configured to dispense a treating liquid for treating the substrate and a cleaning solution dispensing member configured to dispense a cleaning solution for cleaning the processing vessel; anda controller configured to control the substrate support unit and the liquid dispensing unit,wherein the substrate support unit includes a support plate on which the substrate ...

Подробнее
30-01-2020 дата публикации

PELLICLE ADHESIVE RESIDUE REMOVAL SYSTEM AND METHODS

Номер: US20200033740A1
Автор: DAGAN Eli, Wu Banqiu
Принадлежит:

Embodiments of the present disclosure generally include apparatus and methods for removing adhesive residues from a surface of a lithography mask. In particular, the processing systems described herein provide for the delivery of a solvent to a discrete plurality of locations on the surface of the lithography mask to facilitate the removal of adhesive residue therefrom. In one embodiment, a method of processing a substrate includes positioning the substrate on a substrate support of a processing system, sealing individual ones of a plurality of cleaning units to a surface of the substrate at a corresponding plurality of locations, heating a cleaning fluid to a temperature between about 50° C. and about 150° C., flowing the cleaning fluid to, and thereafter, from, the plurality of cleaning units, and exposing the surface of the substrate to the cleaning fluid at the plurality of locations. 1. A method of processing a substrate , comprising:positioning a substrate on a substrate support of a processing system;sealing individual ones of a plurality of cleaning units to a surface of the substrate at a corresponding plurality of locations;heating a cleaning fluid to a temperature between about 50° C. and about 150 C.;flowing the cleaning fluid to, and subsequently thereafter, from, the plurality of cleaning units; andexposing the surface of the substrate to the cleaning fluid at the plurality of locations.2. The method of claim 1 , wherein the cleaning fluid comprises one of dimethyl sulfoxide claim 1 , 1-phenoxypropan-2-ol claim 1 , 1-methyl-2-pyrrolidinonem claim 1 , 2-phenoxyethanolm claim 1 , 4-nonylphenol claim 1 , dichloromethane claim 1 , dimethylformamide claim 1 , dichloromethane claim 1 , methanol claim 1 , petroleum distillate claim 1 , or a combination thereof.3. The method of claim 1 , wherein the substrate comprisesa multilayer stack comprising a plurality of repeating metal and silicon layers; anda patterned absorber layer disposed on the multilayer stack. ...

Подробнее
04-02-2021 дата публикации

Apparatus and method for removing particles in semiconductor manufacturing

Номер: US20210033988A1

A method for removing particles from a semiconductor process chamber including at least the following steps is provided. Electrical charges having a first polarity are accumulated on a receiving surface of the substrate holder by applying a voltage to the substrate holder. The particles having a second polarity in the semiconductor process chamber are attracted to move toward the receiving surface of the substrate holder on which the electrical charges having the first polarity are accumulated, where the first polarity is opposite to the second polarity. The particles having the second polarity are removed from the semiconductor process chamber. Other methods for removing particles from a semiconductor process chamber are also provided.

Подробнее
04-02-2021 дата публикации

Apparatus for containing a substrate and method of manufacturing the apparatus

Номер: US20210033989A1
Принадлежит: Gudeng Precision Industrial Co Ltd

An apparatus for containing a substrate and a method of manufacturing the apparatus are provided. The apparatus for containing a substrate includes: a base having a periphery and an upward-facing top horizontal planar surface with a plurality of contact elements, the contact elements being used for engaging the substrate to hold the substrate upon the upward-facing top horizontal planar surface, an upward-facing frame-like support surface extending from the upward-facing top horizontal planar surface and surrounding the contact elements at a position proximate to the periphery of the base; and a cover having a downward-facing frame-like support surface being in large-area contact with the upward-facing frame-like support surface to define a cavity for containing the substrate between the base and the cover. The downward-facing and upward-facing frame-like support surfaces in contact with each other are not at the same level as the upward-facing top horizontal planar surface.

Подробнее
04-02-2021 дата публикации

LITHOGRAPHY SYSTEM AND CLEANING METHOD THEREOF

Номер: US20210033990A1

A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. 1. A cleaning method of a lithography system , wherein the lithography system comprises a light source generator , the light source generator comprises a collector , a droplet generator and a droplet catcher , the droplet generator and the droplet catcher are facing each other and disposed at a region surrounding the collector , and the cleaning method of the lithography system comprises:shifting the droplet generator out of the light source generator via a port of the light source generator;inserting a shovel assembly into the light source generator via the port;using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator;using the shovel assembly to remove and collect the deposit; andwithdrawing the shovel assembly along with the borescope from the light source generator via the port.2. The cleaning method of the lithography system according to claim 1 , wherein the steps of shifting out the drop generator claim 1 , inserting the shovel assembly claim 1 , identifying the location of the deposit claim 1 , removing the deposit and withdrawing the ...

Подробнее
30-01-2020 дата публикации

PARTICLE REMOVAL APPARATUS, PARTICLE REMOVAL SYSTEM AND PARTICLE REMOVAL METHOD

Номер: US20200035483A1
Принадлежит:

A particle removal apparatus is provided. The particle removal apparatus includes a reticle holder configured to hold a reticle. The particle removal apparatus further includes a robotic arm. The particle removal apparatus also includes a particle removal device disposed on the robotic arm, and the particle removal device includes a solution spraying module. In addition, the robotic arm and the particle removal device are configured to align with a particle on a backside of the reticle, and the solution spraying module is configured to spray a solution onto the particle to remove the particle. 1. A particle removal apparatus , comprising:a reticle holder, configured to hold a reticle;a robotic arm; anda particle removal device, disposed on the robotic arm, wherein the particle removal device comprises a solution spraying module;wherein the robotic arm and the particle removal device are configured to align with one of particles on a backside of the reticle, and the solution spraying module is configured to spray a solution onto the particle to remove the particle.2. The particle removal apparatus of claim 1 , wherein the particle removal device comprises a sucking module claim 1 , configured to suck the solution on the reticle with the one of the particle being removed.3. The particle removal apparatus of claim 2 , wherein the particle removal device further comprises a gas emitting module claim 2 , configured to emit a gas onto the backside of the reticle for drying the backside.4. The particle removal apparatus of claim 2 , wherein an angle between the spraying module and the backside of the reticle is greater than 10 degrees and less than 90 degrees.5. The particle removal apparatus of claim 2 , wherein the particle removal device further comprises a rod member disposed on the robotic arm claim 2 , and the robotic arm drives the rod member to contact the particle.6. The particle removal apparatus of claim 5 , wherein a polymer is disposed on a front end of the ...

Подробнее
30-01-2020 дата публикации

EXTREME ULTRAVIOLET RADIATION SOURCE AND CLEANING METHOD THEREOF

Номер: US20200037427A1
Принадлежит:

An extreme ultraviolet radiation source is provided, including a vessel, an optical collector, and a gas scrubber. The vessel has a gas inlet and a gas outlet. The optical collector is disposed within the vessel and configured to collect and reflect extreme ultraviolet light produced in the vessel. A cleaning gas is introduced into the vessel through the gas inlet to clean the surface of the optical collector. The gas scrubber is disposed within the vessel, arranged such that the cleaning gas leaves the vessel through the gas outlet after flowing through the gas scrubber. The gas scrubber has a number of gas passages to allow the cleaning gas to flow through, and the size of the gas passage close to the gas outlet is smaller than the size of the gas passage away from the gas outlet. 1. An extreme ultraviolet radiation source , comprising:a vessel having a gas supply and a gas outlet;an optical collector disposed within the vessel and configured to collect and reflect extreme ultraviolet light produced in the vessel, wherein a cleaning gas is supplied from the gas supply to clean a surface of the optical collector; anda gas scrubber disposed within the vessel, arranged such that the cleaning gas leaves the vessel through the gas outlet after flowing through the gas scrubber;wherein the gas scrubber has a plurality of gas passages to allow the cleaning gas to flow through, and a size of the gas passage close to the gas outlet is smaller than a size of the gas passage away from the gas outlet.2. The extreme ultraviolet radiation source as claimed in claim 1 , wherein the gas scrubber is a ring structure claim 1 , comprising:an upper ring;a lower ring; anda plurality of ribs connected between the upper ring and the lower ring and distributed along a circumference of the ring structure, wherein the ribs form the gas passages therebetween.3. The extreme ultraviolet radiation source as claimed in claim 2 , wherein the ribs comprise a plurality of first ribs close to the ...

Подробнее
30-01-2020 дата публикации

SYSTEM, METHOD AND APPARATUS FOR TARGET MATERIAL DEBRIS CLEANING OF EUV VESSEL AND EUV COLLECTOR

Номер: US20200037428A1
Принадлежит:

A system and method of removing target material debris deposits simultaneously with generating EUV light includes generating hydrogen radicals in situ in the EUV vessel, proximate to the target material debris deposits and volatilizing the target material debris deposits and purging the volatilized target material debris deposits from the EUV vessel without the need of an oxygen containing species in the EUV vessel. 1. An extreme ultraviolet (EUV) light source comprising:an EUV vessel having an EUV purge gas inlet, the EUV purge gas inlet being configured to be coupled to a purge gas source for dispensing a quantity of purge gas into the EUV vessel;an EUV collector disposed in the EUV vessel, the EUV collector having a reflective surface;a target material source for dispensing a quantity of target material into the EUV vessel;at least one hydrogen source outlet disposed to cause hydrogen to flow across at least a portion of the reflective surface of the EUV collector; andat least one inductive coil arranged to generate hydrogen radicals in the hydrogen from the hydrogen source outlet, wherein during operation of the EUV light source the hydrogen radicals combine with at least a portion of a target material debris deposit disposed on the portion of the reflective surface of the EUV collector to generate a volatile compound; andan EUV vessel purge outlet for passing the volatile compound out of the EUV vessel.2. The EUV light source of claim 1 , further comprising a signal source claim 1 , wherein the at least one inductive coil is electrically connected to a signal source.3. The EUV light source of claim 1 , wherein the at least one inductive coil is arranged between the at least one hydrogen source outlet and a perimeter of the EUV collector.4. The EUV light source of claim 3 , wherein the at least one hydrogen source outlet comprises a first hydrogen source outlet and the least one inductive coil is arranged between the first hydrogen source outlet and a perimeter ...

Подробнее
07-02-2019 дата публикации

DEVELOPMENT UNIT, SUBSTRATE PROCESSING APPARATUS, DEVELOPMENT METHOD AND SUBSTRATE PROCESSING METHOD

Номер: US20190041755A1
Принадлежит:

A substrate having a film of a coating liquid containing metal is held by a spin chuck, the film having been exposed in a predetermined pattern. A slit nozzle supplies a development liquid to a surface to be processed of the substrate supported by the spin chuck. A cleaning liquid for removing or dissolving metal is supplied by a cleaning nozzle to the surface to be processed of the substrate to which the development liquid has been supplied. 1. A development unit that performs development processing on a substrate having a film of a coating liquid containing metal formed on a surface to be processed as a metal-containing coating film , comprising:a substrate holder that holds the substrate having the metal-containing coating film exposed in a predetermined pattern;a development liquid supplier that supplies a development liquid to the surface to be processed of the substrate supported by the substrate holder; anda cleaning liquid supplier that supplies a cleaning liquid for removing or dissolving metal to the surface to be processed of the substrate to which the development liquid has been supplied by the development liquid supplier.2. The development unit according to claim 1 , whereinthe substrate holder holds the substrate on which positive tone development processing is to be performed,the development liquid includes an alkaline aqueous solution, andthe cleaning liquid includes an aqueous solution to which a chelating agent is added, an alkaline aqueous solution or an acid aqueous solution.3. The development unit according to claim 2 , further comprising a rinse liquid supplier that supplies a rinse liquid to the surface to be processed of the substrate to which the cleaning liquid has been supplied by the cleaning liquid supplier claim 2 , whereinthe rinse liquid includes an aqueous solution.4. The development unit according to claim 2 , whereinthe chelating agent includes one type or a plurality of types selected from a group including an organic acid, an ...

Подробнее
18-02-2021 дата публикации

Method and apparatus for removing a particle from a photolithographic mask

Номер: US20210048744A1
Принадлежит: CARL ZEISS SMT GMBH

The present application relates to a method for removing a particle from a photolithographic mask, including the following steps: (a) positioning a manipulator, which is movable relative to the mask, in the vicinity of the particle to be removed; (b) connecting the manipulator to the particle by depositing a connecting material on the manipulator and/or the particle from the vapor phase; (c) removing the particle by moving the manipulator relative to the photolithographic mask; and (d) separating the removed particle from the manipulator by carrying out a particle-beam-induced etching process which removes at least a portion of the manipulator.

Подробнее
18-02-2021 дата публикации

CLEANING APPARATUS AND METHODS OF CLEANING

Номер: US20210048757A1
Принадлежит:

The present application relates to a carbon dioxide snow cleaning apparatus comprising: a carbon dioxide source; a carbon dioxide snow nozzle in fluid communication with the carbon dioxide source; a charging element; and a collection surface. Also described is a method of cleaning a surface, the method comprising the steps of: (i) passing a stream of carbon dioxide out of a carbon dioxide snow nozzle to form a carbon dioxide snow stream; (ii) charging the carbon dioxide snow stream; (iii) directing the charged carbon dioxide snow stream onto the surface to be cleaned; (iv) collecting particles removed by the charged carbon dioxide snow stream from the surface to be cleaned on a collection surface. Also described is the use of such apparatus in a lithographic apparatus and the use of such an apparatus or method. 1. A carbon dioxide snow cleaning apparatus comprising: a carbon dioxide source; a carbon dioxide snow nozzle in fluid communication with the carbon dioxide source; a charging element; and a collection surface.2. A carbon dioxide snow cleaning apparatus according to wherein the collection surface is electrically charged.3. A carbon dioxide snow cleaning apparatus according to wherein the collection surface is electrostatically charged.4. A carbon dioxide snow cleaning apparatus according to wherein the collection surface is adjacent the carbon dioxide snow nozzle and/or wherein the collection surface is located substantially between the carbon dioxide snow nozzle and a surface to be cleaned.5. A carbon dioxide snow cleaning apparatus according to wherein the collection surface substantially surrounds the carbon dioxide snow nozzle.6. A carbon dioxide snow cleaning apparatus according to wherein the charging element is a source of electrons claim 1 , a source of ionizing radiation claim 1 , a plasma source claim 1 , or a triboelectric charging surface.7. A carbon dioxide snow cleaning apparatus according to further comprising a charging additive source ...

Подробнее
07-02-2019 дата публикации

Substrate processing method and substrate processing device

Номер: US20190043708A1
Автор: Kota Sotoku
Принадлежит: Screen Holdings Co Ltd

The substrate processing method is a substrate processing method for removing a resist having a hardened layer from a substrate on a surface of which the resist is formed, including: a substrate holding step of holding the substrate; and a resist stripping step of stripping the resist from the surface of the substrate by supplying ozone gas and superheated steam to a plural-fluid nozzle for producing liquid drops through mixing a plurality of fluids to discharge mixed gas of ozone gas and superheated steam containing liquid drops of ozone water produced by mixing ozone gas and superheated steam from the plural-fluid nozzle toward the surface of the substrate.

Подробнее
16-02-2017 дата публикации

Cleaning Apparatus and Associated Low Pressure Chamber Apparatus

Номер: US20170045832A1
Принадлежит: ASML Netherlands B.V.

Disclosed is a cleaning apparatus configured to clean a radiation transmission assembly (such as a viewport), or part thereof. The radiation transmission assembly provides for radiation transmission to and/or from a low pressure chamber. The cleaning apparatus comprises, a hydrogen radical generator configured to generate hydrogen radicals for use in cleaning said radiation transmission assembly or part thereof, and a connection assembly for connection to said radiation transmission assembly. 1. A cleaning apparatus configured to clean a radiation transmission assembly or part thereof , said radiation transmission assembly providing for radiation transmission to and/or from a low pressure chamber environment , said cleaning apparatus comprising:a hydrogen radical generator configured to generate hydrogen radicals for use in cleaning said radiation transmission assembly or part thereof; anda connection assembly for connection of the hydrogen radical generator to said radiation transmission assembly.2. The cleaning apparatus of claim 1 , wherein said hydrogen radical generator comprises a generator compartment in which said hydrogen radicals are generated claim 1 , said generator compartment being configured in use to form part of the low pressure chamber environment.3. The cleaning apparatus of claim 1 , wherein said hydrogen radical generator comprises a filament configured to heat generator compartment to a temperature sufficient to atomize molecular hydrogen passing over the filament claim 1 , so as to generate said hydrogen radicals claim 1 , and a filament back assembly located between said filament and an electrical source connector claim 1 , said cleaning apparatus comprising contamination shielding configured to shield said filament back assembly from debris from within said low pressure chamber.4. The cleaning apparatus of claim 3 , wherein a vacuum seal is provided between the filament back assembly and said generator compartment.5. The cleaning apparatus ...

Подробнее
03-03-2022 дата публикации

Reticle pod cleansing apparatus

Номер: US20220062955A1
Принадлежит: Gudeng Precision Industrial Co Ltd

A reticle pod cleansing apparatus includes a cavity, a gas injection unit, a dust counting unit and a control unit. The cavity has a chamber, an inlet opening in communication with the chamber, and an outlet opening in communication with the chamber. The gas injection unit is disposed at the chamber and is in communication with an inlet device through the inlet opening to inject gas into the chamber. The dust counting unit is in communication with the outlet opening to receive gas discharged from the outlet opening and calculate dust quantity of the gas discharged. The control unit is in signal communication with dust counting unit and adapted to send a signal when the calculated dust quantity is less than a predetermined threshold. During a cleansing process, the reticle pod cleansing apparatus detects precisely and instantly whether a reticle pod being cleansed has been sufficiently cleansed or not.

Подробнее
03-03-2022 дата публикации

EXPOSING APPARATUS AND METHOD FOR MANUFACTURING ARTICLE

Номер: US20220066324A1
Автор: Homma Masato
Принадлежит:

An exposing apparatus for exposing a substrate to transfer a pattern formed on an original to the substrate by using exposure light from a light source includes a projecting optical system configured to guide the exposure light having passed through the original to the substrate, a measuring unit configured to measure a position of the substrate in a first direction perpendicular to a substrate surface of the substrate by making measurement light incident on the substrate surface and to receive the measurement light reflected by the substrate surface, and a traveling direction setting means configured to set a traveling direction of first gas so as to supply the first gas toward a first space between the projecting optical system and the substrate from a first gas supplying mechanism, in which the first space is different from a second space through which the measurement light passes. 1. An exposing apparatus for exposing a substrate to transfer a pattern formed on an original to the substrate by using exposure light from a light source , comprising:a projecting optical system configured to guide the exposure light having passed through the original to the substrate;a measuring unit configured to measure a position of the substrate in a first direction perpendicular to a substrate surface of the substrate by making measurement light incident on the substrate surface and to receive the measurement light reflected by the substrate surface; anda traveling direction setting means configured to set a traveling direction of first gas so as to supply the first gas toward a first space between the projecting optical system and the substrate from a first gas supplying mechanism, the first space being different from a second space through which the measurement light passes.2. The exposing apparatus according to claim 1 , wherein the first space includes a space between an optical element arranged closest to the substrate in the projecting optical system and an optical path of ...

Подробнее
03-03-2022 дата публикации

Apparatus and method for cleaning a support structure in a lighographic system

Номер: US20220066332A1
Автор: Keane Michael LEVY
Принадлежит: Asml Holding Nv

Apparatus for and method of removing a contaminant from a working surface of a lithography support such as a reticle or wafer stage in an EUV or a DUV photolithography system in which abase supporting the substrate is provided with a surface profile so as to be thicker towards a middle portion of the base so that when a substrate supported by the base is pressed between the working surface and the base the contaminant is transferred from the working surface to the substrate.

Подробнее
25-02-2021 дата публикации

SYSTEM, DEVICE AND METHOD FOR RECONDITIONING A SUBSTRATE SUPPORT

Номер: US20210053177A1
Принадлежит:

A treatment tool for reconditioning the top surfaces of a plurality of projections of a substrate support in a lithographic tool. The treatment tool includes a reconditioning surface which is rough relative to smoothed top surfaces of the projections and which reconditioning surface has material harder than that of the material of the top surfaces of the projections. A reconditioning method involves causing an interaction between the reconditioning surface of the treatment tool and the top surfaces of the projections of the substrate support, so as to leave these top surfaces rougher than they were prior to the interaction. 1. A reconditioning device configured to modify the surface of a substrate support , the device comprising a reconditioning surface which is rough relative to the surface of the substrate support , which reconditioning surface comprises material harder than that of the material of the substrate support and which reconditioning surface comprises a layer of a diamond loaded SiSiC coating with micron level hard asperities.23.-. (canceled)4. The device according to claim 1 , wherein a spatial density of the asperities is in the range of 1 to 3 per μm.5. The device according to claim 1 , wherein the asperities have a radius of curvature less than 0.5 μm.6. The device according to which is comprised of at least two parts claim 1 , wherein a first part comprises the reconditioning surface and a second part comprises a cleaning surface of a material less hard than the material of the reconditioning surface.7. The device according to claim 6 , wherein the material of the cleaning surface comprises granite.8. The device according to claim 1 , further comprising an opening in a surface to dispense a fluid.9. The device according to claim 1 , which has the shape and dimensions of a substrate used during standard production.10. A system for modifying a surface of a substrate support claim 1 , the system comprising the reconditioning device as claimed in .11. ...

Подробнее
25-02-2021 дата публикации

METHOD OF AND APPARATUS FOR IN-SITU REPAIR OF REFLECTIVE OPTIC

Номер: US20210055665A1
Автор: Ershov Alexander I.
Принадлежит:

Method of and apparatus for repairing an optical element disposed in a vacuum chamber while the optical element is in the vacuum chamber. An exposed surface of the optical element is exposed to an ion flux generated by an ion source to remove at least some areas of the surface that have been damaged by exposure to the environment within the vacuum chamber. The method and apparatus are especially applicable to repair multilayer mirrors serving as collectors in systems for generating EUV light for use in semiconductor photolithography. 1. A method of repairing an optical element in a vacuum chamber in which EUV radiation has been generated , the method comprising:cleaning an exposed surface of the optical element while the optical element is in the vacuum chamber by subjecting the exposed surface to hydrogen radicals to remove at least some of a contaminant deposited on the exposed surface during EUV radiation generation, to produce a cleaned exposed surface; andsubjecting the cleaned exposed surface while the optical element is in the vacuum chamber to an ion flux to remove at least some areas of the exposed surface of the optical element that have been damaged by deposition of the contaminant during EUV radiation generation.2. A method as claimed in wherein subjecting the cleaned exposed surface while the optical element is in the vacuum chamber to an ion flux comprises generating a beam of ions and wherein a cross-sectional size of the beam at the cleaned exposed surface is in the range of about 2 cm to about 50 cm.3. A method as claimed in wherein subjecting the cleaned exposed surface while the optical element is in the vacuum chamber to an ion flux comprises generating ions having an energy in a range between 200 volts and 1000 volts.4. A method as claimed in wherein subjecting the cleaned exposed surface while the optical element is in the vacuum chamber to an ion flux comprises generating and directing towards the at least some areas ions having an energy ...

Подробнее
13-02-2020 дата публикации

Mask Cleaning

Номер: US20200050118A1

A lithography system includes a load lock chamber comprising an opening configured to receive a mask, an exposure module configured to expose a semiconductor wafer to a light source through use of the mask, and a cleaning module embedded inside the lithography tool, the cleaning module being configured to clean carbon particles from the mask.

Подробнее
15-05-2014 дата публикации

Cleanup method for optics in immersion lithography

Номер: US20140132939A1
Принадлежит: Nikon Corp

An immersion lithography apparatus and method places an object for a cleanup process on a holder of a movable stage of the immersion lithography apparatus, a wafer being held on the holder of the stage and exposed during a liquid immersion lithography process. During the liquid immersion lithography process, device pattern projection is performed and a device pattern image is projected onto the wafer held on the holder to fabricate semiconductor devices. During the cleanup process, a liquid is supplied via a supply port from above the stage holding the object on the holder. During the cleanup process, the object is held on the holder in place of the wafer and the object is used without performing device pattern projection.

Подробнее
10-03-2022 дата публикации

APPARATUS FOR AND METHOD OF IN-SITU PARTICLE REMOVAL IN A LITHOGRAPHY APPARATUS

Номер: US20220075277A1
Принадлежит: ASML Holding N.V.

Methods and systems are described for reducing particulate contaminants on a clamping face of a clamping structure in a lithographic system. A substrate such as a cleaning reticle is pressed against the clamping face. A temperature differential is established between the substrate and the clamping face either before or after clamping occurs to facilitate transfer of particles from the clamping face to the substrate. 120.-. (canceled)21. An apparatus comprising:a cleaning substrate;a clamping structure configured to secure the cleaning substrate; anda temperature control unit in thermal communication with one of the cleaning substrate and the clamping structure, and configured to induce a temperature differential between the cleaning substrate and the clamping structure.22. The apparatus of claim 21 , wherein the cleaning substrate is a cleaning reticle.23. The apparatus of claim 22 , wherein the temperature control unit is in thermal communication with the cleaning reticle.24. The apparatus of claim 21 , wherein the temperature control unit is in thermal communication with the clamping structure.25. The apparatus of claim 22 , wherein the temperature control unit is in thermal communication with the cleaning reticle claim 22 , and further comprising a second temperature control unit in thermal communication with the clamping structure.26. The apparatus of claim 22 , wherein the temperature control unit is configured to couple radio frequency energy into the clamping structure.27. The apparatus of claim 22 , further comprising a source of coolant selectably coupled to the clamping structure and wherein the clamping structure is arranged to be selectably warmed by radiation claim 22 , and wherein the temperature control unit comprises a valve to control coupling between the source of coolant and the clamping structure.28. The apparatus of claim 27 , wherein the radiation is EUV radiation.29. The apparatus of claim 22 , wherein the temperature control unit comprises a ...

Подробнее
10-03-2022 дата публикации

Component for Use in a Lithographic Apparatus, Method of Protecting a Component and Method of Protecting Tables in a Lithographic Apparatus

Номер: US20220075279A1
Принадлежит: ASML Netherlands B.V.

A method of protecting a component of a lithographic apparatus, the method including the steps of: providing a protective cover which is shaped to protect at least part of said component, the protective cover having a contact surface which is arranged to adhere to a first surface of at least part of said lithographic apparatus or said component; and bringing the protective cover into proximity with the component so as to cause the contact surface to adhere to the lithographic apparatus or said component and remain adhered without the application of external force. It is also provided a patterning device for use in a lithographic apparatus and a lithographic apparatus. 122.-. (canceled)23. A method comprising:providing a protective cover that is shaped to protect at least part of a component of a lithographic apparatus, the protective cover having a contact surface that is arranged to adhere to a first surface of at least part of the lithographic apparatus or the component; andbringing the protective cover into proximity with the component so as to cause the contact surface to adhere to the lithographic apparatus or the component and remain adhered without the application of external force.24. The method of claim 23 , wherein the first surface is a surface of the component.25. The method of claim 23 , wherein the first surface is adjacent to the component.26. The method of claim 23 , wherein the contact surface includes a coating that is configured to adhere to the first surface.27. The method of claim 26 , wherein the coating includes a plurality of thin-film electrets.28. The method of claim 26 , wherein the coating is a material that has a different electron affinity to the first surface claim 26 , such that contact between the first surface and the contact surface causes contact electrification between the surfaces.29. The method of claim 28 , wherein the bringing the protective cover into contact involves contacting and removing the protective cover a plurality ...

Подробнее
10-03-2022 дата публикации

CLEANING JIG, SUBSTRATE TREATING APPARATUS INCLUDING THE SAME, CLEANING METHOD OF SUBSTRATE TREATING APPARATUS

Номер: US20220075280A1
Принадлежит: SEMES CO., LTD.

The inventive concept relates to a substrate treating apparatus, and may include a substrate treating apparatus includes a rotatable spin head, a cup that surrounds the spin head, a cleaning jig seated on the spin head, and that discharges a cleaning liquid toward the cup through rotation of the spin head, and a nozzle unit located at an upper portion of the cleaning jig and that supplies the cleaning liquid to a center of an upper surface of the cleaning jig, and the cleaning jig includes spattering guide grooves formed to be recessed such that the cleaning liquid provided from the nozzle unit spatters toward the cup with a centrifugal force due to the rotation of the spin head. 1. A substrate treating apparatus comprising:a rotatable spin head;a cup configured to surround the spin head;a cleaning jig seated on the spin head, and configured to discharge a cleaning liquid toward the cup through rotation of the spin head; anda nozzle unit located at an upper portion of the cleaning jig and configured to supply the cleaning liquid to a center of an upper surface of the cleaning jig,wherein the cleaning jig includes:spattering guide grooves formed to be recessed such that the cleaning liquid provided from the nozzle unit spatters toward the cup with a centrifugal force due to the rotation of the spin head.2. The substrate treating apparatus of claim 1 , wherein the spattering guide grooves are formed to be curved from the center of the cleaning jig along a circumferential direction of the cleaning jig.3. The substrate treating apparatus of claim 2 , wherein curves of the spattering guide grooves are curved in a direction corresponding to a flow direction of the cleaning liquid in the cleaning jig.4. The substrate treating apparatus of claim 2 , wherein curves of the spattering guide grooves are curved in a direction that is opposite to a flow direction of the cleaning liquid in the cleaning jig.5. The substrate treating apparatus of claim 1 , wherein the spattering ...

Подробнее
22-05-2014 дата публикации

Photomask cleaning device

Номер: US20140137347A1
Автор: Yung-Chin PAN
Принадлежит: Gudeng Precision Industrial Co Ltd

A photomask cleaning device includes a stage, a fluid dispenser, a scrubbing unit, a cover and a fixing unit. The fluid dispenser includes a direct dispensing unit disposed correspondingly to the scrubbing unit, and an oblique dispensing unit obliquely spraying water onto a photomask. Majority of the particles can be removed with the combined application of the oblique dispensing unit and the scrubbing unit.

Подробнее
20-02-2020 дата публикации

Method for handling mask and lithography apparatus

Номер: US20200057385A1
Автор: Chi-Hung Liao, Ju-Wei LIAO

A method for handling a mask is provided in accordance with some embodiments of the present disclosure. The method includes determining whether a particle is present on a contact surface of a mask. The mask is cleaned to remove the particle from the contact surface of the mask if the particle is present on the contact surface. The mask is disposed on a chuck after cleaning the mask, wherein the contact surface of the mask contacts the chuck when the mask is disposed on the chuck. A lithography process is performed using the mask disposed on the chuck.

Подробнее
20-02-2020 дата публикации

LITHOGRAPHY APPARATUS AND CLEANING METHOD THEREOF

Номер: US20200057393A1

A method for cleaning a lithography apparatus is provided. The method includes flowing a major cleaning agent in volume over a reflective surface of a collector of the lithography apparatus; and flowing a minor cleaning agent in volume intermittently over the reflective surface of the collector, so as to clean the reflective surface of the collector. 1. A method , comprising:emitting droplets by a droplet generator of a lithography apparatus;flowing a first cleaning agent over a reflective surface of a collector of the lithography apparatus; andflowing a second cleaning agent over the reflective surface when a cumulative count of the droplets emitted by the droplet generator of the lithography apparatus reaches a predetermined count.2. The method of claim 1 , wherein a total amount of the second cleaning agent supplied to the reflective surface is smaller than a total amount of the first cleaning agent supplied to the reflective surface with a period of time.3. The method of claim 1 , further comprising:mixing the first cleaning agent and the second cleaning agent before reaching the reflective surface.4. The method of claim 1 , wherein flowing the second cleaning agent comprises:turning off the flow of second cleaning agent for a period of time.5. The method of claim 1 , further comprising:generating an extreme ultraviolet (EUV) light to the reflective surface.6. The method of claim 1 , wherein a maximum flow rate allowed for the second cleaning agent is about 0.0008 to about 0.0012 standard liter per minute.7. The method of claim 1 , wherein a maximum flow rate allowed for the first cleaning agent is about 50 to about 60 standard liter per minute.8. The method of claim 1 , further comprising:preventing the first cleaning agent from flowing back from the reflective surface.9. The method of claim 1 , further comprising:preventing the second cleaning agent from flowing back from the reflective surface.10. The method of claim 1 , wherein the first cleaning agent is ...

Подробнее
04-03-2021 дата публикации

CLEANING A STRUCTURE SURFACE IN AN EUV CHAMBER

Номер: US20210063899A1
Принадлежит:

In some general aspects, a surface of a structure within a chamber of an extreme ultraviolet (EUV) light source is cleaned using a method. The method includes generating a plasma state of a material that is present at a location adjacent to a non-electrically conductive body that is within the chamber. The generation of the plasma state of the material includes electromagnetically inducing an electric current at the location adjacent the non-electrically conductive body to thereby transform the material that is adjacent the non-electrically conductive body from a first state into the plasma state. The plasma state of the material includes plasma particles, at least some of which are free radicals of the material. The method also includes enabling the plasma particles to pass over the structure surface to remove debris from the structure surface without removing the structure from the chamber of the EUV light source. 1. A method of cleaning a surface of a structure within a chamber of an extreme ultraviolet (EUV) light source , the method comprising: 'electromagnetically inducing an electric current at the location adjacent the non-electrically conductive body to thereby transform the material that is adjacent the non-electrically conductive body from a first state into the plasma state, wherein the plasma state of the material includes plasma particles, at least some of which are free radicals of the material; and', 'generating a plasma state of a material present at a location adjacent to a non-electrically conductive body that is within the chamber, the generating comprisingenabling the plasma particles to pass over the structure surface to remove debris from the structure surface without removing the structure from the chamber of the EUV light source.2. (canceled)3. The method of claim 1 , wherein the structure surface is positioned to optically interact with and modify light present in the chamber.4. The method of claim 1 , wherein generating the plasma state of ...

Подробнее
28-02-2019 дата публикации

LITHOGRAPHY APPARATUS AND METHOD OF MANUFACTURING ARTICLE

Номер: US20190064682A1
Автор: Yonekawa Masami
Принадлежит:

A lithography apparatus that forms a pattern on a substrate, and the lithography apparatus includes: a holding unit that holds the substrate; and a cleaning member that brings a polishing unit into contact with the holding unit to clean the holding unit, in which the cleaning member includes a supply port which is arranged in the polishing unit and through which gas is supplied, and a suction port which is arranged in a periphery of the polishing unit and through which gas is sucked. 1. A lithography apparatus that forms a pattern on a substrate , the lithography apparatus comprising:a holding unit that holds the substrate; anda cleaning member that brings a polishing unit into contact with the holding unit to clean the holding unit, whereinthe cleaning member includes a supply port which is arranged in the polishing unit and through which gas is supplied, and a suction port which is arranged in a periphery of the polishing unit and through which gas is sucked.2. The lithography apparatus according to claim 1 , further comprising a supply unit that supplies the gas through the supply port to a space between the cleaning member and the holding unit claim 1 , the space being formed in a state where the polishing unit contacts the holding unit claim 1 , anda suction unit that sucks the gas from the space through the suction port.3. The lithography apparatus according to claim 2 , whereinthe cleaning member has a flat portion that has a surface facing the holding unit in a periphery of the polishing unit and does not contact the holding unit.4. The lithography apparatus according to claim 3 , whereina flow rate of the gas sucked by the suction unit from a second space between the polishing unit and the holding unit is greater than a flow rate of the gas sucked by the suction unit from a first space between the flat portion and the holding unit, the first space being formed in a state where the cleaning member contacts the holding unit and the second space being formed ...

Подробнее
17-03-2022 дата публикации

WAFER CLEANING APPARATUS AND WAFER CLEANING METHOD USING THE SAME

Номер: US20220084812A1
Принадлежит:

A wafer cleaning method is provided. The wafer cleaning method includes providing a wafer on a stage that is inside of a chamber. The wafer is fixed to the stage by moving a grip pin connected to an edge of the stage. First ultrapure water is supplied onto the wafer while the wafer is rotating at a first rotation speed. The grip pin is released from the wafer by moving the grip pin. A development process is performed by supplying liquid chemical onto the wafer while the wafer is rotating at a second rotation speed that is less than the first rotation speed. 1. A method for cleaning a wafer , comprising:providing a wafer on a stage inside of a chamber;fixing the wafer to the stage by moving a grip pin that is connected to an edge of the stage to the wafer;supplying first ultrapure water onto the wafer while the wafer is rotating at a first rotation speed;releasing the grip pin from the wafer by moving the grip pin away from the wafer; andperforming a development process by supplying liquid chemical onto the wafer while the wafer is rotating at a second rotation speed that is less than the first rotation speed.2. The wafer cleaning method of claim 1 , further comprising claim 1 , after the development process is completed:moving the grip pin toward a center of the stage to fix the wafer to the stage;supplying second ultrapure water onto the wafer while the wafer is rotating at the first rotation speed to clean the wafer; anddrying the wafer.3. The wafer cleaning method of claim 1 , wherein the providing of the wafer onto the stage comprises:forming a photoresist layer on the wafer;forming a mask pattern on the photoresist layer; andexposing the photoresist layer through the mask pattern.4. The wafer cleaning method of claim 1 , wherein the fixing of the wafer to the stage by moving the grip pin comprises:moving the grip pin toward a center of the stage to bring the grip pin into contact with a side surface of the wafer.5. The wafer cleaning method of claim 1 , wherein ...

Подробнее
27-02-2020 дата публикации

DEVELOPING TREATMENT METHOD, COMPUTER STORAGE MEDIUM AND DEVELOPING TREATMENT APPARATUS

Номер: US20200064742A1
Принадлежит:

A developing treatment method for performing a developing treatment on a resist film on a substrate, includes: a pattern forming step of forming a resist pattern by supplying a developing solution to the substrate and developing the resist film on the substrate; a coating step of coating the developed substrate with an aqueous solution of a water-soluble polymer; and a rinse step of cleaning the substrate by supplying a rinse solution to the substrate coated with the aqueous solution of the water-soluble polymer. 1. A developing treatment method for performing a developing treatment on a resist film on a substrate , the developing treatment method comprising:a pattern forming step of forming a resist pattern by supplying a developing solution to the substrate and developing the resist film on the substrate;a coating step of coating the developed substrate with an aqueous solution of a water-soluble polymer; anda rinse step of cleaning the substrate by supplying a rinse solution to the substrate coated with the aqueous solution of the water-soluble polymer.2. The developing treatment method according to claim 1 , further comprisinga cleaning step of cleaning the substrate by supplying a water-based cleaning solution to the developed substrate after the pattern forming step and before the coating step.3. The developing treatment method according to claim 1 ,wherein the water-soluble polymer is a homopolymer or a copolymer of a monomer containing a hydrophilic group, or a polycondensate having a hydrophilic group.4. The developing treatment method according to claim 3 ,wherein the aqueous solution of the water-soluble polymer has an added surfactant.5. The developing treatment method according to claim 1 ,wherein a pH of the aqueous solution of the water-soluble polymer is 3 to 6.6. The developing treatment method according to claim 1 ,wherein the rinse solution contains a surfactant.7. The developing treatment method according to claim 2 , further comprisinga drying ...

Подробнее
27-02-2020 дата публикации

Lithography System With An Embedded Cleaning Module

Номер: US20200064747A1
Принадлежит:

The present disclosure provides a lithography system. The lithography system includes an exposing module configured to perform a lithography exposing process using a mask secured on a mask stage; and a cleaning module integrated in the exposing module and designed to clean at least one of the mask and the mask stage using an attraction mechanism. 1. A system , comprising:one or more masks;a mask library that is configured to store the one or more masks;a mask stage configured to secure the one or more masks thereon; anda cleaning structure that is configured to remove contaminant particles from a surface of the one or more masks or a surface of the mask stage using a sticking material or using an electrostatic force.2. The system of claim 1 , wherein the cleaning structure has a same shape and dimensions as at least one mask of the one or more masks.3. The system of claim 2 , wherein a shape and a dimension of the cleaning structure are configured such that the cleaning structure is capable of being stored in the mask library or secured on the mask stage.4. The system of claim 1 , wherein the one or more masks claim 1 , the mask library claim 1 , the mask stage claim 1 , and the cleaning structure are integrated into a chamber.5. The system of claim 1 , further including: a mask handler that is configured to transfer the one or more masks and apply a pressure on the cleaning structure as the cleaning structure is in contact with the surface of the one or more masks or with the surface of the mask stage.6. The system of claim 1 , wherein the sticking material includes non-polar chains.7. The system of claim 1 , wherein the sticking material includes a polar compound.8. The system of claim 1 , wherein the sticking material includes a material with —OH claim 1 , —H claim 1 , and —O.9. The system of claim 1 , wherein the sticking material includes polysaccharide.10. The system of claim 1 , wherein the sticking material includes polyvinyl alcohol with —OH bond.11. The ...

Подробнее
27-02-2020 дата публикации

PROCESS FOR CLEANING OPTICAL ELEMENTS FOR THE ULTRAVIOLET WAVELENGTH RANGE

Номер: US20200064748A1
Принадлежит:

Proposed for cleaning optical elements for the ultraviolet wavelength range having at least one metal-containing layer on a surface is a process that includes: —supplying activated hydrogen to the surface having the metal-containing layer; subsequently supplying inert gas having an H2O volume fraction of below 5 ppm, preferably below 1 ppm, particularly preferably below 0.2 ppm. To this end, an optical system () includes a housing (), a supply line () of activated hydrogen, a supply line () of inert gas having an HO volume fraction of below 5 ppm and a discharge line () for pumping gas out of the housing. 1. A process for cleaning an optical element for the ultraviolet wavelength range that has at least one metal-containing layer on a surface of the optical element , comprising:supplying activated hydrogen to the surface that has the metal-containing layer; and{'sub': '2', 'subsequently supplying inert gas with an HO volume fraction of less than 5 ppm to the surface.'}2. The process as claimed in claim 1 , wherein supplying the activated hydrogen comprises:adding molecular hydrogen to the inert gas with a proportion of 1-20 vol %, to produce a mixture, andat least one of: guiding the mixture past a heating wire arrangement, and exposing the mixture to dissociating radiation.3. The process as claimed in claim 1 , wherein the inert gas with an HO volume fraction of less than 5 ppm is supplied for between one minute and 100 minutes.4. The process as claimed in claim 1 , wherein the activated hydrogen is supplied for between one minute and 100 minutes.5. The process as claimed in claim 1 , wherein said supplying of the activated hydrogen and said supplying of the inert gas are repeated at least once.6. The process as claimed in claim 1 , further comprising heating the optical element.7. The process as claimed in claim 6 , wherein the optical element is heated to a temperature of up to 120° C.8. The process as claimed in claim 1 , further comprising:measuring at least ...

Подробнее
24-03-2022 дата публикации

RETICLE TRANSFER DEVICE AND EXPOSURE SYSTEM

Номер: US20220091518A1
Автор: Liu Zicheng
Принадлежит:

Provide are a reticle transfer device and an exposure system. The reticle transfer device includes a bearing member, a light source, a light detector and a controller. The bearing member is configured to bear the reticle, and the light source is configured to emit irradiation light to the reticle and form reflected light. The light detector is configured to obtain the reflected light and generate a light detection signal. The controller is configured to determine whether particulate matter exists on a surface of the reticle based on the light detection signal. The reticle transfer device can determine whether particulate matter exists on the surface of the reticle in real time based on the light detection signal. 1. A reticle transfer device , configured to convey a reticle between different components , and comprising:a bearing member, configured to bear the reticle;a light source, the light source being arranged on, a side for bearing the reticle, of the bearing member, to emit an irradiation light to the reticle, wherein a reflected light is formed when the irradiation light is irradiated onto the reticle;a light detector, configured to obtain the reflected light and generate a light detection signal based on the reflected light; anda controller, electrically connected to the light detector and configured to determine whether particulate matter exists on a surface of the reticle based on the light detection signal.2. The reticle transfer device of claim 1 , wherein the bearing member has a first area for bearing the reticle claim 1 , and an orthographic projection of the light source on the bearing member is located outside of the first area.3. The reticle transfer device of claim 2 , wherein the orthographic projection of the light source on the bearing member adjoins an edge of the first area.4. The reticle transfer device of claim 1 , wherein the irradiation light is a parallel light beam.5. The reticle transfer device of claim 4 , further comprising:an ...

Подробнее
05-03-2020 дата публикации

METHOD OF CLEANING EXTREME ULTRAVIOLET LITHOGRAPHY COLLECTOR

Номер: US20200073250A1
Принадлежит:

A method of cleaning an extreme ultraviolet lithography collector includes applying a cleaning composition to a surface of the extreme ultraviolet lithography collector having debris on the surface of the collector in an extreme ultraviolet radiation source chamber. The cleaning composition includes: a major solvent having Hansen solubility parameters of 25>δ>15, 25>δ>10, and 30>δ>6; and an acid having an acid dissociation constant, pKa, of −15δ>15, 25>δ>10, and 30>δ>6; and an acid having an acid dissociation constant, pKa, of −15 Подробнее

05-03-2020 дата публикации

SUBSTRATES AND METHODS OF USING THOSE SUBSTRATES

Номер: US20200073262A1
Принадлежит:

A method of dislodging contamination from a part of an apparatus used in a patterning process, the method including: providing a cleaning substrate into contact with the part of the apparatus while the part is attached to the apparatus, the cleaning substrate comprising a material configured to chemically react with the contamination; and dislodging contamination on the part of the apparatus by chemical reaction between the material and the contamination. 1. A method of dislodging contamination from a part of an apparatus used in a patterning process , the method comprising:providing a cleaning substrate into contact with the part of the apparatus while the part is attached to the apparatus, the cleaning substrate comprising a material configured to chemically react with the contamination; anddislodging contamination on the part of the apparatus by chemical reaction between the material and the contamination.2. The method of claim 1 , wherein the contamination comprises silica and the chemical reaction is between the material and silica.3. The method of claim 1 , wherein the material comprises ammonium fluoride.4. The method of claim 1 , wherein the material comprises cerium oxide.5. The method of claim 1 , wherein the material comprises a polymer.6. The method of claim 5 , wherein the polymer comprises an amine compound or functional group exposed to hydrofluoric acid.7. The method of claim 1 , further comprising introducing relative movement between the cleaning substrate and the part of the apparatus to dislodge contamination from the part of the apparatus.8. The method of claim 7 , wherein the introducing the relative movement comprises repeatedly bringing the cleaning substrate and the part of the apparatus into and out of contact and between during at least a few of the occasions where the cleaning substrate is out of contact with the part of the apparatus claim 7 , causing the cleaning substrate to be displaced or rotated so that a portion of the cleaning ...

Подробнее
14-03-2019 дата публикации

CHUCK CLEANER AND CLEANING METHOD

Номер: US20190076888A1
Принадлежит: Toshiba Memory Corporation

According to one embodiment, a chuck cleaner includes a support, an adhesive layer, and a support substrate. The support includes a first portion, a second portion, and a third portion provided between the first portion and the second portion. The support substrate is provided between the support and the adhesive layer. The support substrate includes a first region fixed to the first portion, a second region fixed to the second portion, a third region provided between the first region and the second region and having variable distance from the third portion, a fourth region provided between the first region and the third region and separated from the support, and a fifth region provided between the second region and the third region and separated from the support. 120.-. (canceled)21. A chuck cleaner comprising:a support, the support includingfirst and second raised portions disposed at opposed perimeter portions thereof,a plurality of third raised portions, and a plurality of recessed portions defined between respective adjacent third raised portions as well as between the first and the second raised portions and the third raised portions; anda support substrate provided on the support and the adhesive layer, peripheral portions of the support substrate being fixed to the first and second raised portions of the support, a bottom surface of an inner region of the support substrate being adapted to engage an upper surface of each of the plurality of third raised portions in a first state and being spaced from the upper surface of each of the plurality of third raised portions in a second state.22. The cleaner according to claim 21 , whereinthe support substrate includes a first region fixed to the first raised portion, a second region fixed to the second raised portion, and a third region having variable distance from the third raised portions; anda first distance between the third region and the third raised portions in the second state is longer than the first ...

Подробнее
26-03-2015 дата публикации

SUBSTRATE PROCESSING METHOD

Номер: US20150086923A1
Принадлежит:

A substrate processing apparatus comprises an indexer block, an anti-reflection film processing block, a resist film processing block, a development processing block, a resist cover film processing block, a resist cover film removal block, a cleaning/drying processing block, and an interface block. An exposure device is arranged adjacent to the interface block in the substrate processing apparatus. The exposure device subjects a substrate to exposure processing by means of an immersion method. In the edge cleaning unit in the cleaning/drying processing block, a brush abuts against an end of the rotating substrate, so that the edge of the substrate before the exposure processing is cleaned. At this time, the position where the substrate is cleaned is corrected. 1. A substrate processing method employing a substrate processing apparatus that is arranged adjacent to an exposure device that subjects a substrate to exposure processing , forming a photosensitive film made of a photosensitive material on the substrate before said exposure processing;', 'subjecting the substrate after said step of forming the photosensitive film and before said exposure processing to thermal processing;', 'cleaning an edge of the substrate after said thermal processing and before said exposure processing using a brush such that a contaminant at the edge, on which the photosensitive film is not formed, of the substrate is physically stripped while horizontally holding the substrate by a holder, rotating the substrate held by the holder around an axis perpendicular to the substrate, and supplying pure water to the edge, on which the photosensitive film is not formed, of the substrate being rotated, immediately before said exposure processing by said exposure device; and', 'transporting the substrate after said step of cleaning the edge to said exposure device, wherein, 'the substrate processing method comprising the steps ofthe brush does not come into contact with the photosensitive film ...

Подробнее
31-03-2022 дата публикации

EUV WAFER DEFECT IMPROVEMENT AND METHOD OF COLLECTING NONCONDUCTIVE PARTICLES

Номер: US20220100105A1
Принадлежит:

An EUV lithographic apparatus includes a wafer stage and a particle removing assembly for cleaning a wafer for an extreme ultraviolet (EUV) lithographic apparatus. The wafer stage includes a measurement side and an exposure side. The particle removing assembly includes particle removing electrodes, an exhaust device and turbomolecular pumps. The particle removing electrodes is configured to direct debris from the chamber by suppressing turbulence such that the debris can be exhausted from the wafer stage to the outside of the processing apparatus. In some embodiments, turbomolecular pumps are turned off in the measurement side of the wafer stage so that an exhaust flow can be guided to an exposure side of the wafer stage. In some embodiments, the speed of voltage rise to the electrodes of the wafer chuck is adjusted. 1. A method of processing a wafer in a vacuum chamber , the method comprising:moving a wafer stage in the vacuum chamber;generating a particle from the wafer stage; andapplying a voltage to particle removing electrodes provided at two sides of the wafer stage so as to sandwich the wafer stage, thereby removing the particle.2. The method of claim 1 , wherein the voltage is a DC voltage.3. The method of claim 1 , wherein the voltage is an AC voltage.4. The method of claim 3 , wherein the voltage is provided by a radio frequency (RF) power supply.5. The method of claim 1 , wherein the voltage is supplied by pulse having a duty ratio.6. The method of claim 5 , wherein the duty ratio is from 10% to 90%.7. The method of claim 1 , wherein the particle is generated from a cable attached to the stage.8. The method of claim 1 , wherein the particle is non-electroconductive.9. An apparatus for manufacturing a semiconductor device claim 1 , the apparatus comprising:a wafer stage;a particle removing assembly;a chamber enclosing the wafer stage and the particle removing assembly; andwherein the particle removing assembly comprises particle removing electrodes ...

Подробнее
31-03-2016 дата публикации

METHOD OF AND APPARATUS FOR IN-SITU REPAIR OF REFLECTIVE OPTIC

Номер: US20160091803A1
Автор: Ershov Alexander I.
Принадлежит:

Method of and apparatus for repairing an optical element disposed in a vacuum chamber while the optical element is in the vacuum chamber. An exposed surface of the optical element is exposed to an ion flux generated by an ion source to remove at least some areas of the surface that have been damaged by exposure to the environment within the vacuum chamber. The method and apparatus are especially applicable to repair multilayer mirrors serving as collectors in systems for generating EUV light for use in semiconductor photolithography. 1. A method of repairing an optical element disposed in a vacuum chamber comprising the steps of:cleaning an exposed surface of the optical element while the optical element is in the vacuum chamber to remove at least some of a contaminant on the exposed surface to produce a cleaned exposed surface; andexposing the cleaned exposed surface while the optical element is in the vacuum chamber to an ion flux generated by an ion gun to remove at least some areas of the surface that have been damaged by exposure to the environment within the vacuum chamber.2. A method as claimed in wherein said cleaning step is performed by subjecting the exposed surface to hydrogen radicals.3. A method as claimed in wherein said cleaning step removes substantially all of the contaminant from the exposed surface.4. A method as claimed in wherein said exposing step removes substantially all areas of the surface that have been damaged by exposure to the environment within the vacuum chamber.5. A method as claimed in wherein said exposing step comprises the additional steps of:generating the ion flux using an ion gun; anddirecting the ion gun to cause ions to strike at least some areas of the surface that have been damaged by exposure to the environment within the vacuum chamber.6. A method as claimed in wherein said exposing step comprises the additional steps of:generating the ion flux using an ion gun; anddirecting the ion gun to cause ions to strike ...

Подробнее
19-06-2014 дата публикации

METHOD FOR CLEANING IMPRINTING MASK

Номер: US20140170307A1
Принадлежит:

According to one embodiment, provided is a method for cleaning an imprinting mask including a template having an uneven pattern, a base layer disposed on the template, and a sacrificial film disposed on the base layer. In the method for cleaning the imprinting mask, the sacrificial film is removed, and a contaminant adhered on the sacrificial film is removed from the template pattern. 1. A method for cleaning an imprinting mask including a template having an uneven pattern , a base layer disposed on the template , and a sacrificial film layer formed by using a silane coupling agent reaction on the base layer , the method comprising:removing the sacrificial film layer; andremoving a contaminant adhered on the sacrificial layer.2. The method of claim 1 , wherein the sacrificial film layer is a self assembled monolayer.3. The method of claim 2 , wherein the base layer comprises silicon nitride.4. The method of claim 1 , further including the step of removing the contaminant by exposing the contaminant to a cleaning solution.5. The method of claim 1 , further including the step of reforming a sacrificial layer on the base layer.6. The method of claim 5 , wherein the step of removing the sacrificial layer comprises exposing the sacrificial layer to a cleaner including NH4OH claim 5 , H2O2 claim 5 , and H2O.7. The method of claim 1 , wherein the sacrificial layer is comprises silicon nitride.8. A method for cleaning an imprinting mask including a template having an uneven pattern claim 1 , a base layer disposed on the template claim 1 , and a sacrificial film disposed on the base layer claim 1 , the method comprising:removing the sacrificial film; andsimultaneously removing a contaminant adhered on the sacrificial film.9. The method for cleaning the imprinting mask according to claim 8 , wherein the sacrificial film is the self-assembled monolayer.10. The method for cleaning the imprinting mask according to claim 9 , wherein the self-assembled monolayer is formed using a ...

Подробнее
30-03-2017 дата публикации

SUBSTRATE CLEANING METHOD, SUBSTRATE CLEANING APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM

Номер: US20170090305A1
Принадлежит: TOKYO ELECTRON LIMITED

A substrate cleaning method includes: a first step in which a cleaning liquid is ejected from a nozzle N to a central portion of a wafer W; a second step in which a dry gas is ejected from a nozzle N to the central portion of the wafer W to form a dry area; a third step in which the cleaning liquid is ejected from the nozzle N while the nozzle N is moved from a central side of the wafer W to a peripheral side thereof; a fourth step in which a width of an intermediate area generated between a wet area and the dry area is acquired; and a fifth step in which, when the width of the intermediate area exceeds a predetermined threshold value, a process parameter is changed such that the width of the intermediate area becomes the threshold value or less. 1. A substrate cleaning apparatus comprising:a rotary driving unit configured to rotate a substrate;a liquid nozzle positioned above the substrate and configured to eject a cleaning liquid to a surface of the substrate;a gas nozzle positioned above the substrate and configured to eject a dry gas to the surface of the substrate;an imaging unit positioned above the substrate and configured to image the surface of the substrate; anda control unit configured to control the rotary driving unit, the liquid nozzle, the gas nozzle, and the imaging unit;wherein the liquid nozzle, the gas nozzle, and the imaging unit are located such that the liquid nozzle, the gas nozzle, and the imaging unit are juxtaposed along the radial direction of the substrate from the peripheral side to the center thereof in this order as viewed from the top.2. The substrate cleaning apparatus according to claim 1 ,wherein the control unit is configured to perform:a first control in which the control unit controls the rotary driving unit and the liquid nozzle, such that the cleaning liquid is ejected from the liquid nozzle to a central portion of the surface of the rotating substrate;a second control in which, after the first control, the control unit ...

Подробнее
05-05-2022 дата публикации

POSITIONING METHOD AND APPARATUS FOR PARTICLES ON RETICLE, STORAGE MEDIUM, AND ELECTRONIC DEVICE

Номер: US20220137521A1
Автор: Xia Shuang
Принадлежит:

A positioning method for particles on a reticle includes: data of positions passed by a target reticle within a preset period of time is determined according to path data of the target reticle that includes particle information of the target reticle at each scan moment; position information of the target reticle when particles are present on a surface of the target reticle is determined according to the data of positions, to obtain target position data of the target reticle; reticle position data of the target reticle within adjacent scan moments is determined according to the target position data, and a particle source position of the particles on the surface of the target reticle is determined from the reticle position data according to position priorities; and a particle position analysis report of the target reticle within the preset period of time is generated according to the particle source position.

Подробнее
01-04-2021 дата публикации

Method for cleaning substrate

Номер: US20210094080A1

A method for cleaning a substrate includes receiving a photomask substrate comprising a multilayered reflective structure disposed over a surface of the photomask substrate, a capping layer disposed on the multilayered reflective structure and an absorber, wherein the photomask substrate has a plurality of conductive nanoparticles disposed over the surface; applying a first mixture comprising a SC 1 solution, a DI water and O 3 to the photomask substrate to remove the conductive nanoparticles; and applying a DI water to rinse the photomask substrate. A removal rate of the conductive nanoparticles is greater than approximately 90%.

Подробнее
19-03-2020 дата публикации

PLANARIZATION APPARATUS, PLANARIZATION METHOD, AND ARTICLE MANUFACTURING METHOD

Номер: US20200089108A1
Автор: Kawasaki Youji
Принадлежит:

There is provided a planarization apparatus that planarizes composition in a specified region on a substrate using a planar section of a mold. The planarization apparatus includes a mold holding unit configured to hold the mold, a measurement unit configured to measure a shape of the planar section of the mold held by the mold holding unit and convexly deformed, and a control unit configured to align, based on a result of measurement by the measurement unit, the planar section of the mold and the substrate with respect to a direction along a surface of the substrate so as to bring the planar section of the mold into contact with the specified region on the substrate, and bring the mold and the composition into contact with each other. 1. A planarization apparatus configured to planarize composition in a specified region on a substrate using a planar section of a mold , the planarization apparatus comprising:a mold holding unit configured to hold the mold;a measurement unit configured to measure a shape of the planar section of the mold held by the mold holding unit and convexly deformed with respect to the substrate; anda control unit configured to align, based on a measurement result of the measurement unit, the planar section of the mold with the specified region on the substrate, so as to bring the mold and the composition into contact with each other.2. The planarization apparatus according to claim 1 , wherein the measurement unit measures a distance between a surface of the mold and the measurement unit.3. The planarization apparatus according to claim 2 , wherein the measurement unit measures the distance at a plurality of positions in a direction along a surface of the mold.4. The planarization apparatus according to claim 2 , wherein the measurement unit is arranged at a position adjacent to the substrate.5. The planarization apparatus according to claim 1 , wherein the measurement unit is provided on a substrate holding unit configured to hold the ...

Подробнее
26-06-2014 дата публикации

Debris Removal in High Aspect Structures

Номер: US20140176922A1
Принадлежит:

A system for removing debris from a surface of a photolithographic mask is provided. The system includes an atomic force microscope with a tip supported by a cantilever. The tip includes a surface and a nanometer-scaled coating disposed thereon. The coating has a surface energy lower than the surface energy of the photolithographic mask. 1. A system for removing debris from a surface of a photolithographic mask , comprising: a cantilever, and', 'a tip supported by the cantilever, the tip including a surface and a nanometer-scaled coating disposed thereon, the nanometer-scaled coating having a surface energy lower than a surface energy of the photolithographic mask., 'an atomic force microscope, including2. The system of claim 1 , further comprising a pallet attached to a stage that supports the photolithographic mask claim 1 , and a material disposed on the pallet claim 1 , wherein the material is softer than the tip.3. The system of claim 1 , wherein the nanometer-scaled coating is polytetrafluoroethylene.4. The system of claim 1 , wherein the tip further includes at least one fibril extending therefrom.5. The system of claim 4 , wherein the at least one fibril consists of a plurality of fibrils.6. The system of claim 4 , wherein the at least one fibril is configured to coil around a particle.7. The system of claim 4 , wherein the at least one fibril is attached to a distal end of the tip.8. The system of claim 2 , wherein the tip includes a metallic material disposed between the surface and the nanometer-scaled coating.9. The system of claim 2 , wherein the tip includes an oxide material disposed between the surface and the nanometer-scaled coating.10. The system of claim 2 , wherein the tip includes a metal oxide material disposed between the surface and the nanometer-scaled coating.11. A system for removing debris from a surface of a substrate claim 2 , comprising: a cantilever, and', 'a tip supported by the cantilever, the tip including a surface and a ...

Подробнее
01-04-2021 дата публикации

CLEANING METHOD, METHOD FOR FORMING SEMICONDUCTOR STRUCTURE AND SYSTEM THEREOF

Номер: US20210096460A1
Принадлежит:

A method for cleaning a reflective photomask is provided. The method includes: disposing the reflective photomask in a chamber; providing hydrogen radicals to the chamber; and exposing the reflective photomask to the hydrogen radicals. A method of manufacturing a semiconductor structure and system for forming a semiconductor structure are also provided. 1. A method for cleaning a reflective photomask , comprising:disposing the reflective photomask in a chamber;providing hydrogen radicals to the chamber; andexposing the reflective photomask to the hydrogen radicals.2. The method of claim 1 , wherein the photomask includes a substrate and a pellicle attached on the substrate claim 1 , and the method further comprising:providing the hydrogen radicals, the hydrogen radicals are allowed to enter a space between the pellicle and the substrate.3. The method of claim 1 , wherein the hydrogen radicals are generated by a plasma bombardment or a heat decomposition.4. The method of claim 1 , further comprising:removing oxygen on the reflective photomask.5. The method of claim 4 , wherein the oxygen is removed by a reduction reaction with the hydrogen radicals.6. The method of claim 1 , wherein the hydrogen radicals react with a carbon-containing contaminant to form hydrocarbon gases.7. The method of claim 6 , wherein the carbon-containing contaminant includes at least one of carbon and hydrocarbon.8. The method of claim 6 , further comprising:removing the hydrogen radicals provided and hydrocarbon gases formed during the removal of the carbon-containing contaminant from the chamber.9. A method of manufacturing a semiconductor structure claim 6 , comprising:receiving a semiconductive substrate;performing a first extreme ultraviolet (EUV) lithography operation on the semiconductive substrate by using a photomask in a first chamber; andperforming a dry cleaning operation on the photomask in a second chamber different from the first chamber.10. The method of claim 9 , wherein the ...

Подробнее
28-03-2019 дата публикации

LITHOGRAPHIC APPARATUS AND SURFACE CLEANING METHOD

Номер: US20190094707A1
Принадлежит: ASML Netherlands B.V.

An apparatus and method for cleaning a contaminated surface of a lithographic apparatus are provided. A liquid confinement structure comprises at least two openings used to supply and extract liquid to a gap below the structure. The direction of flow between the openings can be switched. Liquid may be supplied to the gap radially outward of an opening adapted for dual flow. Supply and extraction lines to respectively supply liquid to and extract liquid from the liquid confinement structure have an inner surface that is resistant to corrosion by an organic liquid. A corrosive cleaning fluid can be used to clean photo resist contamination. 120.-. (canceled)21. An immersion lithographic apparatus , comprising:a movable table;a projection system configured to project a radiation beam onto a radiation-sensitive substrate;a fluid handling structure located above the table and configured to at least partly confine an immersion liquid within a space between the projection system and the movable table, the fluid handling structure comprising a recovery opening configured to recover immersion liquid into the fluid handling structure, the recovery opening comprising a porous member through which immersion liquid is recovered; anda control system configured to cause supply of a cleaning fluid of different composition than the immersion liquid out through the porous member of the recovery opening, during a cleaning operation, such the cleaning fluid flows toward a path of the radiation beam through the space and outward, relative to the path of the radiation beam, of the porous member.22. The apparatus of claim 21 , wherein the control system is configured to cause removal of immersion liquid in through the porous member of the recovery opening claim 21 , during an exposure operation.23. The apparatus of claim 21 , further comprising a fluid opening located above the table and located outward claim 21 , relative to the path of the radiation beam claim 21 , of the porous member ...

Подробнее
28-03-2019 дата публикации

TREATMENT ASSEMBLY OF THE WATER-BASED LIQUID USED IN A WASHING STATION FOR FLEXOGRAPHIC PLATES

Номер: US20190094720A1
Автор: De Caria Riccardo
Принадлежит:

The present invention relates to a unit and a method for treating the water-based washing liquid used in or by a washing station of flexographic plates. The unit comprises at least a first tank in which the liquid is collected and in which the monomer or polymer contained in the liquid itself surfaces. The unit further comprises at least a second tank into which part of the liquid contained in the second tank overflows following the opening of barrier means. Such means in closing condition isolate the two tanks. By means of such overflow, a volume of liquid is collected in the second tank, which contains the polymer or monomer previously surfaced in the first tank. 1. A washing station for washing flexographic plates with water-based washing liquid , wherein said washing station comprises an operative chamber and brushing means for brushing said plates , wherein said station comprises a treatment unit outside said chamber for treating a water-based washing liquid used in said washing station , wherein said liquid comprises some monomer or polymer detached from said plates during their washing , wherein said treatment unit is outside said operative chamber and comprises:a first tank for collecting said washing liquid to a predetermined minimum filling level, said monomer or said polymer surfacing in said first tank after said liquid rests for a predetermined interval of time;a second tank comprising a first inlet section arranged at a lower height than said minimum filling level of said first tank;a third tank for collecting said washing liquid to a predetermined minimum filling level, said monomer or said polymer surfacing in said third tank after said liquid rests for a predetermined interval of time, wherein said second tank also comprises a second inlet section arranged at a lower height than said minimum filling level of said third tank;first barrier means, movable between a closing position, in which said first barrier means prevent the communication between ...

Подробнее
26-03-2020 дата публикации

PARTICLE REMOVAL APPARATUS AND ASSOCIATED SYSTEM

Номер: US20200096881A1
Принадлежит:

An apparatus for removing particles from a clamp, the apparatus being arrangeable in proximity of the clamp and comprising an insulating portion, a supporting portion, at least a part or all of the insulating portion being arranged on the supporting portion, wherein the supporting portion is configured such that when a voltage is applied to the supporting portion of the apparatus and/or to an electrode of the clamp, the supporting portion acts as an electrode to allow an electric field to be generated between the apparatus and the clamp for removal of the particles from the clamp. 137-. (canceled)38. An apparatus for removing particles from a clamp , the apparatus being arrangeable in proximity of the clamp and comprising:an insulating portion;a supporting portion, at least a part or all of the insulating portion being arranged on the supporting portion, wherein the supporting portion is configured such that when a voltage is applied to the supporting portion of the apparatus and/or to an electrode of the clamp, the supporting portion acts as an electrode to allow an electric field to be generated between the apparatus and the clamp for removal of the particles from the clamp, and wherein the supporting portion comprises a plurality of recesses, wherein the insulating portion extends between at least two adjacent recesses.39. The apparatus of claim 38 , wherein the apparatus is configured such that a shape of at least a portion of the apparatus is complementary to a shape of a portion of the clamp to allow a distance between the apparatus and the clamp to be reduced or minimised.40. The apparatus of claim 38 , each recess of the plurality of recesses is shaped such that a support of the clamp is at least partially receivable in each recess of the plurality of recesses claim 38 , when the apparatus is moved towards the clamp.41. The apparatus of claim 38 , wherein the insulating portion is shaped or configured such that an inhomogeneous electric field is generated ...

Подробнее
23-04-2015 дата публикации

SCANNER ROUTING METHOD FOR PARTICLE REMOVAL

Номер: US20150109590A1
Автор: Xie Yong-Gang
Принадлежит: UNITED MICROELECTRONICS CORP.

A scanner routing method for particle removal is disclosed. A dummy wafer coated with a viscosity builder is provided. The dummy wafer is moved, shot by shot, with an immersion scanner. The said moving includes moving edge shots in a direction from the outside of the dummy wafer toward the inside of the same. The scanner routing method of the invention is beneficial to remove unnecessary particles or chemicals in the immersion liquid and therefore improve the performance of the product wafer which is subsequently run after the dummy wafer. 1. A scanner routing method for particle removal , comprising:providing a dummy wafer coated with a viscosity builder; andmoving, shot by shot, the dummy wafer with an immersion scanner, comprising moving edge shots in a direction from an outside of the dummy wafer toward an inside of the same.2. The scanner routing method of claim 1 , wherein the dummy wafer is a bare wafer without patterns.3. The scanner routing method of claim 2 , wherein the bare wafer comprises silicon.4. The scanner routing method of claim 1 , wherein the viscosity builder comprises hexamethyldisilazane (HMDS).5. The scanner routing method of claim 1 , which is performed only during an idle period of the immersion scanner.6. The scanner routing method of claim 1 , wherein a photomask is not used during the moving.7. The scanner routing method of claim 1 , wherein an exposure light is not used during the moving.8. The scanner routing method of claim 1 , wherein the moving is executed by a wafer chuck moving element.9. The scanner routing method of claim 1 , wherein the moving further comprises moving claim 1 , shot by shot claim 1 , the edge shots in another direction from the inside of the dummy wafer toward the outside of the same.10. The scanner routing method of claim 1 , wherein the moving comprises:moving, shot by shot, the full dummy wafer in a first routing sequence; andmoving, shot by shot, the full dummy wafer in a second routing sequence with a ...

Подробнее
03-07-2014 дата публикации

APPARATUS FOR REMOVING SOLVENT AND PHOTOLITHOGRAPHY APPARATUS USING THE SAME

Номер: US20140185023A1
Автор: Park Jae Eun
Принадлежит: Samsung Display Co., Ltd.

A solvent removing apparatus is disclosed. One aspect includes a chamber, a first pump, a silencer, a valve, and a discharge unit. The chamber dries a coating layer formed on a substrate. The first pump intakes a solvent evaporated from the coating layer of the chamber and discharges the inhaled solvent. The silencer reduces discharge noise of the first pump and accommodates a liquefied solvent of the evaporated solvent provided from the first pump. The valve discharges the liquefied solvent accommodated in the silencer. The discharge unit discharges the evaporated solvent provided by the silencer. 1. A solvent removing apparatus , comprising:a chamber configured to dry a coating layer formed on a substrate;a first pump configured to intake a solvent evaporated from the coating layer of the chamber and discharge the evaporated solvent;a silencer configured to reduce discharge noise of the first pump and accommodate a liquefied solvent of the evaporated solvent provided from the first pump;a valve configured to discharge the liquefied solvent accommodated in the silencer; anda discharge unit configured to discharge the evaporated solvent provided from the silencer.2. The solvent removing apparatus of claim 1 , wherein the substrate is used as a portion of a display panel.3. The solvent removing apparatus of claim 2 , wherein the coating layer is a photoresist.4. The solvent removing apparatus of claim 1 , wherein the chamber comprises:an outer wall;a stage accommodated in the outer wall to support the substrate; anda heat source disposed to face the stage and provide radiant heat to the coating layer.5. The solvent removing apparatus of claim 1 , wherein the discharge unit comprises:a filter configured to remove foreign substances contained in the evaporated solvent provided from the silencer; anda discharge pipe configured to guide the evaporated solvent, which passes through the filter, to the outside thereof.6. The solvent removing apparatus of claim 1 , further ...

Подробнее
04-04-2019 дата публикации

METHODS AND APPARATUS FOR REMOVING CONTAINMINATION FROM LITHOGRAPHIC TOOL

Номер: US20190101838A1

Embodiments described herein provide a method for cleaning contamination from sensors in a lithography tool without requiring recalibrating the lithography tool. More particularly, embodiments described herein teach cleaning the sensors using hydrogen radicals for a short period while the performance drifting is still above the drift tolerance. After a cleaning process described herein, the lithography tool can resume production without recalibration. 1. A method comprising:monitoring a sensor measurement indicative of an amount of contamination formed on a radiation receiving surface over a sensor in a lithography tool; andperforming a cleaning session in the lithography tool when the sensor measurement reaches a threshold value, wherein the cleaning session comprises providing radicals to the radiation receiving surface, wherein after the cleaning session, the sensor is operable to provide the sensor measurement without being recalibrated.2. The method of claim 1 , wherein the sensor is a TIS (transmission image sensor) sensor mounted on a substrate stage in the lithography tool claim 1 , and the sensor measurement includes a relative radiation intensity defined by a ratio of a radiation intensity measured by the TIS sensor through the radiation receiving surface over a radiation intensity dispatched from a radiation source.3. The method of claim 2 , wherein the threshold value is in a range from 0.6 to 0.9.4. The method of claim 1 , wherein the sensor is a spot sensor mounted on a substrate stage in the lithography tool claim 1 , and the sensor measurement includes a relative radiation intensity defined by a ratio of a radiation intensity measured by the spot sensor through the radiation receiving surface over a radiation intensity dispatched from a radiation source.5. The method of claim 4 , wherein the threshold value is in a range from 0.6 to 0.9.6. The method of claim 1 , wherein the sensor is an ILIAS (integrated lens interferometer at scanner) sensor ...

Подробнее
26-03-2020 дата публикации

Optical System, Metrology Apparatus and Associated Method

Номер: US20200100350A1
Принадлежит: ASML Netherlands B.V.

There is described an optical system () for focusing a beam of radiation (B) on a region of interest of a substrate in a metrology apparatus. The beam of radiation comprises radiation in a soft X-ray or Extreme Ultraviolet spectral range. The optical system comprises a first reflector system () and a second reflector system (). Each of the first and second reflector systems () comprises a finite-to-finite Wolter reflector system. The optical system () is configured to form, on the region of interest, a demagnified image () of an object () comprising an apparent source of the beam of radiation (B). 115-. (canceled)16. An optical system for focusing a beam of radiation on a region of interest of a substrate in a metrology apparatus , the beam of radiation comprising radiation in a soft X-ray or Extreme Ultraviolet spectral range , the optical system comprising:a first reflector system and a second reflector system, each of the first and second reflector systems comprising a finite-to-finite Wolter reflector system,wherein the optical system is configured to form, on the region of interest, a demagnified image of an object comprising an apparent source of the beam of radiation.17. The optical system of claim 16 , wherein each finite-to-finite Wolter reflector system comprises a one-dimensional finite-to-finite Wolter reflector system configured to demagnify the object in one direction taken along an object plane comprising the object to form a corresponding demagnified image of the object in a corresponding direction taken along an image plane comprising the image.18. The optical system of claim 17 , wherein the first reflector system is configured to demagnify the object in a first direction taken along the object plane to form a corresponding demagnified image of the object in a corresponding first direction taken along the image plane and the second reflector system is configured to demagnify the object in a second direction taken along the object plane claim 17 , ...

Подробнее
02-04-2020 дата публикации

CONTAMINATION HANDLING FOR SEMICONDUCTOR APPARATUS

Номер: US20200103768A1

The present disclosure describes a semiconductor apparatus and a method for handling contamination from a semiconductor manufacturing process. The semiconductor apparatus can include a chuck configured to hold a substrate, a drain cup configured to surround the chuck and to capture a chemical sprayed from the substrate, and a detection module disposed in a space between the drain cup and the chuck and configured to monitor sidewalls of the drain cup. 1. A semiconductor apparatus , comprising:a chuck configured to hold a substrate;a first drain cup surrounding the chuck; anda detection module disposed in a space between the first drain cup and the chuck and configured to monitor sidewalls of the first drain cup.2. The semiconductor apparatus of claim 1 , further comprising a second drain cup surrounding the chuck claim 1 , wherein the second drain cup is surrounded by the first drain cup.3. The semiconductor apparatus of claim 1 , wherein the detection module comprises one or more of:an image sensor;a fiber sensor;an optical module configured to transmit and receive one or more optical signals; andan acoustic module configured to transmit and receive one or more acoustic signals.4. The semiconductor apparatus of claim 1 , wherein the detection module is configured to monitor the sidewalls when the chuck is stationary.5. A method claim 1 , comprising:determining a contamination characteristic of a lithography apparatus by measuring a visual signature, an optical signature, an acoustic signature, a vacuum signature, or a material usage signature associated with one or more coating materials consumed by the lithography apparatus;comparing the contamination characteristic to a baseline cleanliness requirement; andbased on the comparison, triggering a decontamination process to remove residue associated with the one or more coating materials.6. The method of claim 5 , wherein the determining the contamination characteristic comprises detecting a thickness or a surface ...

Подробнее
02-04-2020 дата публикации

Mask blank for lithography and method of manufacturing the same

Номер: US20200103769A1

A mask for cleaning a lithography apparatus includes a mask substrate and a coating provided on a surface of the mask substrate. The coating is configured to trap particulate contaminant matter from the lithography apparatus. A method of cleaning a lithography tool is also provided preparing a cleaning mask including a particle trapping layer formed on a substrate. The method includes transferring the cleaning mask through a mask transferring route of the lithography tool. Subsequently, the method includes analyzing a particle trapped by the particle trapping layer.

Подробнее
02-04-2020 дата публикации

A SYSTEM FOR CLEANING A SUBSTRATE SUPPORT, A METHOD OF REMOVING MATTER FROM A SUBSTRATE SUPPORT, AND A LITHOGRAPHIC APPARATUS

Номер: US20200103770A1
Принадлежит: ASML Netherlands B.V.

A system for cleaning a substrate support having a plurality of projections extending in a first direction each with a terminal surface arranged to be in contact with the substrate, the system including: a treatment tool arranged for relative movement in a second direction orthogonal to the first direction and a third direction orthogonal to the first and second directions over the terminal surfaces of the projections to remove matter from the substrate support; and a controller to control the treatment tool dependent upon a position in the second and third directions of the treatment tool relative to the substrate support such that the removal amount from each of the plurality of projections is maintained substantially constant from one projection to another. 1. A system for cleaning a substrate support comprising a plurality of projections extending in a first direction each with a terminal surface arranged to be in contact with the substrate , the system comprising:a treatment tool arranged for relative movement in a second direction orthogonal to the first direction and a third direction orthogonal to the first direction and the second direction over the terminal surfaces of the projections for removing matter from the substrate support; anda controller configured to control the treatment tool dependent upon a position in the second and third directions of the treatment tool relative to the substrate support such that the removal amount from each of the plurality of projections is maintained substantially constant from one projection to another.2. The system of claim 1 , wherein the controller is configured to control a rotation speed of the treatment tool around the first direction dependent upon a position in the second and third directions of the treatment tool relative to the substrate support.3. The system of claim 1 , wherein the controller is configured to control an amount of time the treatment tool is in each position in the second and third directions ...

Подробнее
11-04-2019 дата публикации

COVER MEMBER, CONVEYING APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE

Номер: US20190107788A1
Принадлежит:

A cover member that covers a first surface on an opposite side with respect to a holding surface of a holding portion that holds a substrate, the cover member including a first removing member that removes a foreign substance attached to the first surface, in which the cover member is capable of being fixed to the holding portion while the first surface and the first removing member are in contact with each other covering the first surface. 1. A conveying apparatus for conveying a holding portion that includes a holding surface where the holding portion holds a substrate , the conveying apparatus comprising:a conveying portion configured to convey the holding portion, and a cover member that covers a first surface on an opposite side of the holding surface of the holding portion; andan attaching and detaching portion configured to attach and detach the holding portion to and from the cover member,wherein the cover member comprises a first removing member configured to remove a foreign substance attached to the first surface,wherein the cover member is configured to be fixed to the holding portion while the first surface and the first removing member are in contact with each other,wherein the conveying portion conveys the holding portion and the cover member to a movable moving unit configured to hold the holding portion while having the first surface and the first removing member of the cover member be in contact with each other,wherein the conveying portion conveys the cover member from the moving unit, andwherein the attaching and detaching portion conveys the holding portion to the moving unit.2. The conveying apparatus according to claim 1 , wherein the cover member includes a fixing member configured to fix the holding portion in a direction extending along the first surface while the holding portion is movable in a direction perpendicular to the first surface.3. The conveying apparatus according to claim 2 , wherein the fixing member is configured to fix the ...

Подробнее
09-06-2022 дата публикации

Mask Cleaning

Номер: US20220179326A1
Принадлежит:

A lithography system includes a first load lock chamber configured to receive a mask, a cleaning module configured to clean the mask, a second load lock chamber configured to receive a wafer, an exposure module configured to expose the wafer to a light source through use of the cleaned mask. A direct path is provided between the first load lock chamber and the exposure module allowing the first load lock chamber to directly couple to the exposure module without through the cleaning module. 1. A lithography system comprising:a first load lock chamber configured to receive a mask;a cleaning module configured to clean the mask;a second load lock chamber configured to receive a wafer; andan exposure module configured to expose the wafer to a light source through use of the cleaned mask,wherein a direct path is provided between the first load lock chamber and the exposure module allowing the first load lock chamber to directly couple to the exposure module without through the cleaning module.2. The lithography system of claim 1 , wherein the cleaning module is configured to clean a carbon buildup on the mask through chemical reaction.3. The lithography system of claim 1 , further comprising:a mask inspection module configured to inspect the cleaned mask.4. The lithography system of claim 3 , wherein a side path is shared by the cleaning module and the mask inspection module to couple to the direct path.5. The lithography system of claim 1 , wherein the lithography system is an Extreme Ultra-Violet (EUV) lithography system claim 1 , the mask is an EUV mask claim 1 , and the light source is an EUV light source.6. The lithography system of claim 1 , wherein the cleaning module is configured to produce radicals to clean the mask through chemical reaction.7. The lithography system of claim 6 , wherein the radicals are hydrogen radicals.8. The lithography system of claim 1 , wherein the cleaning module is configured to produce a plasma gas including at least one of: hydrogen ...

Подробнее
09-06-2022 дата публикации

CLEANING A STRUCTURE SURFACE IN AN EUV CHAMBER

Номер: US20220179328A1
Принадлежит:

In some general aspects, a surface of a structure within a chamber of an extreme ultraviolet (EUV) light source is cleaned using a method. The method includes generating a plasma state of a material that is present at a location adjacent to a non-electrically conductive body that is within the chamber. The generation of the plasma state of the material includes electromagnetically inducing an electric current at the location adjacent the non-electrically conductive body to thereby transform the material that is adjacent the non-electrically conductive body from a first state into the plasma state. The plasma state of the material includes plasma particles, at least some of which are free radicals of the material. The method also includes enabling the plasma particles to pass over the structure surface to remove debris from the structure surface without removing the structure from the chamber of the EUV light source. 1. A method of cleaning a surface of a structure within a chamber of an extreme ultraviolet (EUV) light source , the method comprising:generating a plasma state of a material present at a location adjacent to a non-electrically conductive body that is within the chamber, the generating comprising:electromagnetically inducing an electric current at the location adjacent the non-electrically conductive body to thereby transform the material that is adjacent the non-electrically conductive body from a first state into the plasma state, wherein the plasma state of the material includes plasma particles, at least some of which are free radicals of the material;enabling the plasma particles to pass over the structure surface to remove debris from the structure surface without removing the structure from the chamber of the EUV light source; andmaintaining a temperature of the non-electrically conductive body and/or the structure below a threshold temperature.2. The method of claim 1 , wherein electromagnetically inducing the electric current at the location ...

Подробнее
18-04-2019 дата публикации

MASK CLEANING APPARATUS AND METHOD FOR CLEANING MASK

Номер: US20190113839A1
Принадлежит:

Disclosed is a method for cleaning a photo mask. The method includes a pre-treatment operation of wetting a chemical on an entire surface of the photo mask in a state in which the photo mask is stopped, and a cleaning operation of supplying the chemical to a pattern area of the photo mask in a state in which the photo mask is rotated. 1. A method for cleaning a photo mask , the method comprising:a pre-treatment operation of wetting a chemical on an entire surface of the photo mask in a state in which the photo mask is stopped; anda cleaning operation of supplying the chemical to a pattern area of the photo mask in a state in which the photo mask is rotated.2. The method of claim 1 , wherein in the pre-treatment operation claim 1 , the chemical is supplied to a non-pattern area of the photo mask.3. The method of claim 1 , wherein the pre-treatment operation includes:moving a nozzle to the non-pattern area of the photo mask; anddischarging the chemical from the nozzle located in the non-pattern area,wherein the chemical is discharged in the non-pattern area until the entire surface of the photo mask is wetted with the chemical.4. The method of claim 2 , wherein the cleaning operation includes:moving the nozzle from the non-pattern area to the center of the pattern area; anddischarging the chemical from the nozzle moved to the center of the pattern area.5. The method of claim 1 , wherein the pre-treatment operation includes:moving a nozzle to the non-pattern area of the photo mask; anddischarging the chemical from the nozzle located in the non-pattern area,wherein the nozzle discharges the chemical while being moved from the non-pattern area to the center of the pattern area.6. The method of claim 1 , wherein the chemical is a mixture liquid of a sulfuric acid and a peroxide.7. A photo mask cleaning apparatus comprising:a support plate configured to support a photo mask;a support plate driver configured to rotate the support plate;a nozzle configured to eject a ...

Подробнее
10-05-2018 дата публикации

Cleaning Module, Cleaning Apparatus and Method of Cleaning Photomask

Номер: US20180129132A1
Принадлежит:

In a method of cleaning a photomask, a wiper tape is guided from a wiper tape supplying reel, over a cleaning head, and then onto a wiper tape collecting reel. A section of the wiper tape over the cleaning head is brought into contact with an adhesive residue on a surface of the photomask. A relative movement is caused between the photomask and the section of the wiper tape to remove the adhesive residue from the surface of the photomask. 1. A cleaning apparatus , comprising:a stage configured to support thereon a substrate to be cleaned; and a support structure configured to rotatably support a wiper tape supplying reel and a wiper tape collecting reel;', 'a cleaning head configured to, during a cleaning operation, clean the substrate supported on the stage with a wiper tape which is guided continuously along a wiper tape path from the wiper tape supplying reel, around a portion of the cleaning head, and then to the wiper tape collecting reel;, 'at least one cleaning module moveable relative to the stage, the at least one cleaning module comprisinga pressure sensor configured to detect a pressure exerted by the cleaning head on the substrate; anda driver configured to move the cleaning head in a first direction toward or away from the substrate in response to the pressure detected by the pressure sensor.2. The cleaning apparatus of claim 1 , wherein the at least one cleaning module is moveable relative to the stage in a second direction transverse to the first direction.3. The cleaning apparatus of claim 1 , wherein the at least one cleaning module comprises:a first cleaning module moveable relative to the stage in a second direction transverse to the first direction; anda second cleaning module moveable relative to the stage in a third direction transverse to the first direction.4. The cleaning apparatus of claim 1 , wherein the at least one cleaning module is rotatable about an axis oriented in the first direction.5. The cleaning apparatus of claim 1 , wherein ...

Подробнее
23-04-2020 дата публикации

GUIDE PIN, PHOTO MASK SUPPORTING UNIT INCLUDING THE SAME, AND PHOTO MASK CLEANING APPARATUS INCLUDING THE SAME

Номер: US20200124987A1
Автор: PARK SHI HYUN
Принадлежит:

Provided are a guide pin configured to support a corner of a photo mask using a double slide structure, a photo mask supporting unit including the same, and a photo mask cleaning apparatus including the same. The photo mask supporting unit includes a supporting plate, a supporting shaft which supports the supporting plate from under the supporting plate, a supporting plate driver configured to rotate the supporting plate, and a guide pin provided as a plurality of guide pins on the supporting plate to support a photo mask and including at least one column protruding upward from a flat surface and having a first sliding portion and a second sliding portion formed on a side surface of the column to be inclined downward to have different angles. 1. A photo mask supporting unit comprising:a supporting plate;a supporting shaft which supports the supporting plate from under the supporting plate;a supporting plate driver configured to rotate the supporting plate; anda guide pin provided as a plurality of guide pins on the supporting plate to support a photo mask and including at least one column protruding upward from a flat surface and having a first sliding portion and a second sliding portion formed on a side surface of the column to be inclined downward to have different angles.2. The photo mask supporting unit of claim 1 , wherein:the first sliding portion is formed to be inclined downward to have a first inclination angle with respect to the side surface of the column;the second sliding portion is formed to be inclined downward to have a second inclination angle with respect to the side surface of the column; andthe second inclination angle is greater than the first inclination angle.3. The photo mask supporting unit of claim 1 , wherein:the first sliding portion and the second sliding portion are continuously formed on the side surface of the column; andthe first sliding portion is positioned at a higher level than the second sliding portion.4. The photo mask ...

Подробнее
23-04-2020 дата публикации

Mask treating method and system thereof

Номер: US20200124994A1

The present disclosure provides a method of treating a mask for photolithography. The method includes disposing the mask on a stage in a tool. The mask includes a pellicle and a substrate. The method further includes providing oxygen gas in a space between the pellicle and the substrate, and splitting the oxygen gas in the space to form an oxygen atom or an ozone molecule. The method further includes exposing surfaces of the pellicle and the substrate to the oxygen atom or the ozone molecule for a predetermined duration. A mask treating system is also provided.

Подробнее
18-05-2017 дата публикации

Wafer Manufacturing Cleaning Apparatus, Process And Method Of Use

Номер: US20170136500A1
Принадлежит: International Test Solutions, Inc.

A cleaning wafer or substrate for use in cleaning, or in combination with, components of, for example, integrated chip manufacturing apparatus. The cleaning substrate can include a substrate having varying predetermined surface features, such as one or more predetermined adhesive, non-tacky, electrostatic, projection, depression, or other physical sections. The predetermined features can provide for more effective cleaning of the components with which they are used, such as an integrated chip manufacturing apparatus in the place of the integrated chip wafer. The cleaning substrate can be urged into cleaning or other position by vacuum, mechanical, electrostatic, or other forces. The cleaning substrate can adapted to accomplish a variety of functions, including abrading or polishing. The cleaning substrate may be made by a novel method of making, and it may then be used in a novel method of use I combination with chip manufacturing apparatus. 1. A semi-conductor manufacturing apparatus cleaning wafer comprising in combination:(i) a resilient cleaning pad body having a cleaning side with a tacky area; and(ii) a compressible projection section separate from the tacky area and protruding outwardly from cleaning side of the cleaning pad body.2. The cleaning wafer of wherein the tacky area is planar.3. The cleaning wafer of wherein the tacky area is shaped to conform to a surface in the semiconductor manufacturing apparatus.4. The cleaning wafer of wherein the tacky area comprises two sub-areas of differing thicknesses.5. The cleaning wafer of wherein at least one of the sub-areas is shaped to conform to a surface in the semiconductor manufacturing apparatus.6. The cleaning wafer of wherein the tacky area comprises two sub-areas of differing levels of tack.7. The cleaning wafer of wherein at least one of the sub-areas is shaped to conform to a surface in the semiconductor manufacturing apparatus.8. A method of cleaning a semiconductor manufacturing apparatus with a ...

Подробнее
03-06-2021 дата публикации

Substrate treating apparatus and substrate treating method

Номер: US20210166939A1
Принадлежит: Semes Co Ltd

Embodiments of the inventive concept provide a substrate treating apparatus. According to an exemplary embodiment, the substrate treating apparatus comprises a first process chamber applying a process fluid containing an organic solvent to a substrate wet with a developer and introduced; and a second process chamber treating the substrate applied with the process fluid and introduced, through a supercritical fluid.

Подробнее
18-05-2017 дата публикации

APPARATUS AND METHODS FOR PHOTOMASK BACKSIDE CLEANING

Номер: US20170139334A1
Принадлежит:

Apparatus for cleaning a photo mask includes a rotor in a head, with the rotor having a seal plate having a central opening, a resilient mask seal in the central opening, and retractors attached to the resilient mask seal and adapted to move the resilient mask seal into open and closed positions. A motor in the head rotates the rotor. A push plate in the head moves to operate the retractors. In the closed position the resilient mask seal seals against the sides of the photo mask. The back side of the photo mask can then be cleaned without affecting the patterned front side of the photo mask. 1. A rotor for holding a photo mask , comprising:a seal plate having a central opening;a shaft plate rigidly attached to the seal plate;a resilient mask seal in the central opening, with the resilient mask seal having first, second, third and fourth sides; andfirst, second, third and fourth retractors at the first, second, third and fourth sides, respectively, with the first, second, third and fourth retractors each including a retractor frame attached to the first, second, third and fourth sides of the resilient mask seal, respectively, and with each retractor including a spring which continuously urges the retractor frame in an inward radial direction to move the resilient mask seal into a closed position, and with each retractor moveable outwardly in an outward radial direction to move the resilient mask seal into an open position.2. (canceled)3. The rotor of with the first and third sides of the resilient mask seal have equal lengths and are perpendicular to the second and fourth sides of the resilient mask seal.4. The rotor of with the first claim 3 , second claim 3 , third and fourth retractor frames each having an inner end and an outer end claim 3 , and with first claim 3 , second claim 3 , third and fourth retractor bars at the inner end of the first claim 3 , second claim 3 , third and fourth retractor frames claim 3 , respectively claim 3 , and the inner end of each ...

Подробнее
08-09-2022 дата публикации

Method and apparatus for improving critical dimension variation

Номер: US20220283508A1

A method is described. The method includes obtaining a relationship between a thickness of a contamination layer formed on a mask and an amount of compensation energy to remove the contamination layer, obtaining a first thickness of a first contamination layer formed on the mask from a thickness measuring device, and applying first compensation energy calculated from the relationship to a light directed to the mask.

Подробнее
08-09-2022 дата публикации

System and method for cleaning an euv mask

Номер: US20220283521A1

An extreme ultraviolet (EUV) photolithography system cleans debris from an EUV reticle. The system includes a cleaning electrode configured to be positioned adjacent the EUV reticle. The system includes a voltage source that helps draw debris from the EUV reticle toward the cleaning electrode by applying a voltage of alternating polarity to the cleaning electrode.

Подробнее
10-06-2021 дата публикации

RADIATION SOURCE FOR LITHOGRAPHY PROCESS

Номер: US20210173316A1

A method for a lithography exposure process is provided. The method includes irradiating a target droplet with a laser beam to create an extreme ultraviolet (EUV) light. The method further includes reflecting the EUV light with a collector. The method also includes discharging a cleaning gas over the collector through a gas distributor positioned next to the collector. A portion of the cleaning gas is converted to free radicals before the cleaning gas leaves the gas distributor, and the free radicals are discharged over the collector along with the cleaning gas. 1. A method for a lithography exposure process , comprising:discharging a cleaning gas over a collector through a gas distributor;applying an electromagnetic radiant energy into the cleaning gas positioned in the gas distributor to convert a portion of the cleaning gas to a free radicals before discharging the cleaning gas;applying a thermal energy into the cleaning gas before applying the electromagnetic radiant energy to heat up the cleaning gas;trapping debris by vanes of a debris collection mechanism; andheating the vanes so that the trapped debris is melt and flows into a bucket.2. The method for a lithography exposure process as claimed in claim 1 , wherein the gas distributor includes two flow guiding members at two outer sides of the collector claim 1 , and flow rates in the flow guiding members are different.3. The method for a lithography exposure process as claimed in claim 2 , wherein each of the flow guiding member includes an end portion claim 2 , the end portion has two inner walls which intersects with an optical axis at an angle less than 90 degrees claim 2 , and one of the inner walls of the end portion is extends toward the collector claim 2 , and the other one of the inner walls of the end portion is extended along a direction substantially perpendicular to an optical axis claim 2 , so that the cleaning gas discharged by the flow guiding members forms a gas shield.4. The method for a ...

Подробнее
15-09-2022 дата публикации

Cleaning method, method for forming semiconductor structure and system thereof

Номер: US20220291580A1

A method for cleaning a reflective photomask, a method of manufacturing a semiconductor structure, and a system for forming a semiconductor structure are provided. The method for cleaning a reflective photomask includes placing a photomask in a first chamber, and performing a dry cleaning operation on the photomask in the first chamber, wherein the dry cleaning operation includes providing hydrogen radicals to the first chamber, generating hydrocarbon gases as a result of reactions of the hydrogen radicals, and removing the hydrocarbon gases from the first chamber.

Подробнее
15-09-2022 дата публикации

PROLONGING OPTICAL ELEMENT LIFETIME IN AN EUV LITHOGRAPHY SYSTEM

Номер: US20220291591A1
Принадлежит:

Degradation of the reflectivity of one or more reflective optical elements in a system for generating EUV radiation is reduced by the controlled introduction of a gas into a vacuum chamber containing the optical element. The gas may be added to the flow of another gas such as hydrogen or alternated with the introduction of hydrogen radicals. 1. Apparatus comprising:a vacuum chamber;a reflective optical element arranged in the vacuum chamber;a gas distribution system for adding gas to the vacuum chamber, the gas distribution system including an interface for interfacing with a source of oxygen-containing gas; anda gas control system configured to control the gas distribution system to supply the oxygen-containing gas to the vacuum chamber until a partial pressure of the oxygen-containing gas reaches a first value, ceasing supply of the oxygen-containing gas to the vacuum chamber until the partial pressure of the oxygen-containing gas reaches a second value less than the first value, and resuming supply of the oxygen-containing gas to the vacuum chamber until the partial pressure of the oxygen-containing gas reaches a third value greater than the second value.2. Apparatus as claimed in wherein the reflective optical element comprises a multilayer mirror comprising a capping layer and a plurality of underlying layers claim 1 , with the capping layer being composed and arranged to protect the underlying layers from damage claim 1 , the capping layer comprising an oxide.3. Apparatus as claimed in wherein the oxide comprises a metal oxide.4. Apparatus as claimed in wherein the oxygen-containing gas comprises H2O2.5. Apparatus as claimed in wherein the oxygen-containing gas comprises O3.6. Apparatus as claimed in wherein the oxygen-containing gas is mixed with argon or helium.7. Apparatus as claimed in further comprising a gas pressure sensor arranged to sense a partial pressure of the oxygen-containing gas in the vacuum chamber and to generate a first signal indicative of ...

Подробнее
07-05-2020 дата публикации

METHOD FOR REMOVING A CONTAMINATION LAYER BY AN ATOMIC LAYER ETCHING PROCESS

Номер: US20200142327A1
Принадлежит:

A method for at least partially removing a contamination layer () from an optical surface () of an optical element () that reflects EUV radiation includes: performing an atomic layer etching process for at least partially removing the contamination layer () from the optical surface (), which, in turn, includes: exposing the contamination layer () to a surface-modifying reactant () in a surface modification step, and exposing the contamination layer () to a material-detaching reactant () in a material detachment step. The optical element () is typically taken, before the atomic layer etching process is performed, from an optical arrangement, in particular from an EUV lithography system, in which the optical surface () of the optical element () is exposed to EUV radiation (), during which the contamination layer () is formed. 1. Method for at least partially removing a contamination layer from an optical surface of an optical element that reflects extreme ultraviolet (EUV) radiation , comprising:taking the optical element from a selected optical arrangement in which the optical surface of the optical element is exposed to EUV radiation;subsequent to said taking step, performing an atomic layer etching process for at least partially removing the contamination layer from the optical surface, wherein said performing step comprises:in a surface modification step, exposing the contamination layer to a surface-modifying reactant, andin a material detachment step, exposing the contamination layer to a material-detaching reactant; andsubsequent to said performing step, installing the optical element in a further optical arrangement.2. Method according to claim 1 , wherein the selected optical arrangement is the further optical arrangement.3. Method according to claim 1 , wherein said taking step comprises removing the optical element from an EUV lithography system in which the optical surface of the optical element is exposed to the EUV radiation.4. Method according to claim ...

Подробнее
11-06-2015 дата публикации

LITHOGRAPHY APPARATUS AND ARTICLE MANUFACTURING METHOD

Номер: US20150160570A1
Автор: Kasai Ryo
Принадлежит:

A lithography apparatus includes a cleaning unit configured to perform cleaning of a substrate holder, a detector configured to detect a foreign substance by observing a substrate held by the substrate holder, and a controller configured to control execution of a pattern forming operation on the substrate and a cleaning operation. The controller operates such that, if a foreign substance is detected out of a predetermined area including a position at which a foreign substance was previously detected after a predetermined number of executions of the cleaning operation, a next pattern forming operation is performed after executing the cleaning operation. Otherwise, a next pattern forming operation is performed without executing the cleaning operation. 1. A lithography apparatus for forming a pattern on a substrate , comprising:a substrate holder configured to hold the substrate;a cleaning unit configured to perform cleaning of the substrate holder;a detector configured to detect a foreign substance by observing the substrate held by the substrate holder; anda controller configured to control execution of a pattern forming operation on the substrate and a cleaning operation by the cleaning unit; in a case where a foreign substance is detected out of a predetermined area including a position at which a foreign substance was previously detected after a predetermined number of executions of the cleaning operation, a next pattern forming operation is performed after executing the cleaning operation, and', 'in a case where a foreign substance is detected again within a predetermined area including a position at which a foreign substance was previously detected after the predetermined number of executions of the cleaning operation, a next pattern forming operation is performed without executing the cleaning operation., 'wherein the controller operates such that,'}2. The lithography apparatus according to claim 1 , wherein the controller controls execution of the cleaning ...

Подробнее
22-09-2022 дата публикации

SYSTEM AND METHOD FOR CLEANING AN EUV MASK WITHIN A SCANNER

Номер: US20220299882A1
Принадлежит:

An extreme ultraviolet (EUV) photolithography system includes a scanner. Photolithography system performs EUV photolithography processes with a reticle in the scanner. The scanner includes a reticle storage chamber, a reticle backside inspection chamber, and a reticle cleaning chamber. The reticle cleaning chamber cleans debris from the backside of the reticle within the scanner. 1. A system , comprising:an extreme ultraviolet scanner;a chuck in the scanner and configured to hold a reticle during an extreme ultraviolet photolithography process;a reticle storage within the scanner and configured to store the reticle; anda reticle cleaning system within the scanner and coupled to the reticle storage.2. The system of claim 1 , wherein the reticle cleaning system is configured to clean a backside of the reticle.3. The system of claim 2 , wherein the reticle cleaning system is configured to measure a height of a debris particle on the backside of the reticle.4. The system of claim 3 , wherein the reticle cleaning system includes an optical measurement system that measures the height of the debris particle.5. The system of claim 3 , wherein the reticle cleaning system includes a cleaning member having a cleaning tip configured to remove the debris particle from the backside of the reticle by contacting the debris particle.6. The system of claim 5 , wherein the reticle cleaning system is configured to remove the debris particle by maneuvering the cleaning tip to a distance above the backside of the reticle corresponding to the height of the debris particle responsive to measuring the height of the debris particle.7. The system of claim 5 , wherein the cleaning tip includes an adhesive material configured to adhere to the debris particle.8. The system of claim 1 , further comprising a reticle backside inspection module coupled to the reticle storage within the scanner.9. The system of claim 8 , wherein the reticle backside inspection module is configured to inspect a ...

Подробнее
22-09-2022 дата публикации

MODULE VESSEL WITH SCRUBBER GUTTERS SIZED TO PREVENT OVERFLOW

Номер: US20220299891A1
Принадлежит:

An extreme ultraviolet (EUV) source includes a module vessel and a scrubber system. The scrubber system may include a plurality of gutters in the module vessel. The plurality of gutters may include a first gutter and a second gutter. The second gutter may be lower than the first gutter in the module vessel. A unit volume of the second gutter is larger than a unit volume of the first gutter. 1. An extreme ultraviolet (EUV) source , comprising:a module vessel; and wherein the second gutter is lower than the first gutter in the module vessel, and', 'wherein a unit volume of the second gutter is larger than a unit volume of the first gutter., 'a plurality of gutters in the module vessel, the plurality of gutters including a first gutter and a second gutter,'}, 'a scrubber system including2. The EUV source of claim 1 , wherein the scrubber system includes:a drain path that extends between the plurality of gutters and from a top gutter of the plurality of gutters to a bottom gutter of the plurality of gutters; and wherein each gutter includes a respective drain hole of the plurality of drain holes, and', 'wherein the plurality of drain holes progressively increase in size from the top gutter to the bottom gutter., 'a plurality of drain holes connecting the drain path between the plurality of gutters,'}3. The EUV source of claim 2 , wherein the plurality of drain holes progressively increase by approximately 10 millimeters to approximately 20 millimeters in size from the top gutter to the bottom gutter.4. The EUV source of claim 1 , wherein a unit volume of a lowest gutter of the plurality of gutters is larger than a unit volume of each other gutter of the plurality of gutters.5. The EUV source of claim 1 , wherein a unit volume of a highest gutter of the plurality of gutters is approximately equal to or is smaller than a unit volume of each other gutter of the plurality of gutters.6. The EUV source of claim 1 , wherein the scrubber system includes a plurality of vane ...

Подробнее
14-05-2020 дата публикации

Chemical supply structure and a developing apparatus having the same

Номер: US20200150539A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A chemical supply structure includes a bar-shaped body having a plurality of chemical reservoirs in which a plurality of chemicals is individually stored such that the body partially crosses an underlying substrate, a bar-shaped nozzle protruded from a bottom surface of the body and injecting injection chemicals onto the substrate, a plurality of the chemicals being mixed into the injection chemicals, and a hydrophobic unit arranged on the bottom surface of the body and on a side surface of the nozzle such that a mixed solution mixed with the injection chemicals is prevented from adhering to the bottom surface and the side surface by controlling a contact angle of the mixed solution with respect to the bottom surface and the side surface.

Подробнее
14-05-2020 дата публикации

A system, a lithographic apparatus, and a method for reducing oxidation or removing oxide on a substrate support

Номер: US20200150549A1
Принадлежит: ASML Netherlands BV

A system including: a substrate support configured to hold a substrate; a conductive or semi-conductive element contacting the substrate support and covering at least part of the substrate support; and a charging device configured to apply a positive potential to the conductive or semi-conductive element with respect to the part of the substrate support that is covered by the conductive or semi-conductive element.

Подробнее
14-05-2020 дата публикации

Methods and apparatus for removing contamination from lithographic tool

Номер: US20200150550A1

Embodiments described herein provide a method for cleaning contamination from sensors in a lithography tool without requiring recalibrating the lithography tool. More particularly, embodiments described herein teach cleaning the sensors using hydrogen radicals for a short period while the performance drifting is still above the drift tolerance. After a cleaning process described herein, the lithography tool can resume production without recalibration.

Подробнее
23-05-2019 дата публикации

APPARATUS AND METHOD FOR CLEANING RETICLE STAGE

Номер: US20190155178A1
Принадлежит:

An apparatus for cleaning an electrostatic reticle holder used in a lithography system includes a chamber for providing a low pressure environment for the electrostatic reticle holder and an ultrasound transducer configured to apply ultrasound waves to the electrostatic reticle holder. The apparatus further includes a controller configured to control the ultrasound transducer and a gas flow controller. The gas flow controller is configured to enable pressurizing or depressurizing the chamber. 1. An apparatus for lithography comprising:a chamber providing a low-pressure environment and having a gas flow controller configured to enable pressurizing or depressurizing the chamber;an electrostatic reticle holder disposed in the chamber, the electrostatic reticle holder configured to secure a reticle; andan ultrasound transducer configured to apply ultrasound waves to the electrostatic reticle holder,wherein the ultrasound waves are configured to dislodge particulate matter from the electrostatic reticle holder.2. The apparatus of claim 1 , further comprising a controller configured to control the ultrasound transducer and the gas flow controller.3. The apparatus of claim 2 , wherein the controller is configured to synchronize a pressurization or a depressurization operation using the gas flow controller with an application of ultrasound waves to the electrostatic reticle holder using the ultrasound transducer.4. The apparatus of claim 1 , further comprising a reticle sensor configured to sense a presence of a reticle on the electrostatic reticle holder.5. The apparatus of claim 4 , further comprising a controller configured to control the ultrasound transducer and the gas flow controller claim 4 , and to initiate an application of ultrasound waves in response to a signal from the reticle sensor that the reticle is not on the electrostatic reticle holder.6. The apparatus of claim 1 , wherein the electrostatic reticle holder comprises a plurality of burls having a height ...

Подробнее
23-05-2019 дата публикации

Radiation source for lithography process

Номер: US20190155179A1

A method for a lithography exposure process is provided. The method includes irradiating a target droplet with a laser beam to create an extreme ultraviolet (EUV) light. The method further includes reflecting the EUV light with a collector. The method also includes discharging a cleaning gas over the collector through a gas distributor positioned next to the collector. A portion of the cleaning gas is converted to free radicals before the cleaning gas leaves the gas distributor, and the free radicals are discharged over the collector along with the cleaning gas.

Подробнее
04-09-2014 дата публикации

Lithography Mask Repair Methods

Номер: US20140246045A1
Автор: Hsieh Kun-Lung, Ko Wu Hung

Lithography mask repair methods are disclosed. In one embodiment, a method of repairing a lithography mask includes providing a lithography mask, exposing a back side of the lithography mask to vacuum ultraviolet (VUV) energy, and cleaning the lithography mask. 1. A method of repairing a lithography mask , the method comprising:providing a lithography mask including a front side and a back side opposite the front side;exposing the back side of the lithography mask to vacuum ultraviolet (VUV) energy; andcleaning the lithography mask.2. The method according to claim 1 , wherein exposing the back side of the lithography mask to the VUV energy comprises exposing the lithography mask to light at a wavelength of about 160 to about 180 nm.3. The method according to claim 1 , wherein exposing the back side of the lithography mask to the VUV energy comprises exposing the lithography mask for about 3 minutes to about 10 minutes.4. The method according to claim 1 , wherein the lithography mask has haze disposed thereon claim 1 , and wherein cleaning the lithography mask comprises removing substantially all of the haze from the lithography mask.5. The method according to claim 4 , wherein a surface of the lithography mask is hydrophobic before exposing the lithography mask to the VUV energy.6. The method according to claim 5 , wherein exposing the back side of the lithography mask to the VUV energy causes the surface of the lithography mask to become hydrophilic.7. The method according to claim 1 , wherein the lithography mask is coupled to a pellicle claim 1 , and wherein the method comprises removing the pellicle from the lithography mask after exposing the back side of the lithography mask to the VUV energy claim 1 , and then cleaning the lithography mask.8. The method according to claim 7 , wherein the lithography mask is coupled to the pellicle by an adhesive claim 7 , further comprising removing the adhesive from the lithography mask after removing the lithography mask ...

Подробнее
24-06-2021 дата публикации

Systems and Methods for Contact Immersion Lithography

Номер: US20210191276A1
Принадлежит:

The present application relates to contact immersion lithography exposure units and methods of their use. An example contact exposure unit includes a container configured to contain a fluid material and a substrate disposed within the container. The substrate has a first surface and a second surface, and the substrate includes a photoresist material on at least the first surface. The contact exposure unit includes a photomask disposed within the container. The photomask is optically coupled to the photoresist material by way of a gap comprising the fluid material. The contact exposure unit also includes an inflatable balloon configured to be controllably inflated so as to apply a desired force to the second surface of the substrate to controllably adjust the gap between the photomask and the photoresist material. 1. An exposure unit comprising:a container configured to contain a fluid material;a photomask disposed inside the container; andat least one mask cleaning device disposed inside the container, wherein the at least one mask cleaning device is configured to clean at least one surface of the photomask.2. The exposure unit of claim 1 , wherein the at least one mask cleaning device comprises at least one fluid jet.3. The exposure unit of claim 2 , wherein the at least one fluid jet comprises one or more nozzles configured to remove debris from at the at least one surface of the photomask.4. The exposure unit of claim 1 , wherein the at least one mask cleaning device comprises at least one wiper device.5. The exposure unit of claim 4 , wherein the at least one wiper device comprises a pin-and-bar wiper arrangement claim 4 , a rotary wiper claim 4 , or a roller wiper.6. The exposure unit of claim 1 , wherein the at least one mask cleaning device is configured to clean both sides of the photomask.7. The exposure unit of claim 1 , further comprising a fluid feeding and cleaning unit claim 1 , wherein the fluid feeding and cleaning unit comprises one or more filters. ...

Подробнее
24-06-2021 дата публикации

LITHOGRAPHY SYSTEM AND CLEANING METHOD THEREOF

Номер: US20210191284A1

A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. 1. A lithography system , comprising: a collector;', 'a droplet generator, disposed at a side of the collector, and configured to emit droplets across a reflective side of the collector;', 'a droplet catcher, disposed at another side of the collector, and configured to catch at least a portion of the droplets emitted from the droplet generator;', 'a vessel, covering the reflective side of the collector, wherein a light reflected by the collector passes through a central passage of the vessel; and', 'a cleaning apparatus, attached to a surface of the vessel facing the collector and adjacent to the droplet catcher, wherein the cleaning apparatus is configured to remove a deposit formed by a portion of the droplets not being caught by the droplet catcher., 'a light source generator, comprising'}2. The lithography system according to claim 1 , wherein the cleaning apparatus is configured to remove the deposit without shifting any one of the collector claim 1 , the droplet generator and the droplet catcher out of the light source generator.3. The lithography system according to claim 1 , wherein the cleaning apparatus comprises a ...

Подробнее
29-09-2022 дата публикации

METHOD OF CLEANING COLLECTOR OF EUV LIGHT SOURCE SYSTEM

Номер: US20220308339A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A method of cleaning a collector of an extreme ultraviolet light source system includes introducing the collector separated from the extreme ultraviolet light source system into a chamber; capturing an optical image of a reflective surface of the collector; measuring a contamination level of the reflective surface by comparing the optical image with a prestored standard image; performing a first cleaning operation if the contamination level exceeds a preset first reference value, the first cleaning operation including cleaning the reflective surface by spraying dry ice particles onto the reflective surface; and performing a second cleaning operation if the contamination level is less than or equal to the preset first reference value. The second cleaning operation includes cleaning the reflective surface by radiating atmospheric plasma onto the reflective surface and measuring a microcontamination level and a damage level of the reflective surface. 1. A method of cleaning a collector of an extreme ultraviolet light source system , comprising:introducing the collector, separated from the extreme ultraviolet light source system, into a chamber;capturing an optical image of a reflective surface of the collector;measuring a contamination level of the reflective surface by comparing the optical image with a prestored standard image;performing a first cleaning process if the contamination level exceeds a preset first reference value, the first cleaning process including cleaning the reflective surface by spraying dry ice particles onto the reflective surface; andperforming a second cleaning process if the contamination level is less than or equal to the preset first reference value, the second cleaning process including cleaning the reflective surface by radiating atmospheric plasma onto the reflective surface, measuring a microcontamination level of the reflective surface and a damage level of the reflective surface,', 'generating a microcontamination level map of the ...

Подробнее
29-09-2022 дата публикации

Method for avoiding a degradation of an optical element, projection system, illumination system and projection exposure apparatus

Номер: US20220308463A1
Принадлежит: CARL ZEISS SMT GMBH

Method for avoiding a degradation of an optical element, wherein the optical element is arranged in a housing, comprising: a) determining a first degradation value; b) determining a second degradation value, wherein the first degradation value and the second degradation value are determined at different times; c) forming a degradation profile based on the first degradation value and the second degradation value; d) calculating a temporal development of the degradation profile; e) determining at least one predicted degradation value based on the calculated temporal development of the degradation profile; f) comparing the at least one predicted degradation value with a predefinable first limit degradation value; g) monitoring for a predefinable first deviation between the at least one predicted degradation value and the first limit degradation value; h) feeding a first decontamination medium into the interior if attainment of the predefinable first deviation is identified.

Подробнее