Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 4398. Отображено 197.
23-11-2017 дата публикации

ИСТОЧНИК ПЛАЗМЫ

Номер: RU2636389C2

Изобретение относится к области плазменной техники. Источник (1) плазмы, предназначенный для нанесения покрытия на подложку (9) и выполненный с возможностью соединения с источником (Р) энергии, содержит электрод (2), магнитный узел (4), находящийся на периферии упомянутого электрода и содержащий совокупность магнитов, соединенных между собой магнитной опорой (46), включающий в себя по меньшей мере первый и второй центральные магниты (43, 44) и по меньшей мере один головной магнит (45), электрически изолирующую оболочку (5), расположенную таким образом, чтобы окружать электрод и магниты. Технический результат - повышение качества покрытия путем повышения плотности и однородности плазмы. 2 н. и 18 з.п. ф-лы, 7 ил., 2 табл.

Подробнее
24-10-2013 дата публикации

Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb

Номер: DE102012103425A1
Принадлежит:

Die vorliegende Erfindung beinhaltet eine Mikrowellenplasmaerzeugungsvorrichtung mit einer Plasmakammer. Außerhalb der Plasmakammer ist wenigstens eine Mikrowellenerzeugungsvorrichtung vorgesehen, deren Mikrowellen über wenigstens eine Mikrowelleneinkoppelvorrichtung in die Plasmakammer eingekoppelt werden. Die Mikrowelleneinkoppelvorrichtung weist einen durch wenigstens eine Kammerwand der Plasmakammer in die Plasmakammer führenden Innenleiter, ein den Innenleiter einschließendes und den Innenleiter von einem Innenraum der Plasmakammer abtrennendes Isolierrohr und wenigstens einen durch die wenigstens eine Kammerwand in die Plasmakammer führenden, koaxial, aber nicht vollumfänglich um den gesamten Innenleiter vorgesehenen Außenleiter auf. Der Außenleiter besitzt in der Plasmakammer wenigstens ein Außenleiter-Ende. Der Innenleiter und der Außenleiter bilden eine Mikrowellenleitung aus, wobei in der Plasmakammer ein Austritt von Mikrowellen aus der Mikrowellenleitung zur Erzeugung eines ...

Подробнее
17-10-1968 дата публикации

Verfahren zum thermischen Abscheiden von Silizium oder eines anderen halbleitenden Elementes

Номер: DE0001444526A1
Принадлежит:

Подробнее
10-01-2018 дата публикации

Medical device with plasma modified oxide layer and method of forming such a device

Номер: GB0201719689D0
Автор:
Принадлежит:

Подробнее
25-05-2016 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0002532532A
Принадлежит:

Microwave plasma reactor (MW-CVD) for chemical vapour deposition (CVD) is described. The plasma chamber 2 forms a resonant cavity 10 for supporting primary microwave resonance mode with a primary microwave resonance mode frequency (f). A number of microwave sources are coupled to the plasma chamber 10 to generate and feed microwaves with a total microwave power (PT) into the plasma chamber 2. A gas flow system 12,14 for feeding process gases into the plasma chamber and removing them is detailed, together with a substrate holder 16 located in the plasma chamber and comprising a supporting surface for supporting a substrate 18 on which the synthetic diamond material is to be deposited in use.

Подробнее
05-10-2016 дата публикации

Microwave generators and manufacture of synthetic diamond material

Номер: GB0201614258D0
Автор:
Принадлежит:

Подробнее
29-06-2017 дата публикации

VACUUM-COATING SYSTEM FOR COATING LENSES

Номер: CA0003008547A1
Принадлежит:

The invention relates to a vacuum-coating system for coating lenses, comprising a vacuum chamber, an electrode holder (14) having one or more electrodes (16), and a lens holder receptacle (18) having one or more lens holders (17) for accommodating one lens (19) each. To each lens (19), a separate electrode (16) is assigned. A surface of the electrode (16) located opposite the lens (19) is a curved surface. In an outer region (8), the curvature of the surface of the electrode (n) (16) can be greater than in an inner region (9). The distance between the electrode (16) and the associated lens (19) can be adjustable.

Подробнее
19-06-2014 дата публикации

PLASMA SOURCE

Номер: CA0002894942A1
Принадлежит:

The present invention relates to a plasma generating device comprising a plasma source having a plasma source hollow body (1) and an electron emission unit (5) which makes it possible to emit free electrons into the plasma source hollow body, wherein the plasma source hollow body (1) has a first gas inlet (7a) and a plasma source opening (10) which forms an opening to a vacuum chamber, and further comprising an anode having an anode hollow body (2), wherein the anode hollow body (2) has a second gas inlet (7b) and an anode opening (11) which forms an opening to the vacuum chamber, and a voltage source (8) the negative pole of which is connected to the electron emission unit (5) and the positive pole of which is connected to the anode hollow body (2), wherein the positive pole of the voltage source (8) is additionally electrically connected by means of a first shunt (6a) to the plasma source hollow body.

Подробнее
30-06-2017 дата публикации

Vacuum coating system for coating lens.

Номер: CH0000711990A2
Принадлежит:

Eine Vakuumbeschichtungsanlage zum Beschichten von Linsen gemäss der Erfindung umfasst eine Vakuumkammer, eine Elektrodenhalterung (14) mit einer oder mehreren Elektroden (16) und eine Linsenhalteraufnahme (18) mit einem oder mehreren Linsenhaltern (17) zum Aufnehmen von je einer Linse (19). Jeder Linse (19) ist eine separate Elektrode (16) zugeordnet. Eine der Linse (19) gegenüberliegende Oberfläche der Elektrode (16) ist eine gekrümmte Fläche. Die Krümmung der Oberfläche der Elektrode(n) (16) kann in einem äusseren Bereich grösser als in einem inneren Bereich sein. Der Abstand zwischen der Elektrode (16) und der zugehörigen Linse (19) kann verstellbar sein.

Подробнее
29-08-2014 дата публикации

PLASMA SOURCE FOR APPLYING FINELY FILM COATINGS WITH USE OF PLASMA - CHEMICAL DEPOSITION FROM GAS PHASE (VERSIONS)

Номер: EA0201400544A1
Автор:
Принадлежит:

Подробнее
29-08-2014 дата публикации

METHOD OF APPLYING SMALL FILM COATINGS WITH USE OF PLASMA - CHEMICAL DEPOSITION FROM GAS PHASE (VERSIONS)

Номер: EA0201400545A1
Автор:
Принадлежит:

Подробнее
31-10-2017 дата публикации

APPARATUS FOR PLASMA OF THE CVD

Номер: EA0201791415A1
Автор:
Принадлежит:

Подробнее
31-10-2011 дата публикации

ИСТОЧНИК ПЛАЗМЫ И СПОСОБЫ НАНЕСЕНИЯ ТОНКОПЛЕНОЧНЫХ ПОКРЫТИЙ С ИСПОЛЬЗОВАНИЕМ ПЛАЗМЕННО-ХИМИЧЕСКОГО ОСАЖДЕНИЯ ИЗ ГАЗОВОЙ ФАЗЫ

Номер: EA0201100298A1
Принадлежит:

В заявке описаны новые источники плазмы, пригодные для использования в технологии нанесения тонкопленочных покрытий, и способы применения этих источников. В частности, в настоящем изобретении предложены новые линейные и двумерные источники плазмы, которые создают линейную и двумерную плазму соответственно, которая подходит для плазменно-химического осаждения из газовой фазы. В настоящем изобретении также предложены способы изготовления тонкопленочных покрытий и способы повышения эффективности этих способов нанесения покрытия.

Подробнее
29-04-2015 дата публикации

Method and apparatus of growing metal-free and low stress thick film of diamond-like carbon

Номер: CN104576327A
Автор: ZHONGHUI ALEX WANG
Принадлежит:

Подробнее
21-12-2018 дата публикации

PLASMA REACTOR HAVING DIVIDED ELECTRODES

Номер: CN0109072421A
Автор: KIM DONG-SOO, JOO MIN-SU
Принадлежит:

Подробнее
13-08-2021 дата публикации

VACUUM PROCESSING DEVICE

Номер: CN113261390A
Принадлежит:

This vacuum processing device performs plasma processing. The vacuum processing device has: an electrode flange connected to a high-frequency power supply; a shower plate that is set apart from and faces the electrode flange, the shower plate serving as a cathode together with the electrode flange; an insulation shield provided around the shower plate; a processing chamber in which a substrate being processed is disposed on the side of the shower plate that is opposite from the electrode flange; an electrode frame attached to the shower-plate side of the electrode flange; and a slide plate attached to the peripheral edge part, on the electrode-frame side, of the shower plate. The shower plate is formed so as to have a substantially rectangular outline. The electrode frame and the slide plate can be made to slide in correspondence with thermal deformation occurring when the temperature of the shower plate increases or decreases, and the space surrounded by the shower plate, the electrode ...

Подробнее
17-06-1966 дата публикации

Improvements with the methods of formation of layers

Номер: FR0001442502A
Автор:
Принадлежит:

Подробнее
30-04-1965 дата публикации

A method for depositing silicon or another semiconductor element

Номер: FR0001397154A
Автор:
Принадлежит:

Подробнее
01-10-2009 дата публикации

GAS BAFFLE AND DISTRIBUTOR FOR SEMICONDUCTOR PROCESSING CHAMBER

Номер: KR0100919538B1
Автор:
Принадлежит:

Подробнее
01-11-2019 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: KR0102039454B1
Автор:
Принадлежит:

Подробнее
22-04-2020 дата публикации

Polishing electrostatic substrate support geometries

Номер: KR1020200042027A
Принадлежит:

Подробнее
30-04-2019 дата публикации

Номер: KR1020190044260A
Автор:
Принадлежит:

Подробнее
09-04-2014 дата публикации

APPARATUS FOR PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION

Номер: KR1020140043261A
Автор:
Принадлежит:

Подробнее
11-09-2008 дата публикации

SUCTION DEVICE FOR A PLASMA COATING CHAMBER, CAPABLE OF UNIFORMLY COATING A SUBSTRATE THROUGH A PLASMA ENHANCED COATING PROCESS

Номер: KR1020080082539A
Принадлежит:

PURPOSE: A suction device for a plasma coating chamber is provided to supply a frame including cavity having one or several suction holes capable of sucking a medium in a gas state or a suction channel. CONSTITUTION: Two electrodes(2,3) are provided. A substrate(4) is disposed on one of the electrodes. The electrode serves as a conveyance element for the substrate. The conveyance element conveys the substrate. The electrodes are vertically or horizontally disposed. Plasma between the electrodes is ignited by a power(5). The plasma excites a chemical reaction for a coating material having a gas state and enhances coating. The coating material is deposited on the substrate before the chemical reaction. © KIPO 2008 ...

Подробнее
01-12-2017 дата публикации

PE-ALD methods with reduced quartz-based contamination

Номер: TW0201741493A
Принадлежит:

Methods of performing PE-ALD on a substrate with reduced quartz-based contamination are disclosed. The methods include inductively forming in a quartz plasma tube a hydrogen-based plasma from a feed gas that consists essentially of either hydrogen and nitrogen or hydrogen, argon and nitrogen. The nitrogen constitutes 2 vol% or less of the feed gas. The hydrogen-based plasma includes one or more reactive species. The one or more reactive species in the hydrogen-based plasma are directed to the substrate to cause the one or more reactive species to react with a initial film on the substrate. The trace amounts of nitrogen serve to reduce the amount of quartz-based contamination in the initial film as compared to using no nitrogen in the feed gas.

Подробнее
27-08-2019 дата публикации

CHAMBER CLEANING AND SEMICONDUCTOR ETCHING GASES

Номер: SG10201906117XA
Принадлежит:

OF THE DISCLOSURE The present invention relates to fluoroolefin compositions useful as gases for CVD semiconductor manufacture, particularly for etching applications including methods for removing surface deposits from the interior of a chemical vapor deposition chamber by using an activated gas mixture, and methods for etching the surface of a semiconductor. [NO FIGURE] ...

Подробнее
30-10-2019 дата публикации

A PROCESS FOR ETCHING, AND CHAMBER CLEANING AND A GAS THEREFOR

Номер: SG10201907760VA
Принадлежит:

A PROCESS FOR ETCHING, AND CHAMBER CLEANING AND A GAS THEREFOR The present invention relates to a process for cleaning chambers of apparatus used for semiconductor manufacturing with a gas mixture comprising or consisting of fluorine, nitrogen and argon as well as said gas mixtures. No Fig.

Подробнее
25-03-2010 дата публикации

LARGE BATCH PRODUCTION OF THIN PHOTOVOLTAIC MODULES

Номер: WO2010033713A2
Принадлежит:

A substrate carrier for use in a coating system includes a plurality of electrodes having a set of rf electrodes and a set of ground electrodes, a carrier frame for holding the plurality of electrodes, and a plurality of substrates held upon the plurality of electrodes. Characteristically, the electrodes are arranged substantially parallel to each other in an order alternating between rf electrode and ground electrode and the frame defines a front opening and a rear opening.

Подробнее
19-08-2010 дата публикации

MIGRATION AND PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION

Номер: WO2010092482A2
Принадлежит:

A method of producing a thin film using plasma enhanced chemical vapor deposition, including the steps of supplying a cation species to a substrate region when there is at most a relatively low flux of a plasma based anion species in the substrate region, and supplying the plasma based anion species to the substrate region when there is at most a relatively low flux of the cation species in the substrate region. This enables delivery of gaseous reactants to be separated in time in PECVD and/or RPECVD based film growth systems, which provides a significant reduction in the formation of dust particles for these plasma based film growth techniques.

Подробнее
01-01-2015 дата публикации

MULTIPLE-MODE PLASMA GENERATION APPARATUS

Номер: US2015000844A1
Автор: WOO JE-HO
Принадлежит:

The present invention relates to a multiple-mode plasma generation apparatus that can supply plasma for multiple processes in order to improve processing efficiency. The plasma generation apparatus may include a first plasma generation unit and a second plasma generation unit connected in series with the first plasma generation unit. Here, a gas is changed to plasma by a magnetic field generated by the first plasma generation unit and the second plasma generation unit, the first plasma generation unit is operated by a low-frequency power supply, and the second plasma generation unit is operated by a high-frequency power supply.

Подробнее
15-02-2022 дата публикации

Multi-layer plasma resistant coating by atomic layer deposition

Номер: US0011251023B2
Принадлежит: APPLIED MATERIALS, INC.

Described herein are articles, systems and methods where a plasma resistant coating is deposited onto a surface of a chamber component using an atomic layer deposition (ALD) process. The plasma resistant coating has a stress relief layer and a layer comprising a solid solution of Y2O3—ZrO2and uniformly covers features, such as those having an aspect ratio of about 3:1 to about 300:1.

Подробнее
20-02-2001 дата публикации

High temperature, high flow rate chemical vapor deposition apparatus and related methods

Номер: US0006189482B1

The present invention provides systems, methods and apparatus for depositing titanium films at rates up to 200 Å/minute on semiconductor substrates from a titanium tetrachloride source. In accordance with an embodiment of the invention, a ceramic heater assembly with an integrated RF plane for bottom powered RF capability allows PECVD deposition at a temperature of at least 400° C. for more efficient plasma treatment. A thermal choke isolates the heater from its support shaft, reducing the thermal gradient across the heater to reduce the risk of breakage and improving temperature uniformity of the heater. A deposition system incorporates a flow restrictor ring and other features that allow a 15 liters/minute flow rate through the chamber with minimal backside deposition and minimized deposition on the bottom of the chamber, thereby reducing the frequency of chamber cleanings, and reducing clean time and seasoning. Deposition and clean processes are also further embodiments of the present ...

Подробнее
13-02-2014 дата публикации

HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING

Номер: US2014045337A1
Принадлежит:

An exemplary method is directed to powering heaters in a substrate support assembly on which a semiconductor substrate is supported. The support assembly has an array of heaters powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to a power supply and at least two of the heaters and each power return line is connected to at least two of the heaters, and a switching device which independently connects each one of the heaters to one of the power supply lines and one of the power return lines so as to provide time-averaged power to each of the heaters by time divisional multiplexing of switches of the switching device. The method includes supplying power to each of the heaters sequentially using a time-domain multiplexing scheme.

Подробнее
23-01-2014 дата публикации

PLASMA CVD APPARATUS, PLASMA CVD METHOD, REACTIVE SPUTTERING APPARATUS, AND REACTIVE SPUTTERING METHOD

Номер: US20140023796A1
Принадлежит: TOray Industries, Inc.

A plasma CVD apparatus comprising a vacuum chamber, and a main roll and a plasma generation electrode in the vacuum chamber, wherein a thin film is formed on a surface of a long substrate which is conveyed along the surface of the main roll is provided. At least one side wall extending in transverse direction of the long substrate is provided on each of the upstream and downstream sides in the machine direction of the long substrate, and the side walls surrounds the film deposition space between the main roll and the plasma generation electrode. The side walls are electrically insulated from the plasma generation electrode. The side wall on either the upstream or the downstream side in the machine direction of the long substrate is provided with at least one raw of gas supply holes formed by gas supply holes aligned in the transverse direction of the long substrate.

Подробнее
07-02-2019 дата публикации

SUBSTRATE PROCESSING METHOD AND APPARATUS

Номер: US20190043695A1
Принадлежит:

A method of processing a material layer on a substrate is provided. The method includes delivering RF power from an RF power source through a match network to a showerhead of a capacitively coupled plasma chamber; igniting a plasma within the capacitively coupled plasma chamber; measuring one or more phase angles of one or more harmonic signals of the RF power relative to a phase of a fundamental frequency of the RF power; and adjusting at least one phase angle of at least one harmonic signal of the RF power relative to the phase of the fundamental frequency of the RF power based on the one or more phase angle measurements. 1. A method of processing a material layer on a substrate , comprising: measuring one or more phase angles of one or more harmonic signals of the delivered RF power relative to a phase of a fundamental frequency of the delivered RF power; and', 'adjusting at least one phase angle of at least one harmonic signal of the delivered RF power relative to the phase of the fundamental frequency of the delivered RF power based on the one or more phase angle measurements., 'delivering RF power from an RF power source through a match network to a showerhead to ignite a plasma within a processing region of a capacitively coupled plasma chamber, wherein delivering RF power comprises2. The method of claim 1 , wherein the at least one phase angle adjusted is a phase angle of a second-order harmonic signal or a third-order harmonic signal of the delivered RF power.3. The method of claim 1 , wherein adjusting the at least one phase angle of the at least one harmonic signal of the delivered RF power relative to the phase of the fundamental frequency of the delivered RF power is also based on the particular material layer being processed.4. The method of claim 1 , wherein the at least one phase angle is adjusted by modifying a capacitance or an inductance in the match network.5. The method of claim 1 , further comprising matching an impedance of the RF power source ...

Подробнее
26-01-2021 дата публикации

Substrate processing chamber with showerhead having cooled faceplate

Номер: US0010900124B2
Принадлежит: LAM RESEARCH CORPORATION, LAM RES CORP

A showerhead for a substrate processing chamber includes: inner walls; an inner plenum between the inner walls; and a faceplate having a first surface and a second surface that is opposite the first surface. Holes through the faceplate extend from the first surface to the second surface. A first inlet is fluidly connected to the inner plenum. A first outer plenum is between the inner walls and outer walls. A second outer plenum is between the inner walls and the outer walls. Coolant: fluidly connect the first outer plenum with the second outer plenum; are located within the faceplate between the first and second surfaces; and are fluidly isolated from the holes. The showerhead also includes a second inlet that is fluidly connected to the first outer plenum.

Подробнее
26-01-2021 дата публикации

Inductive coil structure and inductively coupled plasma generation system

Номер: US0010903046B2
Принадлежит: EN2CORE technology, Inc., EN2CORE TECH INC

An inductively-coupled plasma (ICP) generation system may include a dielectric tube, a first inductive coil structure to enclose the dielectric tube, an RF power supply, a first main capacitor between a positive output terminal of the RF power supply and one end of the first inductive coil structure, and a second main capacitor between a negative output terminal of the RF power supply and an opposite end of the first inductive coil structure. The first inductive coil structure may include inductive coils connected in series to each other and placed at different layers, the inductive coils having at least one turn at each layer, and auxiliary capacitors, which are respectively provided between adjacent ones of the inductive coils to distribute a voltage applied to the inductive coils.

Подробнее
09-01-2018 дата публикации

Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber

Номер: US0009865431B2

Embodiments of the present invention relate to apparatus for enhancing deposition rate and improving a plasma profile during plasma processing of a substrate. According to embodiments, the apparatus includes a tuning electrode disposed in a substrate support pedestal and electrically coupled to a variable capacitor. The capacitance is controlled to control the RF and resulting plasma coupling to the tuning electrode. The plasma profile and the resulting deposition rate and deposited film thickness across the substrate are correspondingly controlled by adjusting the capacitance and impedance at the tuning electrode.

Подробнее
24-11-2016 дата публикации

Textured Silicon Liners In Substrate Processing Systems

Номер: US20160343545A1
Принадлежит:

Substrate processing systems, such as ion implantation systems, deposition systems and etch systems, having textured silicon liners are disclosed. The silicon liners are textured using a chemical treatment that produces small features, referred to as micropyramids, which may be less than 20 micrometers in height. Despite the fact that these micropyramids are much smaller than the textured features commonly found in graphite liners, the textured silicon is able to hold deposited coatings and resist flaking. Methods for performing preventative maintenance on these substrate processing systems are also disclosed.

Подробнее
22-06-2017 дата публикации

SYSTEMS AND METHODS ENABLING LOW DEFECT PROCESSING VIA CONTROLLED SEPARATION AND DELIVERY OF CHEMICALS DURING ATOMIC LAYER DEPOSITION

Номер: US20170175269A1
Принадлежит:

A gas delivery system includes a first valve including an inlet that communicates with a first gas source. A first inlet of a second valve communicates with an outlet of the first valve and a second inlet of the second valve communicates with a second gas source. An inlet of a third valve communicates with a third gas source. A connector includes a first gas channel and a cylinder defining a second gas channel. The cylinder and the first gas channel collectively define a flow channel between an outer surface of the cylinder and an inner surface of the first gas channel. The flow channel communicates with the outlet of the third valve and the first end of the second gas channel. A third gas channel communicates with the second gas channel, with the outlet of the second valve and with a gas distribution device of a processing chamber.

Подробнее
12-05-2020 дата публикации

Auto-calibration to a station of a process module that spins a wafer

Номер: US0010651065B2
Принадлежит: Lam Research Corporation, LAM RES CORP

A method for calibration including determining a temperature induced offset in a pedestal of a process module under a temperature condition for a process. The method includes delivering a wafer to the pedestal of the process module by a robot, and detecting an entry offset. The method includes rotating the wafer over the pedestal by an angle. The method includes removing the wafer from the pedestal by the robot and measuring an exit offset. The method includes determining a magnitude and direction of the temperature induced offset using the entry offset and exit offset.

Подробнее
13-08-2019 дата публикации

Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage

Номер: US0010378109B2
Принадлежит: Novellus Systems, Inc., NOVELLUS SYSTEMS INC

A substrate processing system includes a processing chamber including a showerhead, a plasma power source and a pedestal spaced from the showerhead to support a substrate. A filter is connected between the showerhead and the pedestal. A variable bleed current circuit is connected between the filter and the pedestal to vary a bleed current. A controller is configured to adjust a value of the bleed current and configured to perform curve fitting based on the bleed current and DC self-bias voltage to estimate at least one of electrode area ratio, Bohm current, and radio frequency (RF) voltage at a powered electrode.

Подробнее
04-10-2022 дата публикации

Techniques and device structures based upon directional dielectric deposition and bottom-up fill

Номер: US0011459652B2
Принадлежит: Applied Materials, Inc.

Embodiments herein include void-free material depositions on a substrate (e.g., in a void-free trench-filled (VFTF) component). In some embodiments, a method may include providing a plurality of device structures extending from a base, each of the plurality of device structures including a first sidewall opposite a second sidewall and a top surface extending between the first and second sidewalls, and providing a seed layer over the plurality of device structures. The method may further include forming a dielectric layer along just the top surface and along an upper portion of the first and second sidewalls using an angled deposition delivered to the plurality of device structures at a non-zero angle of inclination relative to a perpendicular extending from an upper surface of the base, and forming a fill material within one or more trenches defined by the plurality of device structures.

Подробнее
27-06-2023 дата публикации

Electrostatic-chuck heater

Номер: US0011688590B2
Автор: Yutaka Unno, Reo Watanabe
Принадлежит: NGK INSULATORS, LTD.

An electrostatic-chuck heater is of a Johnsen-Rahbek type and is used in a process of forming a conductive film on a wafer. The electrostatic-chuck heater includes a disc-shaped ceramic base including an electrostatic electrode and a heating resistor, and a hollow shaft attached to a side of the ceramic base that is opposite a side having a wafer-mounting surface. A through-hole extends in a peripheral wall of the hollow shaft from a lower end through to an area of the wafer-mounting surface that is on an inner side with respect to a circular groove. The through-hole allows gas to be supplied from the lower end of the hollow shaft into a below-wafer space enclosed by the wafer-mounting surface, an outermost projection group, and the wafer mounted on the wafer-mounting surface.

Подробнее
26-10-2023 дата публикации

METHOD FOR PROTECTING APPARATUS FROM ETCHING SUBSTANCES AND METHOD FOR FORMING OXIDE FILM

Номер: US20230343562A1
Автор: Kwang-Ki KIM, Bi-O LIM
Принадлежит: TES CO., LTD

There is provided a method of protecting an apparatus from an etching material. The method includes: (a) forming a protective layer on an exposed surface of an apparatus; (b) forming a seasoning layer on the protective layer; (c) performing a deposition process on a wafer that is inserted into the apparatus in which the protective layer and the seasoning layer are formed; (d) removing a deposition film on the seasoning layer of the apparatus in the deposition process and the seasoning layer, with a first etching material; and (e) removing the protective layer, with a second etching material.

Подробнее
21-11-2023 дата публикации

Plasma generation apparatus, deposition apparatus using the same, and deposition method

Номер: US0011823865B2
Автор: Hitoshi Kato
Принадлежит: Tokyo Electron Limited

A plasma generation apparatus includes a housing fitted in a portion of an upper surface of a process chamber of a deposition apparatus and having a protruding portion having an elongated shape in a plan view and protruding upward from a bottom surface, a coil wound around a side surface of the protruding portion and having an elongated shape in the plan view, and an inclination adjustment mechanism configured to independently move upward and downward both ends in a longitudinal direction of the coil to change an inclination of the coil in the longitudinal direction.

Подробнее
25-06-2024 дата публикации

Flim forming method of carbon-containing film by microwave plasma

Номер: US0012018375B2

There is provided a film forming method of forming a carbon-containing film by a microwave plasma from a microwave source, the film forming method including: a dummy step of performing a dummy process by generating plasma of a first carbon-containing gas within a processing container; a placement step of placing a substrate on a stage within the processing container; and a film forming step of forming the carbon-containing film on the substrate using plasma of a second carbon-containing gas.

Подробнее
20-06-1997 дата публикации

СПОСОБ ЗАЖИГАНИЯ СВД-ПЛАЗМЫ (ВАРИАНТЫ)

Номер: RU2082283C1
Принадлежит: Шотт Глазверке (DE)

Существо изобретения: в реакционной камере плазму зажигают на выходе газа из реакционной камеры посредством по меньшей мере, кратковременно приложенного высокого напряжения. Это позволяет повысить качество покрытия. Используются импульсы высокого напряжения или низкочастотные высокоамплитудные напряжения с частотами в диапазоне 10-100 кГц. Высокое напряжение синхронизируется с микроволновыми импульсами. В другом варианте способа микроволновые импульсы по меньшей мере в их начале кратковременно превышаются. Возможны также периодические превышения микроволновых импульсов. 2 с. и 9, з.п.ф-лы, 7 ил.

Подробнее
16-07-2018 дата публикации

Номер: RU2016148886A3
Автор:
Принадлежит:

Подробнее
01-03-2017 дата публикации

Microwave generators and manufacture of synthetic diamond material

Номер: GB0002541809A
Принадлежит:

A microwave generator system suitable for use in a microwave plasma enhanced chemical vapour deposition (MPECVD) system, the microwave generator system comprising: a microwave generator unit 6 configured to produce microwaves at an operating power output suitable for fabricating synthetic diamond material via a chemical vapour deposition process; a fault detection system configured to detect a fault in the microwave generator unit which results in a reduction in the operating power output or a change in frequency; and a re-start system configured to rapidly restart the microwave generator unit in response to a fault being detected and recover the operating power output or frequency in a time period of less than 10 seconds after the fault in the microwave generator unit which caused the reduction in the operating power output or the change in frequency. The microwave generator unit may be a magnetron. Stored energy may be diverted if a fault is detected. The fault detection system may detect ...

Подробнее
02-02-2011 дата публикации

A microwave plasma reactor for diamond synthesis

Номер: GB0201021860D0
Автор:
Принадлежит:

Подробнее
25-01-2012 дата публикации

A microwave plasma reactor for manufacturing synthetic diamom d material

Номер: GB0201121492D0
Автор:
Принадлежит:

Подробнее
21-08-2013 дата публикации

Method and system for the scale up of plasma induced surface functionality

Номер: GB0201312075D0
Автор:
Принадлежит:

Подробнее
25-07-2016 дата публикации

PLASMA SOURCE

Номер: UA0000112145C2
Автор:
Принадлежит:

Подробнее
30-11-2017 дата публикации

PLASMA SOURCE WITH HOLLOW CATHODE

Номер: EA0201791234A1
Автор:
Принадлежит:

Подробнее
26-04-2019 дата публикации

PROCESSING DEVICE AND MEMBER HAVING DIFFUSION PATH

Номер: CN0109686643A
Автор: YAMASHITA JUN
Принадлежит:

Подробнее
05-04-1968 дата публикации

Improvements with the methods of formation of layers

Номер: FR0000091083E
Автор:
Принадлежит:

Подробнее
06-04-2020 дата публикации

SUBSTRATE PROCESSING SYSTEM, ION IMPLANTATION SYSTEM, AND BEAMLINE ION IMPLANTATION SYSTEM

Номер: KR0102097308B1
Автор:
Принадлежит:

Подробнее
04-01-2018 дата публикации

기판처리장치

Номер: KR0101814985B1
Автор: 김재우
Принадлежит: 주식회사 테스

... 본 발명은 기판처리장치에 관한 것이다. 본 발명에 따른 기판처리장치는 내부에 반응공간이 형성된 챔버, 상기 챔버 내부에 구비되어 기판을 향해 공정가스 또는 퍼지가스를 공급하는 가스공급부, 상기 챔버 내부에 구비되어 상기 기판이 안착되는 기판지지부 및 상기 챔버의 외부에 배치되고 상기 가스공급부와 연결되어 상기 공정가스 또는 퍼지가스가 공급되는 공급라인을 따라 이동하는 상기 공정가스 또는 퍼지가스를 가열하는 가열부를 구비하는 것을 특징으로 한다.

Подробнее
23-04-2010 дата публикации

FILM FORMATION APPARATUS AND METHOD FOR SEMICONDUCTOR PROCESS AND COMPUTER-READBLE MEDIUM

Номер: KR0100954243B1
Автор:
Принадлежит:

Подробнее
03-08-2016 дата публикации

반도체 프로세싱을 위한 평탄한 히터존들을 가진 가열판

Номер: KR1020160092035A
Принадлежит:

... 반도체 플라즈마 프로세싱 장치 내의 기판 지지 어셈블리용 가열판은, 스케일가능한 멀리플렉싱 레이아웃으로 배열된 다중의 독립적으로 제어가능한 평탄한 히터존들, 및 그 평탄한 히터존들을 독립적으로 제어하고 전력공급하기 위한 전자장치를 포함한다. 가열판이 통합되는 기판 지지 어셈블리는 정전 클램핑 전극 및 온도 제어된 베이스 플레이트를 포함한다. 가열판을 제작하는 방법은 평탄한 히터존들, 전력 공급 라인들, 전력 복귀 라인들 및 비아들을 갖는 세라믹 또는 폴리머 시트들을 함께 본딩하는 단계를 포함한다.

Подробнее
11-03-2019 дата публикации

Номер: KR1020190025365A
Автор:
Принадлежит:

Подробнее
04-02-2020 дата публикации

METHOD AND APPARATUS FOR FORMING HARD MASK FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES

Номер: KR1020200011888A
Автор: FUJINO YUTAKA
Принадлежит:

Подробнее
20-10-2017 дата публикации

회전 대칭 워크피스를 유지하기 위한 자기 수단을 포함하는 고정물

Номер: KR1020170117078A
Принадлежит:

... 본 발명은 플라즈마 보조 진공 공정에 의해 처리될 워크피스 또는 부품을 유지하기 위한 고정물 시스템 및 고정물 시스템을 이용하는 방법을 설명하는데, 상기 고정물 시스템은, 워크피스 또는 부품을 유지하기에 충분히 높은 자기력을 지닌 자기장을 발생시키는 자기 수단을 포함하며, 발생된 자기장의 자기장 선이 고정물 시스템 및 워크피스의 본체 또는 부품을 포함하는 공간에 대부분 한정되는 방식으로 설계되고 배치되는 고정물 시스템의 자기 수단을 특징으로 하므로, 자기장 선에 의해 야기되는 의도하지 않은 플라즈마 불균일성의 발생이 방지된다.

Подробнее
16-05-2013 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: KR1020130050946A
Автор:
Принадлежит:

Подробнее
01-04-2018 дата публикации

Symmetric plasma process chamber

Номер: TW0201813453A
Принадлежит:

Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems.

Подробнее
01-04-2017 дата публикации

Remote plasma and electron beam generation system for a plasma reactor

Номер: TW0201712725A
Принадлежит:

Embodiments of an apparatus having an improved coil antenna assembly with a remote plasma source and an electron beam generation system that can provide enhanced plasma in a processing chamber. In one embodiment, a plasma processing chamber includes a chamber body, a lid enclosing an interior volume of the chamber body, a substrate support disposed in the interior volume, a dual inductively coupled source including a coil antenna assembly coupled to the chamber body through the lid, and a remote plasma source coupled to the chamber body through the lid.

Подробнее
16-08-2005 дата публикации

Method of improving post-develop photoresist profile on a deposited dielectric film

Номер: TW0200526808A
Принадлежит:

A method and apparatus for improving the post-development photoresist profile on a deposited dielectric film. The method includes depositing a TERA film having tunable optical and etch resistant properties on a substrate using a plasma-enhanced chemical vapor deposition process and post processing the TERA film using a plasma process. The apparatus includes a chamber having an upper electrode coupled to a first RF source and a substrate holder coupled to a second RF source; and a showerhead for providing multiple precursors and process gasses.

Подробнее
16-07-2021 дата публикации

Method for using deposition system

Номер: TW202127519A
Принадлежит:

A method includes loading a wafer into a processing chamber, wherein the processing chamber is wound by a coil, and the coil is coupled to an RF system; supplying an aromatic hydrocarbon precursor into the processing chamber; after supplying the aromatic hydrocarbon precursor, turning on an RF power of the RF system to decompose the aromatic hydrocarbon precursor into active radicals and cyclize the active radicals into a graphene layer over a metal layer on the wafer; and after an entirety of the metal layer being covered by the graphene layer, turning off the RF power of the RF system to stop forming the graphene layer.

Подробнее
16-10-2013 дата публикации

Method to detect wafer arcing in semiconductor manufacturing equipment

Номер: TW0201342513A
Принадлежит:

Methods and systems for accurate arc detection in semiconductor manufacturing tools are disclosed. Such methods and systems provide real-time arc detection and near real-time notification for corrective actions during a semiconductor manufacturing process. Such methods and systems utilize data with high sample rate and wavelet analysis to provide for more accurate arc detection, which leads to more effective and cost efficient semiconductor manufacturing operations.

Подробнее
01-07-2020 дата публикации

Methods for depositing dielectric material

Номер: TW0202025283A
Принадлежит:

Embodiments of the present invention provide an apparatus and methods for depositing a dielectric material using RF bias pulses along with remote plasma source deposition for manufacturing semiconductor devices, particularly for filling openings with high aspect ratios in semiconductor applications. In one embodiment, a method of depositing a dielectric material includes providing a gas mixture into a processing chamber having a substrate disposed therein, forming a remote plasma in a remote plasma source and delivering the remote plasma to an interior processing region defined in the processing chamber, applying a RF bias power to the processing chamber in pulsed mode, and forming a dielectric material in an opening defined in a material layer disposed on the substrate in the presence of the gas mixture and the remote plasma.

Подробнее
01-05-2007 дата публикации

Method of improving post-develop photoresist profile on a deposited dielectric film

Номер: TWI280289B
Автор:
Принадлежит:

A method and apparatus for improving the post-development photoresist profile on a deposited dielectric film. The method includes depositing a TERA film having tunable optical and etch resistant properties on a substrate using a plasma-enhanced chemical vapor deposition process and post processing the TERA film using a plasma process. The apparatus includes a chamber having an upper electrode coupled to a first RF source and a substrate holder coupled to a second RF source; and a showerhead for providing multiple precursors and process gasses.

Подробнее
21-12-2013 дата публикации

Plasma chemical vapor deposition apparatus

Номер: TWI419993B
Принадлежит: ULVAC INC, ULVAC, INC.

Подробнее
06-07-2017 дата публикации

PROCESS GAS MANAGEMENT FOR AN INDUCTIVELY-COUPLED PLASMA DEPOSITION REACTOR

Номер: US20170191164A1
Принадлежит:

Embodiments related to hardware and methods for processing a semiconductor substrate are disclosed. One example film deposition reactor includes a process gas distributor including a plasma gas-feed inlet located to supply plasma gas to a plasma generation region within the film deposition reactor and a precursor gas-feed inlet located to supply film precursor gas downstream of the plasma generation region; an insulating confinement vessel configured to maintain a plasma generation region at a reduced pressure within the film deposition reactor and an inductively-coupled plasma (ICP) coil arranged around a portion of a sidewall of the insulating confinement vessel and positioned so that the sidewall separates the plasma generation region from the ICP coil; and a susceptor configured to support the semiconductor substrate so that a film deposition surface of the semiconductor substrate is exposed to a reaction region formed downstream of the process gas distributor.

Подробнее
20-08-2019 дата публикации

Film forming method, boron film, and film forming apparatus

Номер: US0010388524B2
Принадлежит: TOKYO ELECTRON LIMITED, TOKYO ELECTRON LTD

There is provided a method of forming a boron film on a substrate on which a semiconductor device is formed, by plasmarizing a reaction gas containing a boron-containing gas under a process atmosphere regulated to a pressure which falls within a range of 0.67 to 33.3 Pa (5 to 250 mTorr). The boron film is formed on a substrate on which a semiconductor device is formed, by plasmarizing a reaction gas containing a boron-containing gas under a process atmosphere regulated to a pressure which falls within a range of 0.67 to 33.3 Pa (5 to 250 mTorr).

Подробнее
19-10-2017 дата публикации

Semiconductor Fabrication Apparatus Including a Plurality of Reaction Containers and Methods of Forming Layers on Semiconductor Substrate Using the Same

Номер: US20170298507A1
Принадлежит:

A semiconductor fabrication apparatus can include a plurality of reaction containers that can be coupled together to provide a plurality of sequential respective stages in a process of generating a process gas for semiconductor fabrication, where each reaction container can include a respective semiconductor fabrication solid source material in a respective configuration that is different than in others of the reaction containers.

Подробнее
27-05-2021 дата публикации

EDGE UNIFORMITY TUNABILITY ON BIPOLAR ELECTROSTATIC CHUCK

Номер: US20210159107A1
Принадлежит: Applied Materials, Inc.

Embodiments of the present technology may include an electrostatic chuck. The chuck may include a top surface, defining a recessed portion of the chuck. The recessed portion of the chuck may be configured to support a substrate. The chuck may further include a first electrode and a second electrode. The first electrode and the second electrode may be disposed within the chuck. The first electrode and the second electrode may be substantially coplanar. In addition, the chuck may include a third electrode. The third electrode may be disposed within the chuck. Furthermore, the third electrode may have an annular shape. The third electrode may be separated from the first electrode and the second electrode. In addition, the third electrode may be substantially parallel to the first electrode and the second electrode. Systems and methods including the electrostatic chuck are also described.

Подробнее
27-11-1990 дата публикации

Plasma processing apparatus with a lisitano coil

Номер: US0004973883A1

A plasma processing apparatus and method is equipped with a vacuum chamber, helmholtz coils, a microwave generator and gas feeding systems. The microwave generator consists of a lisitano coil which is capable of emitting a microwave in the TE011 mode. In the light of such a microwave, a high quality film can be deposited.

Подробнее
03-08-1993 дата публикации

Apparatus for forming deposited films with microwave plasma CVD method

Номер: US0005232507A1
Принадлежит: Canon Kabushiki Kaisha

An apparatus for forming deposited films with a microwave plasma CVD method comprises a reactor vessel within which the pressure can be reduced, means for supplying a source gas into the reactor vessel, means for introducing the microwave into the reactor vessel and exciting a microwave discharge plasma, and means for holding a plurality of substrates so as to enclose a discharge space formed within the reactor vessel, and is characterized by comprising a holding member holding together dielectric windows for introducing the microwave into the reactor vessel, substrates for the formation of deposited films disposed so as to surround the dielectric windows and a cooling device for cooling the dielectric windows, and conveying means for conveying the holding member into and out of the reactor vessel in a vacuum atmosphere.

Подробнее
03-03-2020 дата публикации

Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition

Номер: US0010580624B2

The present invention provides novel plasma sources useful in the thin film coating arts and methods of using the same. More specifically, the present invention provides novel linear and two dimensional plasma sources that produce linear and two dimensional plasmas, respectively, that are useful for plasma-enhanced chemical vapor deposition. The present invention also provides methods of making thin film coatings and methods of increasing the coating efficiencies of such methods.

Подробнее
20-04-2017 дата публикации

METHOD FOR DEPOSITING DIELECTRIC FILM IN TRENCHES BY PEALD

Номер: US20170107621A1
Принадлежит:

A method for depositing a dielectric film in a trench by plasma-enhanced atomic layer deposition (PEALD) includes depositing a dielectric film in a trench of a substrate by PEALD under conditions wherein the wet etch rate of the depositing film on a top surface of the substrate is substantially equivalent to or higher than the wet etch rate of the depositing film at a sidewall of the trench, wherein a precursor fed into the reaction space has —N(CH3)2 as a functional group.

Подробнее
19-10-1993 дата публикации

Bias ECR plasma CVD apparatus comprising susceptor, clamp, and chamber wall heating and cooling means

Номер: US0005254171A
Автор:
Принадлежит:

A bias ECR plasma CVD apparatus includes an ECR plasma generating chamber and a plasma CVD chamber for forming a film on a substrate by a plasma CVD reaction. A heating device and a cooling device are provided at least in the vicinity of the substrate for maintaining the substrate and the vicinity thereof at a constant temperature. With this construction, the number of contaminant particles deposited on a surface of the substrate in forming the film on the substrate can be reduced.

Подробнее
09-01-2020 дата публикации

RESONANT STRUCTURE FOR ELECTRON CYCLOTRON RESONANT (ECR) PLASMA IONIZATION

Номер: US20200013594A1
Принадлежит:

Described herein is a technology related to a method for generating a high density plasma ionization on a plasma processing system. Particularly, the high density plasma ionization may include an electron cyclotron resonant (ECR) plasma that is utilized for semiconductor fabrication such as an etching of a substrate. The ECR plasma may be generated by a combination of electromagnetic fields from a resonant structure, radiated microwave energy from a radio frequency (RF) microwave source, and presence of a low-pressure plasma region (e.g., about 1 mTorr or less) on the plasma processing system. 1. A method of semiconductor fabrication comprising:receiving a semiconductor substrate on a substrate chuck disposed within a plasma processing chamber;flowing gas into the plasma processing chamber;radiating microwave energy from a transmission element coupled to the plasma chamber towards a source component disposed between the substrate chuck and the transmission element, the source component comprising an array of resonant elements and an array of magnets proximate to the array of resonant elements;forming a resonant circuit between the transmission element and the resonant elements based, at least in part, on an impedance of the resonant elements and frequency of the microwave energy provided by the transmission element;generating an electromagnetic field from the array of resonant elements and a magnetic field from the array of magnets based, at least in part, on forming the resonant circuit;forming plasma proximate to the source component, the plasma is formed based, at least in part, on the electromagnetic field and the magnetic field interacting with the gas in the plasma processing chamber; andtreating the substrate using the plasma.2. The method of claim 1 , wherein the plasma is formed based claim 1 , at least in part claim 1 , on the microwave energy from the transmission element.3. The method of claim 1 , wherein array of magnets comprise groups of two or more ...

Подробнее
20-09-2018 дата публикации

APPARATUS AND METHOD FOR LOADING A SUBSTRATE INTO A VACUUM PROCESSING MODULE, APPARATUS AND METHOD FOR TREATMENT OF A SUBSTRATE FOR A VACUUM DEPOSITION PROCESS IN A VACUUM PROCESSING MODULE, AND SYSTEM FOR VACUUM PROCESSING OF A SUBSTRATE

Номер: US20180265965A1
Принадлежит:

The present disclosure provides an apparatus for loading a substrate into a vacuum processing module. The apparatus includes a Bernoulli-type holder having a surface configured to face the substrate, and a gas supply configured to direct a stream of gas between the surface and the substrate, wherein the Bernoulli-type holder is configured to provide a pressure between the substrate and the surface configured for levitation of the substrate. The substrate is a large area substrate.

Подробнее
04-01-2018 дата публикации

FLEXIBLE SENSOR

Номер: US20180004318A1
Принадлежит:

Systems, apparatuses, and/or methods to manufacture and/or implement a sensor film, a composite electrode, and/or a computing device such as a flexible device. The sensor film may include a random network of metal lines and graphene interconnecting the metal lines. The composite electrode may be formed from the sensor film. In addition, the composite electrode may include a first portion including a metal layer in a graphene layer, wherein the metal layer is randomly located in the graphene layer, and a second portion excluding the metal layer and including the graphene layer. The sensor film may be patterned to include any composite electrode configuration, such as an antenna electrode configuration, a touch electrode configuration, and so on. Thus, the flexible device may include a flexible touch screen. 1. A sensor film comprising:a random network of metal lines, andgranphene interconnecting the metal lines.2. The sensor film of claim 1 , further including a flexible substrate attached to the sensor film.3. The sensor film of claim 1 , further including a composite electrode from the sensor film comprising:a first portion including a metal layer in a graphene layer, anda second portion excluding the metal layer and including the graphene layer.4. The sensor film of claim 3 , wherein one or more of the sensor film or the composite electrode is to provide a sheet resistance of about 1 ohm/square to about 10 ohm/square and a transmittance of at least about 90%.5. A composite electrode comprising:a first portion including a metal layer in a graphene layer, wherein the metal layer is randomly located in the graphene layer, anda second portion excluding the metal layer and including the graphene layer.6. The composite electrode of claim 5 , wherein the metal layer includes a transition metal.7. The composite electrode of claim 5 , wherein the graphene layer includes single-layer graphene claim 5 , bi-layer graphene claim 5 , tri-layer graphene claim 5 , few-layer ...

Подробнее
25-05-2010 дата публикации

Gas baffle and distributor for semiconductor processing chamber

Номер: US0007722719B2

Techniques of the present invention are directed to distribution of deposition gases onto a substrate. In one embodiment, a gas distributor for use in a processing chamber is provided. The gas distributor includes a body having a gas deflecting surface and a gas distributor face. The gas deflecting surface defines a cleaning gas pathway. The gas distributor face is disposed on an opposite side of the body from the gas deflecting surface and faces toward a substrate support member. The gas distributor face includes a raised step and at least one set of apertures through the raised step. The at least one set of apertures are adapted to distribute a deposition gas over a substrate positioned on the substrate support member.

Подробнее
25-04-2019 дата публикации

SUBSTRATE TREATING APPARATUS AND COMPONENTS THEREOF

Номер: US20190122869A1
Принадлежит:

A substrate treating apparatus and a component thereof are provided. The substrate treating apparatus includes a chamber having a treatment space therein, a chamber having a treatment space therein, a supporting unit to support a substrate inside the treatment space, a gas supplying unit to supply process gas into the treatment space, and a plasma source to excite the process gas inside the treatment space. The supporting unit includes a supporting plate on which the substrate is placed, and an edge ring having a ring shape, provided around the supporting plate, and formed on an upper portion thereof with a coating layer having a silicon carbide crystal developed in preferred orientation to <111> crystal direction of a beta-silicon carbide (β-SiC) crystal.

Подробнее
09-12-2021 дата публикации

METHODS FOR DEPOSITING DIELECTRIC MATERIAL

Номер: US20210384040A1
Принадлежит:

Embodiments of the present invention provide an apparatus and methods for depositing a dielectric material using RF bias pulses along with remote plasma source deposition for manufacturing semiconductor devices, particularly for filling openings with high aspect ratios in semiconductor applications. In one embodiment, a method of depositing a dielectric material includes providing a gas mixture into a processing chamber having a substrate disposed therein, forming a remote plasma in a remote plasma source and delivering the remote plasma to an interior processing region defined in the processing chamber, applying a RF bias power to the processing chamber in pulsed mode, and forming a dielectric material in an opening defined in a material layer disposed on the substrate in the presence of the gas mixture and the remote plasma.

Подробнее
27-11-2018 дата публикации

Vacuum treatment apparatus

Номер: US0010138553B2
Принадлежит: EVATEC AG

To reduce pumping time of a vacuum treatment chamber served by a transport arrangement in a transport chamber. The vacuum treatment chamber is split in a workpiece treatment compartment and in a pumping compartment in mutual free flow communication and arranged opposite each other with respect to a movement path of the transport arrangement serving the vacuum treatment chamber. The pumping compartment allows providing a pumping port of a flow cross-section area freely selectable independently from the geometry of the treatment compartment.

Подробнее
20-09-2016 дата публикации

Radical generator and molecular beam epitaxy apparatus

Номер: US0009447518B2

A radical generator includes a supply tube, a plasma-generating tube, a coil winding about an outer circumference of the plasma-generating tube, for generating an inductively coupled plasma in the plasma-generating tube, an electrode for generating a capacitively coupled plasma in the plasma-generating tube and adding the capacitively coupled plasma to the inductively coupled plasma, and a parasitic-plasma-preventing tube including a dielectric material which extends from a bottom of the plasma-generating tube to an opening of the supply tube in a space between the bottom and the opening, and a tip part thereof is inserted into the supply tube to cover an inner wall of the supply tube for preventing a generation of a parasitic plasma between the electrode and the inner wall of the supply tube.

Подробнее
22-03-2012 дата публикации

Surface wave plasma cvd apparatus and film forming method

Номер: US20120067281A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus includes a waveguide that is connected to a microwave source and formed of a plurality of slot antennae; a dielectric member that introduces microwaves emitted from the plurality of slot antennae into a plasma processing chamber to generate surface wave plasma; a moving device that reciprocatory moves a substrate-like subject of film formation such that the subject of film formation passes a film formation processing region that faces the dielectric member; and a control device that controls the reciprocatory movement of the subject of film formation by the moving device depending on film forming conditions to perform film formation on the subject of film formation.

Подробнее
13-12-2012 дата публикации

Crystalline silicon film forming method and plasma cvd apparatus

Номер: US20120315745A1
Принадлежит: Tokyo Electron Ltd

A high-quality crystalline silicon film can be formed at a high film forming rate by performing a plasma CVD process. In a crystalline silicon film forming method for forming a crystalline silicon film on a surface of a processing target object by using a plasma CVD apparatus for introducing microwave into a processing chamber through a planar antenna having a multiple number of holes and generating plasma, the crystalline silicon film forming method includes generating plasma by exciting a film forming gas containing a silicon compound represented as Si n H 2n+2 (n is equal to or larger than 2) by the microwave; and depositing a crystalline silicon film on the surface of the processing target substrate by performing the plasma CVD process with the plasma.

Подробнее
19-12-2013 дата публикации

Microwave power delivery system for plasma reactors

Номер: US20130334964A1
Принадлежит: Element Six Ltd

(EN): A microwave power delivery system for supplying microwave power to a plurality of microwave plasma reactors ( 8 ), the microwave power delivery system comprising: a tuner ( 14 ) configured to be coupled to a microwave source ( 4 ) and configured to match impedance of the plurality of microwave plasma reactors to that of the microwave source; and a waveguide junction ( 18 ) coupled to the tuner and configured to guide microwaves to and from the plurality of microwave plasma reactors, wherein the waveguide junction comprises four waveguide ports including a first port coupled to the tuner, second and third ports configured to be coupled to respective microwave plasma reactors, and a fourth port coupled to a microwave sink ( 20 ), wherein the waveguide junction is configured to evenly split microwave power input from the tuner through the first port between the second and third ports for providing microwave power to respective microwave plasma reactors, wherein the waveguide junction is configured to decouple the second and third ports thereby preventing any reflected microwaves from one of the microwave plasma reactors from feeding across the waveguide junction directly into another microwave plasma reactor causing an imbalance, wherein the waveguide junction is further configured to feed reflected microwaves received back through the second and third ports which are balanced in terms of magnitude and phase to the tuner such that they can be reflected by the tuner and re-used, and wherein the waveguide junction is further configured to feed excess reflected power which is not balanced through the fourth port into the microwave sink.

Подробнее
20-02-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140047705A1
Принадлежит: Lam Research Corp

An exemplary method for manufacturing a heating plate for a substrate support assembly includes forming holes in at least one sheet, printing a slurry of conductor powder, or pressing a precut metal foil, or spraying a slurry of conductor powder, on the at least one sheet to form the planar heater zones, the power supply lines, and power return lines. The holes in the at least one sheet are filled with a slurry of conductor powder to form power supply and power return vias. The sheets are then aligned, pressed, and bonded to form the heating plate.

Подробнее
27-03-2014 дата публикации

Vacuum treatment apparatus

Номер: US20140086711A1
Принадлежит: OC OERLIKON BALZERS AG

To reduce pumping time of a vacuum treatment chamber served by a transport arrangement in a transport chamber the vacuum treatment chamber is split into a workpiece treatment compartment and a pumping compartment in mutual free flow communication and arranged opposite each other with respect to a movement path of the transport arrangement serving the vacuum treatment chamber. The pumping compartment allows a pumping port to have a flow cross-section area that is freely selectable independently from the geometry of the treatment compartment.

Подробнее
10-04-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140096909A1
Принадлежит: Lam Research Corp

A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches.

Подробнее
01-01-2015 дата публикации

Multiple-mode plasma generation apparatus

Номер: US20150000844A1
Автор: Je-Ho Woo
Принадлежит: EQBESTECH Inc

The present invention relates to a multiple-mode plasma generation apparatus that can supply plasma for multiple processes in order to improve processing efficiency. The plasma generation apparatus may include a first plasma generation unit and a second plasma generation unit connected in series with the first plasma generation unit. Here, a gas is changed to plasma by a magnetic field generated by the first plasma generation unit and the second plasma generation unit, the first plasma generation unit is operated by a low-frequency power supply, and the second plasma generation unit is operated by a high-frequency power supply.

Подробнее
01-01-2015 дата публикации

Textured Silicon Liners In Substrate Processing Systems

Номер: US20150001391A1
Автор: Julian Blake

Substrate processing systems, such as ion implantation systems, deposition systems and etch systems, having textured silicon liners are disclosed. The silicon liners are textured using a chemical treatment that produces small features, referred to as micropyramids, which may be less than 20 micrometers in height. Despite the fact that these micropyramids are much smaller than the textured features commonly found in graphite liners, the textured silicon is able to hold deposited coatings and resist flaking. Methods for performing preventative maintenance on these substrate processing systems are also disclosed.

Подробнее
01-01-2015 дата публикации

PLASMA SOURCE AND METHODS FOR DEPOSITING THIN FILM COATINGS USING PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION

Номер: US20150002021A1
Автор: MASCHWITZ Peter
Принадлежит:

The present invention provides novel plasma sources useful in the thin film coating arts and methods of using the same. More specifically, the present invention provides novel linear and two dimensional plasma sources that produce linear and two dimensional plasmas, respectively, that are useful for plasma-enhanced chemical vapor deposition. The present invention also provides methods of making thin film coatings and methods of increasing the coating efficiencies of such methods. 1. A plasma source comprising:i) an array of n electron emitting surfaces; wherein n represents the number of electron emitting surfaces in the array; wherein n is in the range of 4 to 20; wherein each electron emitting surface is separated from at least one adjacent electron emitting surface by a gas-containing space; andii) a power source to which the electron emitting surfaces are electrically connected that is configured to supply a voltage that alternates between positive and negative; wherein each electron emitting surface is supplied with a voltage that is out of phase with the voltage supplied to at least one adjacent electron emitting surface, creating a current that flows between adjacent electron emitting surfaces; wherein the current creates a plasma between adjacent electron emitting surfaces; and wherein the plasma acts as an energy source to energize, partially decompose, or fully decompose a precursor gas.2. The plasma source of claim 1 , wherein n is in the range of 4 to 8.3. The plasma source of claim 2 , wherein n is equal to 6.4. The plasma source of claim 2 , wherein n is equal to 8.5. The plasma source of claim 1 , wherein n is equal to 10.6. The plasma source of claim 1 , wherein the electron emitting surfaces are each hollow cathodes.7. The plasma source of claim 1 , further comprising one or more manifolds or tubes configured to supply at least one of a precursor and reactant gas into the gas-containing space separating the electron emitting surfaces.8. The plasma ...

Подробнее
07-01-2016 дата публикации

PEVCD DEVICE AND METHOD USING PECVD TECHNOLOGY ON SUBSTRATE

Номер: US20160005574A1
Автор: Liu Fengju
Принадлежит:

A plasma enhanced chemical vapor deposition (PECVD) device includes a deposition box, a first electrode, and a second electrode, where the first electrode and the second electrode are arranged in the deposition box. A process chamber is arranged in the deposition box, a gas line and a pump port are respectively arranged along a first side wall and a second side wall of the deposition box, and a valve is arranged along a third side wall of the deposition box. The first electrode is arranged in an inside of the process chamber, and is connected to a radio frequency (RF) power source. A first end of the first electrode corresponds to the valve and is adjacent to the pump port. The PECVD device further includes an electrode regulating device, the electrode regulating device adjusts an angle between the first electrode and the second electrode to make a plasma airflow between the first electrode and the second electrode be even, which reduces a thickness difference of a film in different areas due to the airflow deflecting to a valve. 1. A plasma enhanced chemical vapor deposition (PECVD) device , comprising:{'b': '100', 'a deposition box ();'}{'b': 140', '130, 'a first electrode () connecting to a power source ();'}{'b': 170', '140, 'a second electrode () opposite to the first electrode (); and'}an electrode regulating device;{'b': 110', '100', '120', '160', '101', '102', '100', '150', '103', '100', '160', '102', '103', '140', '150', '160', '190', '140', '170', '140', '170, 'wherein a process chamber () is arranged in the deposition box (), a gas line () and a pump port () are arranged along a first side wall () and a second side wall () of the deposition box (), respectfully, and a valve () is arranged along a third side wall () of the deposition box (); the pump port () is arranged along a side of the second side wall () adjacent to the third side wall (); a first end of the first electrode () corresponds to the valve () and is adjacent to the pump port (); the ...

Подробнее
07-01-2016 дата публикации

PLASMA SOURCE

Номер: US20160005575A1

The invention relates to a plasma source () for depositing a coating onto a substrate (), which is connectable to a power source (P) and includes: an electrode (); a magnetic assembly () located circumferentially relative to said electrode and including a set of magnets mutually connected by a magnetic bracket () including a first and second central magnet () and at least one head magnet (); and an electrically insulating enclosure () arranged such as to surround the electrode and the magnets. 119. A plasma source () intended for the depositing of a coating on a substrate () and able to be connected to a power source (P) , comprising:{'b': 2', '3', '6', '21', '22', '23', '24', '26', '27', '28, 'a) an electrode () delimiting a discharge cavity () leading onto an aperture () opposite which the said substrate can be positioned, the cross-section of the said electrode comprising a first and a second side wall (, ) positioned either side of a bottom part (, ) provided with a central portion protruding into the said discharge cavity, the said central portion comprising a first and a second central wall (, ) and a top part () joining together the two central walls;'}{'b': 4', '46, 'claim-text': [{'b': 41', '42', '21', '22', '6, 'i) at least a first and a second side magnet (, ), the said first side magnet, respectively second side magnet, being arranged behind the said first side wall () and second side wall () respectively, in the vicinity of the said aperture (), the said side magnets being oriented such that their exposed poles have the same polarity;'}, {'b': 43', '44', '26', '27, 'ii) at least a first and a second central magnet (, ), the said first central magnet, and second central magnet respectively, being arranged behind the said first central wall () and second central wall () respectively, the said two central magnets being oriented such that their exposed pole is of opposite polarity to that of the exposed poles of the side magnets;'}, {'b': 45', '28, 'iii) at ...

Подробнее
04-01-2018 дата публикации

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер: US20180005801A1
Принадлежит:

Provided are apparatuses and methods for performing deposition and etch processes in an integrated tool. An apparatus may include a plasma processing chamber that is a capacitively-coupled plasma reactor, and the plasma processing chamber can include a showerhead that includes a top electrode and a pedestal that includes a bottom electrode. The apparatus may be configured with an RF hardware configuration so that an RF generator may power the top electrode in a deposition mode and power the bottom electrode in an etch mode. In some implementations, the apparatus can include one or more switches so that at least an HFRF generator is electrically connected to the showerhead in a deposition mode, and the HFRF generator and an LFRF generator is electrically connected to the pedestal and the showerhead is grounded in the etch mode. 1. A method of filling one or more gaps in a wafer , the method comprising:providing a wafer on a pedestal in a plasma processing chamber, wherein the wafer has one or more gaps each having a depth to width aspect ratio of greater than about 5:1;depositing, in the plasma processing chamber, a first dielectric layer in the one or more gaps via ALD;anisotropically etching with slope control, in the plasma processing chamber, the first dielectric layer; anddepositing, in the plasma processing chamber, a second dielectric layer in the one or more gaps over the first dielectric layer via ALD.2. The method of claim 1 , wherein a wafer temperature is between about 80° C. and about 400° C. while depositing the first dielectric layer claim 1 , while anisotropically etching with slope control the first dielectric layer claim 1 , and while depositing the second dielectric layer.3. The method of claim 1 , wherein a wafer temperature is greater than about 200° C. while anisotropically etching with slope control the first dielectric layer.4. The method of claim 1 , wherein anisotropically etching with slope control the first dielectric layer is performed ...

Подробнее
04-01-2018 дата публикации

SELECTIVE ATOMIC LAYER DEPOSITION WITH POST-DOSE TREATMENT

Номер: US20180005814A1
Принадлежит:

Methods and apparatuses for depositing films in high aspect ratio features and trenches using a post-dose treatment operation during atomic layer deposition are provided. Post-dose treatment operations are performed after adsorbing precursors onto the substrate to remove adsorbed precursors at the tops of features prior to converting the adsorbed precursors to a silicon-containing film. Post-dose treatments include exposure to non-oxidizing gas, exposure to non-oxidizing plasma, and exposure to ultraviolet radiation. 1. A method of processing a patterned substrate in a process chamber , the method comprising:(a) providing the patterned substrate having one or more features;(b) exposing the patterned substrate to a silicon-containing precursor under conditions allowing the silicon-containing precursor to adsorb onto surfaces of the one or more features, thereby forming an adsorbed layer of the silicon-containing precursor over the patterned substrate;(c) before exposing the patterned substrate to a reactant to form a silicon-containing film and after exposing the patterned substrate to the silicon-containing precursor, performing a post-dose treatment operation to preferentially remove the adsorbed layer at tops of the one or more features; and(d) exposing the patterned substrate to the reactant and igniting a first plasma to form the silicon-containing film over the patterned substrate.2. The method of claim 1 , wherein performing the post-dose treatment operation comprises exposing the patterned substrate to a gas selected from the group consisting of nitrogen claim 1 , argon claim 1 , hydrogen claim 1 , ammonia claim 1 , helium claim 1 , and CH claim 1 , wherein x is an integer between and including 1-5 and y is an integer between and including 4-16.3. The method of claim 2 , wherein performing the post-dose treatment operation further comprises igniting a second plasma at a plasma power less than about 6 kW.4. The method of claim 3 , wherein performing the post- ...

Подробнее
07-01-2021 дата публикации

Real-time detection of particulate matter during deposition chamber manufacturing

Номер: US20210005436A1
Принадлежит: Applied Materials Inc

Implementations disclosed describe a system that includes a deposition chamber, a light source to produce an incident beam of light, wherein the incident beam of light is to illuminate a region of the deposition chamber, and a camera to collect a scattered light originating from the illuminated region of the deposition chamber, wherein the scattered light is to be produced upon interaction of the first incident beam of light with particles inside the illuminated region of the deposition chamber. The described system may optionally have a processing device, coupled to the camera, to generate scattering data for a plurality of locations of the illuminated region, wherein the scattering data for each location comprises intensity of the scattered light originating from this location.

Подробнее
07-01-2021 дата публикации

MODULATING FILM PROPERTIES BY OPTIMIZING PLASMA COUPLING MATERIALS

Номер: US20210005500A1
Принадлежит: Applied Materials, Inc.

Embodiments of the present disclosure generally relate to a substrate processing chamber, and components thereof, for forming semiconductor devices. The processing chamber comprises a substrate support, and an edge ring is disposed around the substrate support. The edge ring comprises a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, AlO, and AlN. The material of the edge ring is selected to modulate the properties of hardmask films deposited on substrates in the processing chamber. As such, hardmask films having desired film properties can be deposited in the processing chamber without scaling up the RF power to the chamber. 1. A method for modulating properties of one or more hardmask films , comprising:depositing a first hardmask film on a first substrate and processing the first substrate at a first RF power;measuring one or more film properties of the first hardmask film;removing the first substrate from a substrate support;{'sub': 2', '3, 'swapping a first edge ring in the substrate support for a second edge ring, the second edge ring comprising a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, AlO, and AlN;'}placing a second substrate on the substrate support;depositing a second hardmask film on the second substrate and processing the second substrate at the first RF power; andmeasuring the one or more film properties of the second hardmask film.2. The method of claim 1 , wherein the second hardmask film has a change in modulus different than the first hardmask film of at least about 20% claim 1 , and wherein the second hardmask film has a change in stress different than the first hardmask film of less than about 5%.3. The method of claim 1 , wherein the second hardmask film has a change in stress different than the first hardmask film of at least about 20% claim 1 , and wherein the second ...

Подробнее
02-01-2020 дата публикации

SELECTIVE GROWTH OF METAL-CONTAINING HARDMASK THIN FILMS

Номер: US20200006073A1
Принадлежит:

Methods and apparatuses for selectively growing metal-containing hard masks are provided herein. Methods include providing a substrate having a pattern of spaced apart features, each feature having a top horizontal surface, filling spaces between the spaced apart features with carbon-containing material to form a planar surface having the top horizontal surfaces of the features and carbon-containing material, selectively depositing a metal-containing hard mask on the top horizontal surfaces of the features relative to the carbon-containing material, and selectively removing the carbon-containing material relative to the metal-containing hard mask and features. 1. A method comprising:providing a patterned semiconductor substrate having features spaced apart on an underlying material to be etched;filling spaces between the features with an ashable fill such that top horizontal surfaces of the features are exposed and sidewalls of the features contact the ashable fill;after filling the spaces between the features, selectively depositing a metal-containing hard mask on the exposed top horizontal surfaces of the features relative to the ashable fill; andremoving the ashable fill relative to the features and metal-containing hard mask.2. The method of claim 1 , wherein the filling between the features is performed to form a planar surface comprising the top horizontal surfaces of the features and the ashable fill.3. The method of claim 1 , wherein the filling between the features is done by spin-on.4. The method of claim 3 , wherein the spin-on is performed by injecting a carbon-containing fluid mixture onto the patterned semiconductor substrate followed by heat curing.5. The method of claim 3 , wherein the filling between the features is done by spin-on followed by planarization to expose the top horizontal surfaces of the features.6. The method of claim 1 , wherein the filling between the features is done by plasma enhanced chemical vapor deposition.7. The method of ...

Подробнее
08-01-2015 дата публикации

PLASMA PROCESSING DEVICE AND HIGH-FREQUENCY GENERATOR

Номер: US20150007940A1
Принадлежит: TOKYO ELECTRON LIMITED

Provided is a plasma processing device which processes an object to be processed using plasma. The plasma processing device includes: a processing container configured to perform a processing by the plasma therein; and a plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves. The plasma generation mechanism is configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator. The high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator. The signal has a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and has reduced different frequency components. 1. A plasma processing device which processes an object to be processed using plasma , the plasma processing device comprising:a processing container configured to perform a processing by the plasma therein; anda plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves, and the plasma generation mechanism being configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator,wherein the high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator, the signal having a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and having reduced different frequency components.2. The plasma processing device of claim 1 , wherein the high-frequency generator includes an isolator configured to transmit a frequency signal unidirectionally from the high-frequency ...

Подробнее
20-01-2022 дата публикации

METHOD FOR COATING METAL

Номер: US20220018021A1
Принадлежит: AGC Glass Europe

A metal substrate with a silicon oxide based layer having a thickness between 80 and 400 nm and having between 5 and 30 atom % of carbon. Also included is a process for depositing by PECVD a silicon oxide based layer, having a thickness comprised between 80 and 400 nm and comprising between 5 and 30 atom % of carbon, on a metal substrate. 1. A metal substrate comprising:a silicon oxide based layer having a thickness comprised between 80 and 400 nm and comprising between 5 and 30 atom % of carbon.2. The metal substrate according to claim 1 , further comprising an anodized metal layer in between a bulk metal and the silicon oxide based layer.3. The metal substrate according to claim 1 , wherein the silicon oxide based layer comprises at least 80% by weight of SiO2.4. The metal substrate according to claim 1 , wherein the silicon oxide based layer comprises up to 15% by weight of titanium oxide claim 1 , zirconium oxide or a mixture of titanium oxide and zirconium oxide.5. A method for the production of a silicon oxide based layer claim 1 , having a thickness comprised between 80 and 400 nm claim 1 , comprising between 5 and 30 atom % of carbon claim 1 , on a metal substrate claim 1 , comprising:a. taking a low-pressure PECVD device comprising at least one linear dual-beam plasma source, wherein the linear dual-beam plasma source comprises at least two electrodes connected to an AC or pulsed DC generator, for the deposition of said layers on the substrate;b. applying an electrical power between the two electrodes, so that the power density of the plasma is between 3 and 17 W per cm2 of plasma; andc. applying, to the substrate, a gaseous carbon-comprising precursor of silicon oxide at a flow rate of between 125 and 750 sccm per linear meter of the plasma source and a reactive gas based on oxygen or on oxygen-comprising derivatives at a flow rate of between 500 and 2500 sccm per linear meter of the plasma source.6. A method for the production of a silicon oxide based ...

Подробнее
20-01-2022 дата публикации

Gas nozzle, manufacturing method of gas nozzle, and plasma treatment device

Номер: US20220020563A1
Принадлежит: Kyocera Corp

A gas nozzle according to the present disclosure includes a supply hole having a tubular shape and configured to guide a gas and an injection hole connecting to the supply hole. The gas nozzle configured to inject the gas from the injection hole is made from ceramics or single crystal including an oxide, a fluoride, or an oxyfluoride of a rare earth element or an yttrium aluminum composite oxide as a primary component. An arithmetic mean roughness Ra of an inner circumferential surface forming the supply hole is smaller on an outflow side than on an inflow side of the gas.

Подробнее
20-01-2022 дата публикации

ETCHING PROCESSING APPARATUS, QUARTZ MEMBER AND PLASMA PROCESSING METHOD

Номер: US20220020596A1
Принадлежит:

An etching processing apparatus includes a stage configured to receive a substrate, a chamber configured to contain the stage, and a plasma generator configured to generate plasma in the chamber. An annular quartz member is disposed in a space in which the plasma is generated. The annular quartz member includes a surface facing the space. A coating film covers the surface of the quartz member. The coating film is made of a material other than quartz, and has a thickness of 10 nm or more and less than 800 nm. 1. An etching processing apparatus , comprising:a stage configured to receive a substrate;a chamber configured to contain the stage;a plasma generator configured to generate plasma in the chamber;an annular quartz member disposed in a space in which the plasma is generated, the annular quartz member including a surface facing the space; anda coating film covering the surface of the quartz member,wherein the coating film is made of a material other than quartz, and has a thickness of 10 nm or more and less than 800 nm.2. The etching processing apparatus as claimed in claim 1 , wherein the coating film is made of a compound consisting of any two or more elements of C claim 1 , Si claim 1 , F claim 1 , N claim 1 , O and B.3. The etching processing apparatus as claimed in claim 2 , wherein the coating film is composed of any one of SiC claim 2 , SiN claim 2 , and BC.4. The etching processing apparatus as claimed in claim 3 , wherein the coating film is composed of SiC.5. The etching processing apparatus as claimed in claim 1 , further comprising:an edge ring disposed to surround the substrate,wherein the annular quartz member is disposed to surround the edge ring.7. The etching processing apparatus as claimed in claim 1 , wherein the coating film is formed by any one of ALD claim 1 , PVD and CVD.8. An annular quartz member used for an etching processing apparatus including a stage configured to receive a substrate claim 1 , a chamber configured to contain the stage ...

Подробнее
12-01-2017 дата публикации

PLASMA PROCESSING APPARATUS AND FILM FORMATION METHOD

Номер: US20170009338A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus for alternately performing a first plasma processing step using first and second processing gases and a second plasma processing step using third and fourth processing gases. The apparatus includes: a processing container that has a dielectric window in a ceiling and removably accommodates a workpiece; an exhaust unit that evacuates the processing container; a processing gas supply unit that supplies the first, second, third, and fourth processing gases into the processing container; a first gas introduction unit including a top plate gas injection port, a dielectric window gas flow path, and a first external gas flow path; a second gas introduction unit including a sidewall gas injection port, a sidewall gas flow path, and a second external gas flow path; an electromagnetic wave supply unit that supplies electromagnetic waves into the plasma generating space; a bypass exhaust path; and an opening/closing valve. 1. A plasma processing apparatus that alternately repeatedly performs a first plasma processing step using first and second processing gases and a second plasma processing step using third and fourth processing gases , the apparatus comprising:a processing container provided with a dielectric window in a ceiling and configured to removably accommodate a workpiece;an exhaust unit configured to evacuate the processing container;a processing gas supply unit configured to supply the first, second, third, and fourth processing gases into the processing container;a first gas introduction unit that includes a top plate gas injection port provided in the dielectric window to face a plasma generating space in the processing container, a dielectric window gas flow path that penetrates through the dielectric window from an outside and arrives at the top plate gas injection port, and a first external gas flow path that defines a gas flow path from the processing gas supply unit to the dielectric window gas flow path;a second gas ...

Подробнее
08-01-2015 дата публикации

METHODS AND APPARATUS FOR DEPOSITING AND/OR ETCHING MATERIAL ON A SUBSTRATE

Номер: US20150011088A1
Принадлежит:

Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (T) of the processing chamber for the step. 2. A method according to claim 1 , wherein the corresponding gas residence time of the processing chamber for the at least one of the control steps is between 0.1 and 5 seconds claim 1 , preferably between 0.5 and 2 seconds claim 1 , still preferably approximately 1 second.3. A method according to claim 1 , wherein the or each control step comprising instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step claim 1 , which duration is less than the corresponding gas residence time (T) of the processing chamber for the step claim 1 , has a duration of less than 1 second claim 1 , preferably less than or equal to 750 milliseconds claim 1 , more preferably less than or equal to 500 milliseconds claim 1 , further preferably less than or equal to 100 milliseconds claim 1 , still preferably less than or equal to 50 milliseconds claim 1 , most preferably between 5 and 50 milliseconds.4. A method according to claim 1 , wherein a first sequential subset of one or more of the series of control steps constitutes a passivation process phase during which the processing ...

Подробнее
12-01-2017 дата публикации

SYSTEMS AND METHODS FOR REMOVING PARTICLES FROM A SUBSTRATE PROCESSING CHAMBER USING RF PLASMA CYCLING AND PURGING

Номер: US20170011893A1
Автор: Kang Hu, LaVoie Adrien
Принадлежит:

Systems and methods for operating a substrate processing system include processing a substrate arranged on a substrate support in a processing chamber. At least one of precursor gas and/or reactive gas is supplied during the processing. The substrate is removed from the processing chamber. Carrier gas and purge gas are selectively supplied to the processing chamber. RF plasma is generated in the processing chamber during N cycles, where N is an integer greater than one. The RF plasma is on for a first period and off for a second period during each of the N cycles. The purge gas is supplied during at least part of each of the N cycles. 1. A substrate processing system comprising:a processing chamber including a substrate support to support a substrate during processing;a gas supply to selectively supply carrier gas, purge gas, and at least one gas selected from a group including precursor gas and reactive gas during the processing;a radio frequency (RF) plasma generator to selectively generate RF plasma in the processing chamber; and a) supply the carrier gas to the processing chamber after the substrate is removed from the processing chamber;', 'b) generate RF plasma in the processing chamber during N cycles, where N is an integer greater than one, wherein the N cycles include alternating first periods and second periods, and wherein the RF plasma is on for the first periods and off for the second periods during the N cycles;', 'c) not supply the purge gas during the first periods of the N cycles when the RF plasma is on; and', '(d) supply the purge gas during the second periods of the N cycles when the RF plasma is off., 'a controller that communicates with the gas supply and the RF plasma generator and that is configured to2. The substrate processing system of claim 1 , wherein the controller is configured to not supply the at least one gas selected from the group during (a) claim 1 , (b) claim 1 , (c) and (d).3. The substrate processing system of claim 1 , ...

Подробнее
14-01-2016 дата публикации

Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber

Номер: US20160013022A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention relate to apparatus for enhancing deposition rate and improving a plasma profile during plasma processing of a substrate. According to embodiments, the apparatus includes a tuning electrode disposed in a substrate support pedestal and electrically coupled to a variable capacitor. The capacitance is controlled to control the RF and resulting plasma coupling to the tuning electrode. The plasma profile and the resulting deposition rate and deposited film thickness across the substrate are correspondingly controlled by adjusting the capacitance and impedance at the tuning electrode.

Подробнее
14-01-2016 дата публикации

FILM FORMING DEVICE, FILM FORMING METHOD, AND FILM FORMING PROGRAM

Номер: US20160013023A1
Принадлежит:

A film forming device includes: a microwave supplying unit configured to supply microwaves for generating plasma along a treatment surface of a conductive workpiece; a negative voltage applying unit configured to apply to the workpiece a negative bias voltage for expanding a sheath layer thickness along the treatment surface of the workpiece, and a controller configured to control the microwave supplying unit and the negative voltage applying unit, wherein the microwave supplying unit has a microwave transmitting window configured to propagate the supplied microwaves to the expanded sheath layer, wherein the controller is configured to control the microwave supplying unit and the negative voltage applying unit while supplying of the microwaves so that a sheath thickness of the sheath layer changes 1. A film forming device comprising:a microwave supplying unit configured to supply microwaves for generating plasma along a treatment surface of a conductive workpiece;a negative voltage applying unit configured to apply to the workpiece a negative bias voltage for expanding a sheath layer thickness along the treatment surface of the workpiece, anda controller configured to control the microwave supplying unit and the negative voltage applying unit,wherein the microwave supplying unit has a microwave transmitting window configured to propagate the supplied microwaves to the expanded sheath layer,wherein the controller is configured to control the microwave supplying unit and the negative voltage applying unit while supplying of the microwaves so that a sheath thickness of the sheath layer changes,wherein, while supplying of the microwaves by the microwave supplying unit, the controller controls the negative voltage applying unit so that the sheath thickness changes by changing a voltage value of the negative bias voltage to be applied to the workpiece, andwherein, while supplying of the microwaves by the microwave supplying unit, the controller controls the negative ...

Подробнее
11-01-2018 дата публикации

APPARATUS FOR DEPOSITING METAL FILMS WITH PLASMA TREATMENT

Номер: US20180012732A1
Принадлежит:

Embodiments of a gas delivery apparatus for use in a radio frequency (RF) processing apparatus are provided herein. In some embodiments, a gas delivery apparatus for use in a radio frequency (RF) processing apparatus includes: a conductive gas line having a first end and a second end; a first flange coupled to the first end; a second flange coupled to the second end, wherein the conductive gas line extends through and between the first and second flanges; and a block of ferrite material surrounding the conductive gas line between the first and second flanges. 1. A gas delivery apparatus for use in a radio frequency (RF) processing apparatus , comprising:a conductive gas line having a first end and a second end;a first flange coupled to the first end;a second flange coupled to the second end, wherein the conductive gas line extends through and between the first and second flanges; anda block of ferrite material surrounding the conductive gas line between the first and second flanges.2. The gas delivery apparatus of claim 1 , wherein the block of ferrite material comprises a first portion and a second portion coupled to the first portion claim 1 , wherein each of the first and second portions partially define an opening through which the conductive gas line is disposed.3. The gas delivery apparatus of claim 1 , further comprising a plurality of conductive gas lines claim 1 , wherein the block of ferrite material surrounds each of the conductive gas lines.4. The gas delivery apparatus of claim 3 , wherein the plurality of conductive gas lines is two conductive gas lines.5. The gas delivery apparatus of claim 1 , wherein the gas delivery apparatus further comprises a heat transfer apparatus for controlling the temperature of the conductive gas line.6. The gas delivery apparatus of claim 1 , wherein the block of ferrite material is a nickel-zinc ferrite or a manganese-zinc ferrite.7. The gas delivery apparatus of claim 1 , wherein the conductive gas line comprises ...

Подробнее
11-01-2018 дата публикации

Tin oxide thin film spacers in semiconductor device manufacturing

Номер: US20180012759A1
Принадлежит: Lam Research Corp

Thin tin oxide films are used as spacers in semiconductor device manufacturing. In one implementation, thin tin oxide film is conformally deposited onto a semiconductor substrate having an exposed layer of a first material (e.g., silicon oxide or silicon nitride) and a plurality of protruding features comprising a second material (e.g., silicon or carbon). For example, 10-100 nm thick tin oxide layer can be deposited using atomic layer deposition. Next, tin oxide film is removed from horizontal surfaces, without being completely removed from the sidewalls of the protruding features. Next, the material of protruding features is etched away, leaving tin oxide spacers on the substrate. This is followed by etching the unprotected portions of the first material, without removal of the spacers. Next, underlying layer is etched, and spacers are removed. Tin-containing particles can be removed from processing chambers by converting them to volatile tin hydride.

Подробнее
09-01-2020 дата публикации

PLASMA PROCESS MONITORING APPARATUS AND PLASMA PROCESSING APPARATUS COMPRISING THE SAME

Номер: US20200013596A1
Автор: YUN IL GU

A plasma process monitoring device includes a first selection area light transmitting part disposed to face a first viewport disposed on one side of a chamber and provided with a plurality of first selective light blocking parts for selectively blocking plasma light emitted through the first viewport, a second selection area light transmitting part disposed to face a second viewport disposed on the other side of the chamber and provided with a plurality of second selective light blocking parts for selectively blocking plasma light emitted through the second viewport, and a monitor for obtaining plasma light information on areas where plasma light transmitted through at least one of the first selective light blocking parts and plasma light transmitted through at least one of the second selective light blocking parts intersect, and monitoring uniformity of plasma formed in the chamber for each area based on the plasma light information. 1. A plasma process monitoring device , comprising:a first selection area light transmitting part disposed to face a first viewport disposed on one side of a chamber, and provided with a plurality of first selective light blocking parts for selectively blocking plasma light emitted through the first viewport;a second selection area light transmitting part disposed to face a second viewport disposed on the other side of the chamber, and provided with a plurality of second selective light blocking parts for selectively blocking plasma light emitted through the second viewport; anda monitor for obtaining plasma light information on areas where plasma light transmitted through at least one of the first selective light blocking parts and plasma light transmitted through at least one of the second selective light blocking parts intersect, and monitoring uniformity of plasma formed in the chamber for each area based on the plasma light information.2. The plasma process monitoring device according to claim 1 , wherein the first selection area ...

Подробнее
18-01-2018 дата публикации

AN IMPROVED SUBSTRATE SUPPORT

Номер: US20180016677A1
Принадлежит:

An apparatus for processing substrates is described. More particularly, embodiments of the present disclosure relate to an improved substrate support for heating and cooling substrates using turbulent flow during processing. By creating a turbulent flow within the channels, a greater amount of heat is transferred in a shorter period of time. The present design is cost effective and advantageously provides for a more uniform distribution of temperature transfer. In one embodiment, a substrate support assembly is disclosed. The substrate support assembly includes a electrostatic chuck with a surface that is in contact with a substrate and a support plate adjacent the electrostatic chuck. The support plate includes one or more channels, one or more end spaces, and one or more plugs. The substrate support assembly also includes a shaft coupled to the support plate. 1. A substrate support assembly comprising:an electrostatic chuck; one or more channels disposed within the support plate;', 'one or more end spaces disposed within the one or more channels; and', 'one or more plugs; and, 'a support plate coupled to the electrostatic chuck comprisinga shaft coupled to the support plate.2. The substrate support assembly of claim 1 , wherein the shaft comprises a plurality of connections disposed within the shaft.3. The substrate support assembly of claim 1 , further comprising one or more end plugs adjacent to the end spaces.4. The substrate support assembly of claim 1 , wherein the one or more plugs are disposed within the one or more channels.5. The substrate support assembly of claim 1 , wherein the one or more channels are disposed in a zig-zag pattern.6. The substrate support assembly of claim 1 , wherein the support plate further comprises one or more channel openings disposed near a center of the support plate.7. The substrate support assembly of claim 1 , further comprising a connecting plate disposed between the support plate and the shaft.8. A support plate adjacent ...

Подробнее
03-02-2022 дата публикации

Pulsed-voltage hardware assembly for use in a plasma processing system

Номер: US20220037120A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing.

Подробнее
03-02-2022 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20220037124A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus for generating plasma from a processing gas using microwaves and performing plasma processing on a substrate is provided. The apparatus includes a processing chamber having a substrate support on which the substrate is placed; a plurality of microwave radiation units arranged at a central portion and an outer peripheral portion of a ceiling wall of the processing chamber and configured to radiate microwaves; and a controller configured to complete microwave radiation from the microwave radiation unit in the central portion upon completion of plasma processing of the substrate and then complete microwave radiation from the microwave radiation units in the outer peripheral portion.

Подробнее
16-01-2020 дата публикации

DEPOSITION METHOD

Номер: US20200017968A1
Принадлежит:

A deposition method includes: forming an adsorption inhibiting region on an adsorption site formed on a substrate, by causing the adsorption site to adsorb adsorption inhibiting radicals by a predetermined amount; causing an area on the adsorption site, on which the adsorption inhibiting region is not formed, to adsorb a raw material gas; and depositing a film of a reaction product on the adsorption site by causing the raw material gas adsorbed on the adsorption site to react with a reactant gas activated by a plasma. 1. A deposition method comprising:forming an adsorption inhibiting region on an adsorption site formed on a substrate, by causing the adsorption site to adsorb adsorption inhibiting radicals by a predetermined amount;causing an area on the adsorption site, on which the adsorption inhibiting region is not formed, to adsorb a raw material gas; anddepositing a film of a reaction product on the adsorption site by causing the raw material gas adsorbed on the adsorption site to react with a reactant gas activated by a plasma.2. The deposition method according to claim 1 , wherein the adsorption inhibiting radicals are generated by a remote plasma generator.3. The deposition method according to claim 1 , wherein the reactant gas is activated by an inductively coupled plasma.4. The deposition method according to claim 1 , wherein an amount of the raw material gas to be adsorbed is controlled by controlling an amount of the adsorption inhibiting radicals to be adsorbed claim 1 , in order to control a film density of the reaction product.5. The deposition method according to claim 4 , wherein the amount of the raw material gas to be adsorbed is decreased by increasing the amount of the adsorption inhibiting radicals to be adsorbed claim 4 , in order to increase the film density of the reaction product.6. The deposition method according to claim 4 , wherein the amount of the adsorption inhibiting radicals to be adsorbed is set such that the amount of the raw ...

Подробнее
18-01-2018 дата публикации

METAL COMPONENT AND MANUFACTURING METHOD THEREOF AND PROCESS CHAMBER HAVING THE METAL COMPONENT

Номер: US20180019101A1
Автор: Ahn Bum Mo, Park Seung Ho
Принадлежит:

This invention relates to a metal component, a manufacturing method thereof, and a process chamber having the metal component, and particularly to a metal component useful in a display or semiconductor manufacturing process, a manufacturing method thereof, and a process chamber having the metal component, wherein among addition elements of an aluminum alloy that constitutes the metal substrate of the metal component, the addition element existing on the surface thereof is removed, and a barrier layer having no pores is formed, thereby solving problems attributable to a conventional anodized film having a porous layer and attributable to the addition element in the form of particles on the surface of the metal substrate. 1. A metal component , provided in a process chamber into which a process gas is supplied or communicating with an inside of the process chamber , the metal component comprising:a metal substrate composed of a metal alloy containing addition elements, at least one of the addition elements being removed from a surface of the metal substrate; andan anodized barrier layer formed on the surface of the metal substrate.2. The metal component of claim 1 , wherein the metal alloy is an aluminum alloy claim 1 , and the anodized barrier layer comprises anodized aluminum (AlO) formed by anodizing the aluminum alloy.3. The metal component of claim 2 , wherein the aluminum alloy is a 6000 series aluminum alloy claim 2 , and the addition element removed from the surface of the metal substrate includes silicon (Si).4. The metal component of claim 2 , wherein the aluminum alloy is a 5000 series aluminum alloy claim 2 , and the addition element removed from the surface of the metal substrate includes magnesium (Mg).5. The metal component of claim 1 , wherein the surface of the metal substrate comprises a plane region claim 1 , which is planar claim 1 , and a plurality of concave regions claim 1 , which are irregularly recessed claim 1 , and the anodized barrier layer ...

Подробнее
17-01-2019 дата публикации

CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING DISPLAY APPARATUS USING THE SAME

Номер: US20190019652A1
Принадлежит:

A chemical vapor deposition apparatus includes a chamber, a susceptor supporting a substrate, a backing plate to which power is applied, a diffuser providing a deposition gas, and a first insulator. The first insulator may include a first portion covering a top surface of the backing plate, and a second portion assembled with the first portion and covering a sidewall of the backing plate. 1. A chemical vapor deposition apparatus comprising:a chamber;a susceptor disposed in the chamber and supporting a substrate having an organic light-emitting diode;a backing plate disposed over the susceptor and spaced apart from the susceptor by a predetermined distance;a diffuser disposed between the backing plate and the susceptor and providing a deposition gas to the substrate; anda first insulator comprising: a first portion covering a top surface of the backing plate; and a second portion assembled with the first portion and covering a sidewall of the backing plate.2. The chemical vapor deposition apparatus of claim 1 , wherein each of the first portion and the second portion includes a plurality of assembled blocks.3. The chemical vapor deposition apparatus of claim 2 , wherein the first portion entirely covers the top surface of the backing plate.4. The chemical vapor deposition apparatus of claim 1 , wherein the first insulator includes at least one of polytetrafluoroethylene (PTFE) claim 1 , polychlorotrifluoroethylene (PCTFE) claim 1 , polyvinylidene fluoride (PVDF) claim 1 , or polyvinyl fluoride (PVF).5. The chemical vapor deposition apparatus of claim 1 , further comprising:a second insulator assembled with the first insulator and connected to the chamber and the diffuser.6. The chemical vapor deposition apparatus of claim 5 , wherein the second insulator has a frame shape exposing the diffuser and includes a plurality of assembled blocks.7. The chemical vapor deposition apparatus of claim 5 , wherein the second insulator includes ceramic and insulates the diffuser ...

Подробнее
17-01-2019 дата публикации

PLASMA TREATMENT DEVICE AND STRUCTURE OF REACTION VESSEL FOR PLASMA TREATMENT

Номер: US20190019656A1
Принадлежит:

The present invention improves the in-plane uniformity of films formed via a plasma treatment. It is provided a plasma treatment device comprising: an electrode plate arranged in a reaction vessel; a counter electrode arranged parallel so as to opposite to the electrode plate in the reaction vessel; a transmission plate to supply frequency power to the electrode plate from outside of the reaction vessel, the transmission plate being connected from non-opposite side not opposing to the counter electrode of the electrode plate; and an insulator with a container shape, the insulator being arranged in the reaction vessel and storing the electrode plate therein; wherein the non-opposite side of the electrode plate closely contacts to an inner bottom surface of the insulator with the container shape, wherein a side surface of the electrode plate closely contacts to an inner side surface of the insulator with the container shape, and wherein a hole edge portion of the insulator with the container shape is formed so as to protrude toward a counter electrode side. 1. A plasma treatment device comprising:an electrode plate arranged in a reaction vessel;a counter electrode arranged parallel so as to opposite to the electrode plate in the reaction vessel;a transmission plate to supply frequency power to the electrode plate from outside of the reaction vessel, the transmission plate being connected from non-opposite side not opposing to the counter electrode of the electrode plate; andan insulator with a container shape, the insulator being arranged in the reaction vessel and storing the electrode plate therein;wherein the non-opposite side of the electrode plate closely contacts to an inner bottom surface of the insulator with the container shape,wherein a side surface of the electrode plate closely contacts to an inner side surface of the insulator with the container shape, andwherein a hole edge portion of the insulator with the container shape is formed so as to protrude ...

Подробнее
17-01-2019 дата публикации

APPARATUS FOR PURGING SEMICONDUCTOR PROCESS CHAMBER SLIT VALVE OPENING

Номер: US20190019696A1
Принадлежит:

A semiconductor processing chamber is provided and may include a wafer transfer passage that extends through a chamber wall and has an inner passage surface defining an opening, an insert including an insert inner surface defining an insert opening, and a gas inlet. A first recessed surface of the wafer transfer passage extending at least partially around and outwardly offset from the inner passage surface, a first insert outer surface extending at least partially around and outwardly offset from the insert inner surface, and a first wall surface extending between the inner passage surface and the first recessed surface, at least partially define a gas distribution channel fluidically connected to the gas inlet, the first recessed surface is separated from the first insert outer surface by a first distance and an insert front surface faces and is separated from the first wall surface by a first gap distance. 1. A semiconductor processing chamber comprising:a chamber wall that at least partially bounds the semiconductor processing chamber;a gas inlet; an inner passage surface that defines an opening perpendicular to the first axis,', 'a first recessed surface that extends at least partially around and is outwardly offset from the inner passage surface when viewed along the first axis, and', 'a first wall surface that extends between the inner passage surface and the first recessed surface; and, 'a wafer transfer passage that extends through the chamber wall along a first axis and that includes an insert inner surface that defines an insert opening perpendicular to the first axis,', 'a first insert outer surface that extends at least partially around and is outwardly offset from the insert inner surface when viewed along the first axis, and', 'an insert front surface that extends between the insert inner surface and the first insert outer surface,, 'an insert that includes the first recessed surface, the first insert outer surface, and the first wall surface at least ...

Подробнее
17-01-2019 дата публикации

METHOD OF MANUFACTURING A 3 COLOR LED INTEGRATED SI CMOS DRIVER WAFER USING DIE TO WAFER BONDING APPROACH

Номер: US20190019915A1
Принадлежит:

Methods of forming an integrated RGB LED and Si CMOS driver wafer and the resulting devices are provided. Embodiments include providing a plurality of first color die over a CMOS wafer, each first color die being laterally separated with a first oxide and electrically connected to the CMOS wafer; providing a second color die above each first color die, each second color die being separated from each other with a second oxide, bonded to a first color die, and electrically connected to the CMOS wafer through the bonded first color die; removing a portion of each second color die to expose a portion of each bonded first color die; forming a conformal TCO layer over each first and second color die and on a side surface of each second color die and oxide; forming a PECVD oxide layer over the CMOS wafer; and planarizing the PECVD oxide layer. 1. A method comprising:providing a plurality of first color die over a complementary metal-oxide-semiconductor (CMOS) wafer, each first color die being laterally separated with a first oxide and electrically connected to the CMOS wafer;providing a second color die above each first color die, each second color die being separated from each other with a second oxide, bonded to a first color die, and electrically connected to the CMOS wafer through the bonded first color die;removing a portion of each second color die to expose a portion of each bonded first color die;forming a conformal transparent conductive oxide (TCO) layer over each first and second color die and on a side surface of each second color die and oxide;forming a plasma-enhanced chemical vapor deposition (PECVD) oxide layer over the CMOS wafer; andplanarizing the PECVD oxide layer.2. The method according to claim 1 , wherein each first and second color die and the CMOS wafer comprise a known good die (KGD).3. The method according to claim 2 , wherein each first color die comprises two light emitting diodes (LEDs) and each second color die comprises one LED or each first ...

Подробнее
16-01-2020 дата публикации

CHAMBER CLEANING PROCESS

Номер: US20200020512A1
Принадлежит:

A method and apparatus for obtaining at least one normalized baseline spectrum for a processing volume of a processing chamber; calculating a distribution value of the normalized baseline spectrum; obtaining a plurality of normalized cleaning process spectrums; calculating a distribution function of the plurality of normalized cleaning process spectrums; comparing the distribution value to the distribution function; and determining an end point by identifying when the distribution function approaches the distribution value. A method includes: initiating a cleaning process in a processing chamber, flowing a cleaning gas into a processing volume of the processing chamber; obtaining a normalized baseline spectrum; measuring a plurality of intensity spectrums; calculate a plurality of normalized cleaning process spectrums; comparing a distribution value of the normalized baseline spectrum to a distribution function of the plurality of normalized cleaning process spectrums; and determining an end point by identifying when the distribution function approaches the distribution value. 1. A method comprising:obtaining at least one normalized baseline spectrum for a processing volume of a processing chamber;calculating a distribution value of the at least one normalized baseline spectrum;obtaining a plurality of normalized cleaning process spectrums for the processing volume over a time period during a cleaning process of the processing chamber;calculating a distribution function of the plurality of normalized cleaning process spectrums;comparing the distribution value to the distribution function over the time period; anddetermining an end point for the cleaning process by identifying when the distribution function approaches the distribution value.2. The method of claim 1 , wherein comparing the distribution value to the distribution function over the time period comprises:calculating a spectrum ratio function from the distribution value and the distribution function, ...

Подробнее
21-01-2021 дата публикации

EQUIPMENT AND METHODS FOR PLASMA PROCESSING

Номер: US20210020405A1
Принадлежит:

In one embodiment, a plasma processing apparatus includes a plasma processing chamber that includes a first portion and a second portion. The first portion includes sidewalls and a top cover having a through hole. The second portion is coupled to the first portion via the through hole. A substrate holder is disposed in the first portion of the plasma processing chamber. A first coil is disposed over the first portion and a second coil is disposed over the first portion and around the second portion. 1. A plasma processing apparatus comprising:a plasma processing chamber comprising a first portion and a second portion, the first portion comprising sidewalls and a top cover comprising a through hole, the second portion being coupled to the first portion via the through hole;a substrate holder disposed in the first portion of the plasma processing chamber;a first coil disposed over the first portion; anda second coil being disposed over the first portion and around the second portion.2. The apparatus of claim 1 , wherein the first coil is a planar coil claim 1 , wherein the second coil is a vertical coil.3. The apparatus of claim 1 , wherein the first coil is a partial conical helical coil claim 1 , wherein the second coil is a vertical coil.4. The apparatus of claim 1 , further comprising:a grid disposed between the first portion and the second portion, wherein the first portion is fluidly coupled to the second portion through the grid.5. The apparatus of claim 1 , wherein the first coil and the second coil are a monolithic wire.6. The apparatus of claim 1 , wherein the first coil and the second coil are bonded together as an integrated structure.7. The apparatus of claim 6 , further comprising:a plurality of first electrical contact taps for coupling the integrated structure to a reference potential node; anda plurality of second electrical contact taps for coupling the integrated structure to a power source.8. The apparatus of claim 1 , wherein the first coil is ...

Подробнее
21-01-2021 дата публикации

METHOD AND APPARATUS FOR CONTROLLING A PROCESSING REACTOR

Номер: US20210020410A1
Принадлежит:

Methods and systems for processing substrates are provided. The system can include: a processing chamber configured to process a substrate based on a recipe; a plurality of sub-systems in operable communication with the processing chamber for controlling corresponding parameters associated with processing the substrate; and a controller in operable communication with the processing chamber and each of the plurality of sub-systems and configured to control each of the plurality of sub-systems and the processing chamber using information included in the recipe and feedback provided by at least one of the plurality of sub-systems. The controller is configured to compare information included in the recipe and feedback provided by at least one of the plurality of sub-systems with stored empirical information relating to the recipe and each of the plurality of sub-systems, and adjust at least one of the corresponding parameters associated with processing the substrate based on a determined comparison. 1. A system for processing a substrate , comprising:a processing chamber configured to process a substrate based on a recipe;a plurality of sub-systems in operable communication with the processing chamber for controlling a corresponding parameter associated with processing the substrate; anda controller in operable communication with the processing chamber and each of the plurality of sub-systems and configured to control each of the plurality of sub-systems and the processing chamber using information included in the recipe and feedback provided by at least one of the plurality of sub-systems,wherein the controller is configured to compare the information included in the recipe and the feedback provided by the at least one of the plurality of sub-systems with stored empirical information relating to the recipe and each of the plurality of sub-systems, and adjust at least one of the corresponding parameters associated with processing the substrate based on a determined ...

Подробнее
21-01-2021 дата публикации

Variable mode plasma chamber utilizing tunable plasma potential

Номер: US20210020411A1

Plasma processing apparatus and associated methods are provided. In one example, a plasma processing apparatus can include a plasma chamber configured to be able to hold a plasma. The plasma processing apparatus can include a dielectric window forming at least a portion of a wall of the plasma chamber. The plasma processing apparatus can include an inductive coupling element located proximate the dielectric window. The inductive coupling element can be configured to generate a plasma from the process gas in the plasma chamber when energized with radio frequency (RF) energy. The plasma processing apparatus can include a processing chamber having a workpiece support configured to support a workpiece. The plasma processing apparatus can include an electrostatic shield located between the inductive coupling element and the dielectric window. The electrostatic shield can be grounded via a tunable reactive impedance circuit to a ground reference.

Подробнее
28-01-2016 дата публикации

PLASMA CVD DEVICE AND PLASMA CVD METHOD

Номер: US20160024657A1
Принадлежит: Toray Industries, Inc.

The present invention relates to a plasma CVD device provided with a vacuum chamber, and a plasma CVD electrode unit and a substrate-holding mechanism inside the vacuum chamber. The plasma CVD electrode unit is provided with an anode, a cathode that faces the anode at a distance, and a first gas supply nozzle for supplying gas so as to pass through the plasma-generation space between the anode and cathode. The substrate-holding mechanism is disposed at a position where the gas passing through the plasma-generation space impinges. The length of the anode in the gas-supply direction and the length of the cathode in the gas-supply direction are both longer than the distance between the anode and the cathode. Thus, a plasma CVD device that makes it possible to increase gas decomposition efficiency and achieve high film deposition rate is provided. 1. A plasma CVD device comprising a plasma CVD electrode unit and a substrate-holding mechanism in a vacuum chamber , wherein the plasma CVD electrode unit comprises:an anode;a cathode facing the anode at a distance; anda first gas supply nozzle supplying a gas through a plasma-generation space between the anode and the cathode,the substrate-holding mechanism being provided at a position to contact the gas passing through the plasma-generation space, wherein a gas-supply directional length of the anode and a gas-supply directional length of the cathode are longer than a distance between the anode and cathode.2. The plasma CVD device according to claim 1 , wherein the cathode has a plasma-generation surface on a side facing to the anode and a magnet inside which forms a magnetron magnetic field on the plasma-generation surface.3. The plasma CVD device according to claim 1 , wherein the cathode is constituted by two or more arrayed metal cylindrical electrodes in the gas-supply direction and a plurality of magnets are inserted inside the metal cylindrical electrode.4. The plasma CVD device according to claim 1 , wherein the ...

Подробнее
26-01-2017 дата публикации

MULTI-ZONE TEMPERATURE CONTROL FOR SEMICONDUCTOR WAFER

Номер: US20170022611A9

An apparatus and a method for controlling critical dimension (CD) of a circuit is provided. An apparatus includes a controller for receiving CD measurements at respective locations in a circuit pattern in an etched film on a first substrate and a single wafer chamber for forming a second film of the film material on a second substrate. The single wafer chamber is responsive to a signal from the controller to locally adjust a thickness of the second film based on the measured CD's. A method provides for etching a circuit pattern of a film on a first substrate, measuring CD's of the circuit pattern, adjusting a single wafer chamber to form a second film on a second semiconductor substrate based on the measured CD. The second film thickness is locally adjusted based on the measured CD's. 1. An apparatus , comprising:a controller for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from a film comprising a film material on a first semiconductor substrate; anda single wafer chamber for forming a second film of the film material on a second semiconductor substrate, the single wafer chamber being responsive to a control signal from the controller to locally adjust a thickness of the second film based on the measurements of the CD.2. The apparatus of claim 1 , wherein the single wafer chamber has a platen that supports the second semiconductor substrate claim 1 , the platen having a plurality of independently controllable temperature zones.3. The apparatus of claim 2 , wherein each temperature zone of the platen has at least one heating element.4. The apparatus of claim 3 , wherein the processor is configured to control the heating elements toincrease heater power in a respective temperature zone of the substrate to increase the thickness of the second film locally, if the CD of the first film is less than a predetermined dimension, anddecrease the heater power in the respective temperature zone to ...

Подробнее
10-02-2022 дата публикации

OPTICAL DIELECTRIC PLANAR WAVEGUIDE PROCESS

Номер: US20220043210A1
Принадлежит:

A method for depositing silicon oxynitride film structures is provided that is used to form planar waveguides. These film structures are deposited on substrates and the combination of the substrate and the planar waveguide is used in the formation of optical interposers and subassemblies. The silicon oxynitride film structures are deposited using low thermal budget processes and hydrogen-free oxygen and hydrogen-free nitrogen precursors to produce planar waveguides that exhibit low losses for optical signals transmitted through the waveguide of 1 dB/cm or less. The silicon oxynitride film structures and substrate exhibit low stress levels of less than 20 MPa. 1. A method comprising depositing multiple layers of films on a substrate ,wherein the multiple layers of films comprises a stack of silicon oxynitride films; and wherein at least a silicon oxynitride film in the stack of silicon oxynitride films is deposited using a plasma enhanced chemical vapor deposition (PECVD) process,', 'wherein process gases in the PECVD process comprise a silicon-containing precursor not containing hydrogen and one or more precursors for oxygen and nitrogen, and', 'wherein the one or more precursors of oxygen and nitrogen comprise an amount of hydrogen less than 10% of the process gases., 'patterning the multiple layers of films to form a waveguide with the stack of films being a core of the waveguide,'}2. A method as in claim 1 ,wherein the amount of hydrogen is less than 5% of the process gases.3. A method as in claim 1 ,wherein the process gases comprise a hydrogen-free chemistry.4. A method as in claim 1 ,wherein the process gases comprises less than 10% ammonia.5. A method as in claim 1 , a precursor for oxygen, and a precursor for nitrogen and oxygen, or', 'a precursor for nitrogen, and a precursor for nitrogen and oxygen., 'wherein the one or more precursors for oxygen and nitrogen comprise at least one of a precursor for oxygen and a precursor for nitrogen,'}6. A method as in ...

Подробнее
26-01-2017 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20170025254A1
Принадлежит:

A plasma processing device includes: a processing chamber which is disposed in a vacuum vessel and is compressed; a sample stage which is disposed in the processing chamber and on which a wafer of a process target is disposed and held; and a mechanism for forming plasma in the processing chamber on the sample stage, wherein the sample stage includes a block which is made of a dielectric and has a discoid shape, a jacket which is disposed below the block with a gap therebetween, is made of a metal, and has a discoid shape, a recessed portion which is disposed in a center portion of a top surface of the jacket and into which a cylindrical member disposed below a center portion of the block and made of a dielectric is inserted, and a cooling medium flow channel disposed in the jacket and through which a cooling medium circulates. 1. A plasma processing device comprising:a processing chamber which is disposed in a vacuum vessel and is compressed;a sample stage which is disposed in the processing chamber and on which a wafer of a process target is disposed and held; anda mechanism for forming plasma in the processing chamber on the sample stage, whereinthe sample stage includes a block which is made of a dielectric and has a discoid shape, a jacket which is disposed below the block with a gap therebetween, is made of a metal, and has a discoid shape, a recessed portion which is disposed in a center portion of a top surface of the jacket and into which a cylindrical member disposed below a center portion of the block and made of a dielectric is inserted, and a cooling medium flow channel which is disposed in the jacket and through which a cooling medium circulates; andthe block and the jacket transfer heat through a gap between the cylindrical member and a bottom surface of the block of an outer circumferential side thereof.2. The plasma processing device according to claim 1 , wherein:the cylindrical member has a lower portion having a diameter larger than a diameter of ...

Подробнее
25-01-2018 дата публикации

PLASMA UNIFORMITY CONTROL BY GAS DIFFUSER HOLE DESIGN

Номер: US20180025890A1
Принадлежит:

Embodiments of a method of depositing a thin film on a substrate is provided that includes placing a substrate on a substrate support that is mounted in a processing region of a processing chamber, flowing a process fluid through a plurality of gas passages in a diffuser plate toward the substrate supported on the substrate support, wherein the diffuser plate has an upstream side and a downstream side and the downstream side has a substantially concave curvature, and each of the gas passages are formed between the upstream side and the downstream side, and creating a plasma between the downstream side of the diffuser plate and the substrate support. 1. A method of depositing a thin film on a substrate , comprising:placing a substrate on a substrate support that is mounted in a processing region of a processing chamber;flowing a process fluid through a plurality of gas passages in a diffuser plate toward the substrate supported on the substrate support, wherein the diffuser plate has an upstream side and a downstream side and the downstream side has a substantially concave curvature, and each of the gas passages are formed between the upstream side and the downstream side; andcreating a plasma between the downstream side of the diffuser plate and the substrate support.2. The method of claim 1 , wherein each of the gas passages comprise a hollow cathode cavity in fluid communication with the downstream side.3. The method of claim 2 , wherein a volume claim 2 , a surface area claim 2 , or a density of each of the gas passages varies across the diffuser plate to obtain a desired thin film thickness and property uniformity.4. The method of claim 1 , wherein the diffuser plate is rectangular.5. The method of claim 1 , wherein the diffuser plate size is at least 1 claim 1 ,200 claim 1 ,000 mm.6. The method of claim 1 , wherein each of the gas passages comprise:an orifice hole having a first diameter; anda hollow cathode cavity that is downstream of and in fluid ...

Подробнее
25-01-2018 дата публикации

HOLLOW CATHODE PLASMA SOURCE

Номер: US20180025892A1
Принадлежит:

The present invention relates to a hollow cathode plasma source and to methods for surface treating or coating using such a plasma source, comprising first and second electrodes (), each electrode comprising an elongated cavity (), wherein dimensions for at least one of the following parameters is selected so as to ensure high electron density and/or low amount of sputtering of plasma source cavity surfaces, those parameters being cavity cross section shape, cavity cross section area cavity distance (), and outlet nozzle width (). 1. A hollow cathode plasma source comprising a first electrode and a second electrode each electrode comprising an elongated cavity ,wherein the cathodes extend substantially parallel to each otherwherein the first and second electrodes are both provided with a gas inlet for the plasma forming gas and with a gas outlet which leads to an outlet nozzle which is directed towards a substrate "wherein at least one of the following parameter's dimension is selected", 'wherein first and second electrodes are electrically connected to a power source supplying the electrodes with alternatingly opposing voltages'}i. the cavity cross section is of rectangular, rounded rectangular or circular shape or of a shape intermediary of these shapes,{'sup': 2', '2, 'claim-text': iii. the cavity distance is comprised between 85 mm and 160 mm,', 'iv. the outlet nozzle width is comprised between 1 mm and 25 mm., 'ii. the cavity cross section area is comprised between 500 mmand 4000 mm,'}2. The hollow cathode plasma source according to claim 1 , wherein at least two of the parameter's dimensions i. to iv. are selected.3. The hollow cathode plasma source according to claim 1 , wherein all of the parameter's dimensions i. to iv. are selected.4. The hollow cathode plasma source according to claim 1 , wherein the cavity cross section shape is circular.5. The hollow cathode plasma source according to claim 1 , wherein the cavity cross section area is comprised between ...

Подробнее
25-01-2018 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20180025930A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A power distributor for delivering power to a plurality of plasma processing stations associated with a chamber , comprising:a low frequency circuit coupled to a low frequency impedance matching network and configured to provide a low frequency RF signal;a high frequency circuit coupled to a high frequency impedance matching network and configured to provide a high frequency RF signal, wherein the high frequency circuit is coupled to the low frequency circuit;an output circuit coupled to the high frequency circuit and a plurality of plasma processing stations, wherein the output circuit is configured to combine the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals to provide to the plasma processing stations; anda shunt inductor coupled in parallel to one of the plasma processing stations to increase an amount of current to the one of the plasma processing stations.2. The power distributor of claim 1 , wherein the shunt inductor has two ends claim 1 , wherein one of the ends is coupled to a ground connection and another one of the ends is coupled to ...

Подробнее
28-01-2021 дата публикации

ELECTROSTATIC CHUCK FOR DAMAGE-FREE SUBSTRATE PROCESSING

Номер: US20210025056A1
Принадлежит:

Embodiments of the disclosure relate to an improved electrostatic chuck for use in a processing chamber to fabricate semiconductor devices. In one embodiment, a processing chamber includes a chamber body having a processing volume defined therein and an electrostatic chuck disposed within the processing volume. The electrostatic chuck includes a support surface with a plurality of mesas located thereon, one or more electrodes disposed within the electrostatic chuck, and a seasoning layer deposited on the support surface over the plurality of mesas. The support surface is made from an aluminum containing material. The one or more electrodes are configured to form electrostatic charges to electrostatically secure a substrate to the support surface. The seasoning layer is configured to provide cushioning support to the substrate when the substrate is electrostatically secured to the support surface. 1. A processing chamber apparatus , comprising:a chamber body defining a processing volume therein; and a support surface made from an aluminum containing material, the support surface having a plurality of mesas disposed thereon;', 'one or more electrodes disposed within the electrostatic chuck; and', 'a seasoning layer deposited on the support surface and extending over the plurality of mesas, wherein the seasoning layer is doped with carbon., 'an electrostatic chuck disposed within the processing volume, the electrostatic chuck comprising2. The apparatus of claim 1 , wherein the seasoning layer comprises:one or more of a silicon nitride material, a silicon carbon nitride material, a silicon oxycarbide material, a silicon oxide material, and a nitrogen-doped carbon material.3. The apparatus of claim 2 , wherein a dielectric constant of the seasoning layer is between 3 and 12.4. The apparatus of claim 1 , wherein the seasoning layer has a thickness between 100 nm and 20 microns.5. The apparatus of claim 1 , wherein each of the plurality of mesas has a surface roughness of ...

Подробнее
28-01-2021 дата публикации

FLOWABLE FILM CURING USING H2 PLASMA

Номер: US20210025058A1
Принадлежит:

Embodiments herein provide methods of plasma treating an amorphous silicon layer deposited using a flowable chemical vapor deposition (FCVD) process. In one embodiment, a method of processing a substrate includes plasma treating an amorphous silicon layer by flowing a substantially silicon-free hydrogen treatment gas into a processing volume of a processing chamber, the processing volume having the substrate disposed on a substrate support therein, forming a treatment plasma of the substantially silicon-free hydrogen treatment gas, and exposing the substrate having the amorphous silicon layer deposited on a surface thereof to the treatment plasma. Herein, the amorphous silicon layer is deposited using an FCVD process. The FCVD process includes positioning the substrate on the substrate support, flowing a processing gas into the processing volume, forming a deposition plasma of the processing gas, exposing the surface of the substrate to the deposition plasma, and depositing the amorphous silicon layer on the surface of the substrate. 1. A method of processing a substrate , comprising: positioning a substrate on a substrate support disposed in a processing volume of a processing chamber;', 'flowing a processing gas into the processing volume;', 'forming a deposition plasma of the processing gas;', 'exposing the surface of the substrate to the deposition plasma; and', 'depositing the amorphous silicon layer on the surface of the substrate; and, 'depositing an amorphous silicon layer on the surface of the substrate using a flowable chemical vapor deposition (FCVD) process, comprising flowing a substantially silicon-free hydrogen treatment gas into a processing volume;', 'forming a treatment plasma of the substantially silicon-free hydrogen treatment gas; and', 'exposing the amorphous silicon layer to the treatment plasma., 'plasma treating the amorphous silicon layer, comprising2. The method of claim 1 , wherein the treatment plasma is formed by capacitively coupling ...

Подробнее
24-01-2019 дата публикации

Chamber Cleaning and Semiconductor Etching Gases

Номер: US20190027375A1
Принадлежит:

The present invention relates to fluoroolefin compositions useful as gases for CVD semiconductor manufacture, particularly for etching applications including methods for removing surface deposits from the interior of a chemical vapor deposition chamber by using an activated gas mixture, and methods for etching the surface of a semiconductor. 15-. (canceled)6. A method of operation of a semiconductor manufacturing process chamber , comprising etching a film on a semiconductor using an etch gas comprising a first fluoroolefin which is 1 ,1 ,1 ,4 ,4 ,4-hexafluoro-2-butyne.7. The method of claim 6 , wherein the step of etching a film further comprises claim 6 ,transferring a photomask to the semiconductor to create a masked surface and an exposed surface,forming a plasma of said etch gas, andexposing the exposed surface of the semiconductor to the plasma to remove portions of the exposed surface of the semiconductor to form an etched surface of the semiconductor.8. The method of claim 7 , wherein the method further comprises the steps of forming a second etch gas claim 7 , activating the second etch gas to form a second plasma claim 7 , depositing the second plasma on the etched surface to form a polymer layer on the etched surface of the semiconductor.910-. (canceled)11. The method of wherein the surface film is selected from the group consisting of silicon oxide claim 7 , gallium nitride claim 7 , silicon nitride claim 7 , silicon oxynitride claim 7 , silicon carbonitride claim 7 , tungsten nitride claim 7 , titanium nitride claim 7 , and tantalum nitride.12. The method of claim 6 , wherein step of forming a plasma from the etch gas is performed in a remote chamber or in the process chamber.13. The method of claim 6 , wherein the gas mixture further comprises oxygen in molar ratio of oxygen:fluoroolefin that is at least about 1:1.14. The method of claim 12 , wherein the pressure in the process chamber is no more than 30 torr.15. The method of claim 12 , wherein the ...

Подробнее
23-01-2020 дата публикации

RF GENERATOR FOR GENERATING A MODULATED FREQUENCY OR AN INTER-MODULATED FREQUENCY

Номер: US20200027701A1
Принадлежит:

A high frequency radio frequency (RF) generator that generates a high frequency RF signal is described. There is no need for another low frequency RF generator that generates a low frequency RF signal. A low frequency RF signal is pre-amplified within the high frequency RF generator to output a pre-amplified low frequency RF signal. Similarly, a high frequency RF signal is pre-amplified within the high frequency RF generator to output a pre-amplified high frequency RF signal. The high frequency RF generator combines the pre-amplified low frequency RF with the pre-amplified high frequency RF signal to provide a combined RF signal. The combined RF signal is amplified within the high frequency RF generator to supply an amplified signal to a match. There is also no need for another match for the low frequency RF generator. 1. A radio frequency (RF) generator comprising:a first oscillator configured to generate a first RF signal;a second oscillator configured to generate a second RF signal;a first circuit coupled to the first oscillator to receive the first RF signal, wherein the first circuit is configured to apply a gain to the first RF signal to output a first adjusted RF signal;a second circuit coupled to the second oscillator to receive the second RF signal, wherein the second circuit is configured to apply a gain to the second RF signal to output a second adjusted RF signal;a combiner coupled to the first circuit to receive the first adjusted RF signal from the first circuit, wherein the combiner is coupled to the second circuit to receive the second adjusted RF signal from the second circuit, wherein the combiner is configured to multiply the first adjusted RF signal with the second adjusted RF signal to output a combined RF signal.2. The RF generator of claim 1 , wherein the combiner is configured to multiply a voltage of the first adjusted RF signal with a voltage of the second adjusted RF signal to output the combined RF signal claim 1 , wherein the combined RF ...

Подробнее
02-02-2017 дата публикации

Apparatus and Method of Manufacturing Graphene Film

Номер: US20170029279A1
Принадлежит: Graphene Square, Inc.

Disclosed is an apparatus and method of manufacturing a graphene film, wherein the apparatus includes a wrinkle flattening device configured to flatten wrinkles in a lamination structure including a base substrate and a graphene film laminated on the base substrate; and a first lamination apparatus configured to laminate a first substrate on the graphene film, wherein the wrinkle flattening device applies a vacuum to the base substrate when the wrinkle flattening device is in contact with the base substrate. 1. An apparatus for manufacturing a graphene film comprising:a wrinkle flattening device configured to flatten wrinkles in a lamination structure including a base substrate and a graphene film on the base substrate; anda first lamination apparatus configured to laminate a first substrate on the graphene film,wherein the wrinkle flattening device comprises a porous member and a support member configured to support the porous member, the porous member contacts the base substrate of the lamination structure, and the wrinkle flattening device applies a vacuum to the base substrate through the porous member when the porous member is in contact with the base substrate.2. The apparatus according to claim 1 , wherein the porous member further includes a plurality of holes therein configured to apply the vacuum to substantially an entire lower surface of the base substrate.3. The apparatus according to claim 2 ,wherein the wrinkle flattening device additionally includes a hollow space between the porous member and the support member, the hollow space being in communication with the plurality of holes in the porous member, and a vacuum suction hole in communication with the hollow space.4. The apparatus according to claim 1 ,wherein the first lamination apparatus includes a lamination roller facing the wrinkle flattening device, andwherein at least one of the lamination roller and the wrinkle flattening device is movable.5. The apparatus according to claim 4 , further ...

Подробнее
28-01-2021 дата публикации

SHOWERHEAD

Номер: US20210027988A1
Принадлежит: Sumitomo Electric Industries, Ltd.

A showerhead provided in a chamber of a semiconductor manufacturing apparatus and facing a wafer holder includes: a plate-shaped ceramic substrate; a plurality of through holes penetrating the ceramic substrate in the direction of the thickness of the ceramic substrate; and a plurality of radio frequency conductors embedded in a plurality of zones, respectively, of the ceramic substrate, as seen on the side of a surface of the ceramic substrate facing the wafer holder. 1. A showerhead provided in a chamber of a semiconductor manufacturing apparatus and facing a wafer holder , comprising:a plate-shaped ceramic substrate;a plurality of through holes penetrating the ceramic substrate in a direction of a thickness of the ceramic substrate; anda plurality of radio frequency conductors embedded in a plurality of zones, respectively, of the ceramic substrate, as seen on a side of a surface of the ceramic substrate facing the wafer holder.2. The showerhead according to claim 1 , wherein the plurality of conductors are embedded in the ceramic substrate at different positions claim 1 , respectively claim 1 , as seen in the direction of the thickness of the ceramic substrate.3. The showerhead according to claim 1 , further comprising: a lead-out circuit embedded in the ceramic substrate at a position different from that of at least one of the plurality of conductors as seen in the direction of the thickness of the ceramic substrate claim 1 , and electrically connected to the at least one conductor; and a terminal portion disposed at a circumferential portion of the ceramic substrate and electrically connected to the at least one conductor via the lead-out circuit.4. The showerhead according to claim 1 , further comprising a resistive claim 1 , heat generating element embedded in the ceramic substrate.5. The showerhead according to claim 4 , wherein the resistive claim 4 , heat generating element is embedded in the ceramic substrate at a position different from that of at least ...

Подробнее
28-01-2021 дата публикации

MODE-SWITCHING PLASMA SYSTEMS AND METHODS OF OPERATING THEREOF

Номер: US20210027991A1
Принадлежит:

In one embodiment, a plasma processing system includes a plasma processing chamber, a substrate holder disposed in the plasma processing chamber, a coil disposed over the plasma processing chamber, and a plurality of taps configured to contact the coil at an associated contact region. The plasma processing system is configured to sustain a plasma by selecting a subset of taps from the plurality of taps to apply a power source and a reference potential. 1. A plasma processing system comprising:a plasma processing chamber;a substrate holder disposed in the plasma processing chamber;a coil disposed over the plasma processing chamber; a first tap located substantially at a midpoint of the coil along a length of the coil,', 'a second tap neighboring the first tap,', 'a third tap located substantially at a first end of the coil, and', 'a fourth tap located substantially at a second end of the coil,, 'a plurality of taps configured to contact the coil at an associated contact region, the plurality of taps comprising'}wherein the plasma processing system is configured to sustain a plasma by selecting a subset of taps from the plurality of taps to apply a power source and a reference potential; and wherein in the first operating mode, the switch is configured to couple the power source to the first tap of the plurality of taps, and to couple the reference potential to the second tap of the plurality of taps, and', 'wherein in the second operating mode, the switch is configured to couple the power source to the first tap and to couple the reference potential to the third tap of the plurality of taps and the fourth tap of the plurality of taps., 'a switch configured to operate the system in a first operating mode and a second operating mode,'}2. The system of claim 1 , wherein the plasma processing system is configured to sustain an inductively coupled plasma in the first operating mode and a capacitively coupled plasma in the second operating mode by selecting a different ...

Подробнее
04-02-2016 дата публикации

METHOD FOR A DIAMOND VAPOR DEPOSITION

Номер: US20160032450A1
Принадлежит: NEOCOAT SA

The present invention relates to a method for depositing nanocrystalline diamond using a diamond vapor deposition facility which includes: a vacuum reactor including a reaction chamber connected to a vacuum source; a plurality of plasma sources arranged along a matrix that is at least two-dimensional in the reaction chamber; and a substrate holder arranged in the reactor, said method being characterized in that the deposition is carried out at a temperature of 100 to 500° C. 1. A nanocrystalline diamond deposition method implementing a piece of chemical vapor diamond deposition equipment comprisinga vacuum reactor comprising a reaction chamber connected to a vacuum source,a plurality of plasma sources, positioned according to an at least two-dimensional matrix in the reaction chamber, anda substrate-holder positioned in the reactor, said method comprising:carrying out said deposition at a temperature comprised between 100 and 500° C. and at a pressure comprised between 0.1 and 1 mbar.2. (canceled)3. The deposition method according to claim 1 , which is achieved on a substrate having a three-dimensional surface.4. The deposition method according to claim 3 , wherein the substrate has raised/recessed elements claim 3 , either recessed or protruding claim 3 , on a surface defining a reference plane.5. The deposition method according to claim 3 , wherein the substrate has a concave or convex surface.6. The method according to claim 4 , wherein the substrate is selected from the group of materials consisting of silicon and silicon-based compounds claim 4 , diamond claim 4 , refractory metals and derivatives claim 4 , transition metals and derivatives claim 4 , stainless steels claim 4 , titanium-based alloys claim 4 , superalloys claim 4 , cemented carbides claim 4 , polymers claim 4 , ceramics claim 4 , glasses claim 4 , oxides of the molten silica claim 4 , alumina type claim 4 , and semiconductors of columns III-V or II-VI of the Periodic Classification.7. The method ...

Подробнее
01-02-2018 дата публикации

FIXTURE COMPRISING MAGNETIC MEANS FOR HOLDING ROTARY SYMMETRIC WORKPIECES

Номер: US20180030595A1
Принадлежит:

A method for using a fixture system and a fixture system for holding workpieces or parts to be treated by a plasma assisted vacuum process, the fixture system including magnetic means which generate a magnetic field with a magnetic force which is high enough for holding the workpiece or part. The magnetic means of the fixture system are designed and arranged in such a manner that magnetic field lines of the generated magnetic field are largely confined to the space including the fixture system and the body of the workpiece or part, so that a generation of unintended plasma inhomogeneities caused by the magnetic field lines is avoided. 1. A fixture system comprising several parts , at least one of the parts being a holding part for holding a workpiece comprising ferromagnetic substances , said workpiece comprising a body with two ends and exhibiting along a rotary axis a symmetric shape with a radial dimension and with surfaces to be treated by means of a plasma assisted vacuum treatment process , said holding part comprising magnetic means which generate a magnetic field with a magnetic force in the rotatory axis direction which is high enough for holding the workpiece if the workpiece is placed on a holding surface of the holding part in such a manner that one of its ends is in contact with the holding surface of the holding part , characterized in that:the magnetic means of the holding part are designed and arranged in such a manner that the magnetic field lines of the generated magnetic field are at least largely confined to the space occupied with parts of the fixture system or body of the workpiece, so that a generation of side plasmas caused by the magnetic field lines during the execution of a plasma treatment is avoided.22651651653. A fixture system according to claim 1 , characterized in that the holding part comprises a fixture base () claim 1 , a magnet yoke () comprising an opening claim 1 , an outer diameter and an inner diameter claim 1 , said magnet ...

Подробнее
02-02-2017 дата публикации

METHOD AND DEVICE FOR GENERATING A PLASMA EXCITED BY A MICROWAVE ENERGY IN THE ELECTRON CYCLOTRON RESONANCE (ECR) DOMAIN, IN ORDER TO CARRY OUT A SURFACE TREATMENT OR PRODUCE A COATING AROUND A FILIFORM ELEMENT

Номер: US20170032939A1
Принадлежит:

According to the process, the filiform component is continuously linearly moved through magnetic dipoles arranged opposite each other and around a tube constituting a treatment chamber, and the microwave energy is introduced between at least two magnetic dipoles. 1- A process to generate a plasma excited by microwave energy in a field of electron cyclotron resonance (ECR) , to execute a surface treatment or coating around a filiform component , comprising:continuously linearly moving the filiform component through at least two magnetic dipoles arranged opposite each other and around a tube constituting a treatment chamber, andintroducing the microwave energy between the at least two magnetic dipoles.2- The process according to claim 1 , further including: executing a surface treatment comprising a cleaning claim 1 , a pickling claim 1 , a functionalisation claim 1 , or a grafting.3- The process according to claim 1 , further including: coating by PECVD (plasma-enhanced chemical vapour deposition).4- A device to generate a plasma around a continuously linearly driven filiform component and to produce microwave energy in a field of cyclotron resonance claim 1 , comprising: at least one module composed of two magnetic dipoles arranged opposite each other and mounted around a tube constituting a treatment chamber and through which the filiform component to be treated is linearly moved claim 1 , and a microwave applicator being mounted between the two dipoles.5- The device according to claim 4 , wherein the magnetic dipoles comprise annular magnets.6- The device according to claim 5 , wherein the annular magnets comprise permanent magnets.7- The device according to claim 5 , wherein the annular magnets comprise electromagnet coils.8- The device according to claim 4 , wherein the microwave applicator is arranged perpendicularly to a central axis of the tube.9- The device according to claim 4 , wherein the tube constitutes a Tee having a median branch and two other ...

Подробнее
02-02-2017 дата публикации

CHEMICAL VAPOR DEPOSITION TOOL AND OPERATING METHOD THEREOF

Номер: US20170032940A1
Принадлежит:

A chemical vapor deposition (CVD) tool includes a processing chamber, a remote plasma system, a first gas source, a second gas source, a first gas passage and a second gas passage. The remote plasma system is connected to the processing chamber. The first gas passage connects the first gas source, the remote plasma system and the processing chamber. The second gas passage connects the second gas source and the processing chamber, and bypasses the remote plasma system. 1. A chemical vapor deposition (CVD) tool comprising:a processing chamber;a remote plasma system connected to the processing chamber;a first gas source;a second gas source;a first gas passage connecting the first gas source, the remote plasma system, and the processing chamber; anda second gas passage connecting the second gas source and the processing chamber, and bypassing the remote plasma system.2. The CVD tool of claim 1 , wherein the first gas source is a cleaning gas source configured to provide cleaning gas to the remote plasma system through the first gas passage; andwherein the remote plasma system is configured to covert the cleaning gas into a cleaning plasma and then supply the cleaning plasma to the processing chamber through the first gas passage.3. The CVD tool of claim 1 , wherein the second gas source is a processing gas source configured to provide processing gas to the processing chamber through the second gas passage.4. The CVD tool of claim 3 , further comprising:a radio frequency generating device disposed in the processing chamber to energize the processing gas.5. The CVD tool of claim 1 , further comprising:a first valve configured to control a gas flow through the first gas passage.6. The CVD tool of claim 1 , further comprising:a second valve configured to control a gas flow through the second gas passage.7. The CVD tool of claim 1 , further comprising:a gas panel connecting the first gas source and the second gas source, wherein the first gas passage and the second gas ...

Подробнее
31-01-2019 дата публикации

METHOD AND DEVICE FOR PLASMA TREATMENT OF CONTAINERS

Номер: US20190032200A1
Принадлежит:

A method and a device for plasma treatment of containers by means of a plurality of treatment segments each having at least one plasma station on a plasma module comprising a plasma wheel, wherein, during an operational malfunction and/or a cut-out in at least one of the plasma stations, the process gas, before being supplied to the plasma station in question, is carried off into the respective plasma chamber and/or the container held therein, by means of at least one bypass line. 1513231740. Method for the plasma treatment of containers () by means of a plasma module () comprising a plurality of plasma stations () on a plasma wheel () , with each plasma station () comprising at least one plasma chamber () having at least one treatment place () , with these steps:{'b': 5', '5', '1', '17', '40', '3, 'inserting and positioning of at least one container () having a container interior (.) in the plasma chamber () on the treatment place () of a corresponding plasma station (),'}{'b': 17', '5', '1, 'at least partial evacuation of the respective plasma chamber () and of the at least one container interior (.),'}{'b': 5', '1', '17', '3, 'at least partial application of a process gas to the container interior (.) inside the at least partially evacuated plasma chamber (), with the at least partial application being simultaneously effected at at least one plasma station () by a central process gas supply,'}{'b': 5', '1, 'providing at least the container interior (.) with an internal coating by means of plasma treatment, and'}{'b': 17', '5', '1', '5, 'after the plasma treatment, carrying out a venting operation in which both the plasma chamber () and the at least one container interior (.) of the container () are at least partially vented, wherein'}{'b': 40', '3', '40', '5', '84, 'in the event of an operational malfunction and/or cut-out in at least one treatment place () of one of the plasma stations (), before the process gas is supplied to the treatment place () and/or to ...

Подробнее
30-01-2020 дата публикации

METHOD FOR DEPOSITING A SILICON NITRIDE FILM AND FILM DEPOSITION APPARATUS

Номер: US20200032390A1
Автор: KATO Hitoshi
Принадлежит:

A method for depositing a silicon nitride film is provided. A silicon nitride film is deposited in a depression formed in a surface of a substrate from a bottom surface and a lateral surface by ALD toward a center of the depression in a lateral direction so as to narrow a space at the center of the depression. First nitrogen radicals are adsorbed into the depression immediately before a stage of filling the space at the center with the silicon nitride film deposited toward the center of the depression. A silicon-containing gas is adsorbed on the first nitrogen radical in the depression by physical adsorption. Second nitrogen radicals are supplied into the depression so as to release the silicon-containing gas from the first nitrogen radical and to cause the released silicon-containing gas to react with the second nitrogen radical, thereby depositing a silicon nitride film to fill the central space. 1. A method for depositing a silicon nitride film , comprising steps of:depositing a silicon nitride film in a depression formed in a surface of a substrate from a bottom surface and a lateral surface by ALD toward a center of the depression in a lateral direction so as to narrow a space at the center of the depression;adsorbing a first nitrogen radical into the depression immediately before a stage of filling the space at the center with the silicon nitride film deposited toward the center of the depression;adsorbing a silicon-containing gas on the first nitrogen radical in the depression by physical adsorption; andsupplying a second nitrogen radical into the depression so as to release the silicon-containing gas from the first nitrogen radical and to cause the released silicon-containing gas to react with the second nitrogen radical, thereby depositing a silicon nitride film to fill the space at the center.2. The method for depositing a silicon nitride film according to claim 1 , wherein the step of depositing the silicon nitride film to fill the space is performed by ...

Подробнее
30-01-2020 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20200032395A1
Принадлежит: Tokyo Electron Ltd

A plasma processing method executed by a plasma processing apparatus in the present disclosure includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film on the side walls of an opening in the processing target, the first film having different thicknesses along a spacing between pairs of side walls facing each other. In the second step, the plasma processing apparatus forms a second film by performing a film forming cycle once or more times after the first step, the second film having different thicknesses along the spacing between the pairs of side walls facing each other.

Подробнее
01-02-2018 дата публикации

SUBSTRATE SUPPORT WITH INCREASING AREAL DENSITY AND CORRESPONDING METHOD OF FABRICATING

Номер: US20180033672A1
Принадлежит:

A substrate support for a substrate processing system is provided and includes a body and mesas. The mesas are distributed across and extending from and in a direction away from the body. The mesas are configured to support a substrate. Each of the mesas includes a surface area that contacts and supports the substrate. Areal density of the mesas monotonically increases as a radial distance from a center of the substrate support increases. 1. A substrate support for a substrate processing system , the substrate support comprising:a body; anda plurality of mesas distributed across and extending from and in a direction away from the body and are configured to support a substrate, each of the plurality of mesas includes a surface area that contacts and supports the substrate, and', 'areal density of the plurality of mesas monotonically increases as a radial distance from a center of the substrate support increases., 'wherein'}2. The substrate support of claim 1 , wherein the areal density of the plurality of mesas linearly increases with increase in the radial distance.3. The substrate support of claim 1 , wherein:the surface areas of the plurality of mesas are circular-shaped; anddiameters of the plurality of mesas monotonically increase with increase in the radial distance.4. The substrate support of claim 1 , wherein the plurality of mesas have a same height.5. The substrate support of claim 1 , wherein:the plurality of mesas are configured to provide uniform wear on a backside of the substrate; andthe backside of the substrate faces the surface areas of the plurality of mesas.6. The substrate support of claim 1 , wherein the plurality of mesas are cylindrically-shaped or semi-spherically-shaped.7. The substrate support of claim 1 , wherein:the substrate support includes a plurality of local regions;each of the plurality of local regions is defined by a local radius between (i) a center of the corresponding local region and (ii) centers of a set of the plurality of ...

Подробнее
04-02-2021 дата публикации

RADIO FREQUENCY POWER RETURN PATH

Номер: US20210032748A1
Принадлежит:

Embodiments presented herein are directed to radio frequency (RF) grounding in process chambers. In one embodiment, a dielectric plate is disposed between a chamber body and a lid of a process chamber. The dielectric plate extends laterally into a volume defined by the chamber body and the lid. A substrate support is disposed in the volume opposite the lid. The substrate support includes a support body disposed on a stem. The support body includes a central region and a peripheral region. The peripheral region is radially outward of the central region. The central region has a thickness less than a thickness of the peripheral region. A flange is disposed adjacent to a bottom surface of the peripheral region. The flange extends radially outward from an outer edge of the peripheral region. A bellows is disposed on the flange and configured to sealingly couple to the dielectric plate. 1. An apparatus , comprising:a chamber body and a lid defining a volume therein;a dielectric plate disposed between the chamber body and the lid, the dielectric plate extending laterally into the volume; a support body disposed on a stem, the support body including a central region and a peripheral region radially outward of the central region, the central region having a thickness less than a thickness of the peripheral region; and', 'a flange adjacent to a bottom surface of the peripheral region, the flange extending radially outward of an outer edge of the peripheral region; and, 'a substrate support disposed in the volume opposite the lid, the substrate support comprising2. The apparatus of claim 1 , further comprising a bellows disposed on the flange and configured to sealingly couple to the dielectric plate.3. The apparatus of claim 1 , further comprising a conductive rod extending through the stem.4. The apparatus of claim 3 , wherein the conductive rod is capable of coupling to ground.5. The apparatus of claim 1 , further comprising a ground plate disposed between the support body ...

Подробнее
17-02-2022 дата публикации

VACUUM PROCESSING APPARATUS AND METHOD FOR CONTROLLING VACUUM PROCESSING APPARATUS

Номер: US20220051876A1
Автор: Mori Kiyoshi
Принадлежит:

The present disclosure relates to a vacuum processing apparatus. The vacuum processing apparatus includes a processing container capable of maintaining an inside thereof in a vacuum atmosphere, a stage provided in the processing container and on which a substrate is placed, a support member passing through an opening formed at a bottom of the processing container to support the stage from below, a holder part located outside the processing container, a flange part arranged around the opening on the outside of the processing container, and a sealing part configured to be expandable and contractible and provided inside the spherical bearing along the circumferential direction of the opening so as to airtightly seal at least a space between the flange part and the holder part. 1. A vacuum processing apparatus comprising:a processing container capable of maintaining an inside thereof in a vacuum atmosphere;a stage provided in the processing container and on which a substrate is placed;a support member passing through an opening formed at a bottom of the processing container and supporting the stage from below;a holder part located outside the processing container, wherein an end of the support member is fixed to the holder part to be integrally movable with the stage, and the holder part includes an inner turn portion formed to cover the opening from the outside of the processing container and forming a movable part of a spherical bearing along a circumferential direction of the opening;a flange part arranged around the opening on the outside of the processing container, wherein the flange part includes an outer turn portion engaged with the inner turn portion and forming a fixed part of the spherical bearing; anda sealing part configured to be expandable and contractible and provided inside the spherical bearing along the circumferential direction of the opening so as to airtightly seal at least a space between the flange part and the holder part.2. The vacuum ...

Подробнее
17-02-2022 дата публикации

Upper electrode and substrate processing apparatus including the same

Номер: US20220051877A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An upper electrode used for a substrate processing apparatus using plasma is provided. The upper electrode includes a bottom surface including a center region and an edge region having a ring shape and surrounding the center region, a first protrusion portion protruding toward plasma from the edge region and having a ring shape, wherein the first protrusion portion includes a first apex corresponding to a radial local maximum point toward the plasma, and a first distance, which is a radial-direction distance between the first apex and a center axis of the upper electrode, is greater than a radius of a substrate.

Подробнее
17-02-2022 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20220051919A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A method comprising:receiving, by a plurality of low frequency paths, a low frequency modified radio frequency (RF) signal to output a plurality of low frequency RF signals;receiving, by a plurality of high frequency paths, a high frequency modified RF signal to output a plurality of high frequency RF signals;combining, by a plurality of output paths, the plurality of low frequency RF signals and the plurality of high frequency RF signals to output a plurality of combined signals to a plurality of plasma processing stations; andcontrolling, by a plurality of shunt inductors, a plurality of amounts of current of the plurality of combined signals provided to the plurality of plasma processing stations, wherein each of the plurality of shunt inductors is coupled to a corresponding one of the plurality of low frequency paths.2. The method of claim 1 , wherein said receiving the low frequency modified RF signal includes:receiving the low frequency modified RF signal at a low frequency input; andsplitting, at the low frequency input, the low frequency modified RF signal into a plurality of input signals.3. ...

Подробнее
31-01-2019 дата публикации

METHOD OF DEPOSITING FILM BY PEALD USING NEGATIVE BIAS

Номер: US20190035605A1
Автор: Suzuki Toshiya
Принадлежит:

A method of forming a film on a substrate by PEALD includes deposition cycles, each including (i) feeding a precursor in a pulse to a reaction space to adsorb a precursor on a surface of a substrate; (ii) after step (i), applying RF power to a second electrode to generate in the reaction space a plasma to which the precursor-adsorbed surface is exposed, thereby forming a sublayer on the surface; and (iii) applying a bias voltage to the second electrode while applying RF power in step (ii), which bias voltage is negative with reference to a potential on a surface of the first electrode, wherein the cycle is repeated to deposit multiple sublayers until a film constituted by the sublayers has a desired thickness. 1. A method of forming a film on a substrate by plasma-enhanced atomic layer deposition (PEALD) in a reaction space defined by capacitively coupled first and second electrodes facing each other wherein the substrate is placed on the first electrode facing the second electrode , said PEALD comprising deposition cycles , each cycle comprising:(i) feeding a precursor in a pulse to the reaction space to adsorb the precursor on a surface of the substrate;(ii) after step (i), applying RF power to the second electrode to generate in the reaction space a plasma to which the precursor-adsorbed surface is exposed, thereby forming a sublayer on the surface; and(iii) applying a bias voltage to the second electrode while applying RF power in step (ii), said bias voltage being negative with reference to a potential on a surface of the first electrode,wherein the cycle is repeated to deposit multiple sublayers until a film constituted by the sublayers has a desired thickness.2. The method according to claim 1 , wherein the bias voltage is a DC voltage.3. The method according to claim 1 , wherein the bias voltage is an AC voltage having a frequency of 1 MHz or less claim 1 , whose average voltage is not zero.4. The method according to claim 1 , wherein the first electrode is ...

Подробнее
30-01-2020 дата публикации

METHOD AND APPARATUS FOR FORMING HARD MASK FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES

Номер: US20200035491A1
Автор: FUJINO Yutaka
Принадлежит:

A hard mask film forming method includes preparing a substrate in which an etching target film is formed on a base. The hard mask film forming method further includes forming a hard mask film on the substrate while controlling film forming parameters such that tensile stress is set as initial film stress and the tensile stress monotonously increases from a bottom surface of the hard mask film toward an upper surface of the hard mask film. 1. A hard mask film forming method , comprising:preparing a substrate in which an etching target film is formed on a base; andforming a hard mask film on the substrate while controlling film forming parameters such that a tensile stress is set as an initial film stress and the tensile stress monotonously increases from a bottom surface of the hard mask film toward an upper surface of the hard mask film.215. The hard mask film forming method of claim 1 , wherein said forming the hard mask film is performed by a chemical vapor deposition.3. The hard mask film forming method of claim 2 , wherein said forming the hard mask film is performed by a plasma chemical vapor deposition.4. The hard mask film forming method of claim 3 , wherein plasma in the plasma chemical vapor deposition is microwave plasma.5. The hard mask film forming method of claim 3 , wherein the film forming parameter is at least one of a flow rate of a film forming gas claim 3 , a pressure claim 3 , and a plasma density.65. The hard mask film forming method of claim 4 , wherein the film forming parameter is at least one of a flow rate of a film forming gas claim 4 , a pressure claim 4 , and a plasma density.7. The hard mask film forming method of claim 1 , wherein the hard mask film is an SiN film.8. A semiconductor device manufacturing method comprising:preparing a substrate in which an etching target film is formed on a base;forming a hard mask film on the substrate while controlling film forming parameters such that a tensile stress is set as an initial film stress ...

Подробнее
30-01-2020 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20200035503A1
Автор: Masahiro Tabata
Принадлежит: Tokyo Electron Ltd

A plasma processing method executed by a plasma processing apparatus includes a first step, a second step, and an etching step. In the first step, the plasma processing apparatus forms a first film on a processing target in which a plurality of openings having a predetermined pattern are formed. In the second step, the plasma processing apparatus forms a second film having an etching rate lower than that of the first film on the processing target on which the first film is formed, and having different film thicknesses on the side surfaces of the openings according to the sizes of the openings. In the etching step, the plasma processing apparatus performs etching from above the second film under a predetermined processing condition until a portion of the first film is removed from at least a portion of the processing target.

Подробнее
30-01-2020 дата публикации

Method for Controlling Core Critical Dimension Variation Using Flash Trim Sequence

Номер: US20200035572A1
Принадлежит:

A pattern of core material is formed on a wafer to include core features that have a critical dimension. A trim amount indicates an average amount of thickness to be removed from vertically oriented surfaces of the core features. A trim profile indicates how much variation in removal of thickness from vertically oriented surfaces of the core features is to be applied as a function of radial location on the wafer. A first set of data correlates the trim amount to one or more plasma trim process parameters. A second set of data correlates the trim profile to one or more plasma trim process parameters. Based on the trim amount, trim profile, and first and second sets of data, a set of plasma trim process parameters to achieve the trim amount and trim profile on the wafer is determined and a corresponding plasma trim process is performed on the wafer. 1. A method for controlling core critical dimension , comprising:receiving a target trim amount as an input parameter, the target trim amount indicating an average amount of thickness to be removed from vertically oriented surfaces of one or more core features that form a pattern of a core material on a wafer, wherein the one or more core features have a critical dimension as measured in a horizontal direction parallel to a bottom surface of the wafer;receiving a target trim profile as an input parameter, the target trim profile indicating how much variation in removal of thickness from vertically oriented surfaces of the one or more core features is to be applied as a function of radial location on the wafer between a center of the wafer and a peripheral edge of the wafer when performing the target trim amount on the wafer;accessing a first set of data that correlates an amount of trim to one or more plasma trim process parameters;accessing a second set of data that correlates a profile of trim to one or more plasma trim process parameters;based on the target trim amount, the target trim profile, the first set of data, ...

Подробнее
09-02-2017 дата публикации

MAGNET ARRAY FOR PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION

Номер: US20170040140A1
Принадлежит:

Provided herein is an apparatus comprising a deposition chamber with a cathode, and a means for creating an asymmetric field about the cathode. 1. An apparatus comprising:a deposition chamber; 'wherein the cathode comprises a filament;', 'a cathode in the deposition chamber,'}a magnet adjacent to a deposition chamber;a magnet holder connected to the magnet; anda motor configured to create an asymmetric field about the filament through movement of the magnet.2. The apparatus of claim 1 ,wherein the magnet holder comprises a sleeve.3. The apparatus of claim 1 ,wherein the magnet holder comprises a first plate.4. The apparatus of claim 1 , further comprising:an enclosure surrounding the magnet.5. The apparatus of claim 4 , further comprising:a second plate adjacent to the enclosure.6. The apparatus of claim 5 ,wherein the magnet holder and the second plate are configured for relative rotation.7. The apparatus of claim 1 ,wherein the filament is asymmetrical relative to a centerline axis.8. An apparatus comprising:a deposition chamber including a cathode; anda means for creating an asymmetric field about the cathode.9. The apparatus of claim 8 , further comprising: 'wherein the means for creating an asymmetric field about the cathode includes a motor configured to move the magnet.', 'a magnet array adjacent to the deposition chamber comprising a magnet,'}10. The apparatus of claim 8 ,wherein the means for creating the asymmetric field about the cathode includes multiple magnet arrays.11. The apparatus of claim 8 ,wherein the means for creating the asymmetric field about the cathode includes multiple magnets and non-magnetic weights.12. The apparatus of claim 9 ,wherein the magnet is stationary relative to a plate during movement of the magnet array.13. The apparatus of claim 9 , further comprising:a non-magnetic weight positioned to counter balance the magnet.14. The apparatus of claim 9 ,wherein the magnet is positioned outside a feed-through diameter.15. A method ...

Подробнее
09-02-2017 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: US20170040145A1
Принадлежит: Element Six Technologies Ltd

A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition, the microwave plasma reactor comprising: a plasma chamber defining a resonant cavity for supporting a primary microwave resonance mode having a primary microwave resonance mode frequency f; a plurality of microwave sources coupled to the plasma chamber for generating and feeding microwaves having a total microwave power Pτ into the plasma chamber; a gas flow system for feeding process gases into the plasma chamber and removing them therefrom; and a substrate holder disposed in the plasma chamber and comprising a supporting surface for supporting a substrate on which the synthetic diamond material is to be deposited in use, wherein the plurality of microwave sources are configured to couple at least 30% of the total microwave power Pτ into the plasma chamber in the primary microwave resonance mode frequency f, and wherein at least some of the plurality of microwave sources are solid state microwave sources.

Подробнее
08-02-2018 дата публикации

SURFACE TREATMENT FOR IMPROVEMENT OF PARTICLE PERFORMANCE

Номер: US20180040457A1
Автор: Wu Banqiu
Принадлежит: Applied Materials, Inc.

Implementations of the disclosure provide a surface treatment process for chamber components. In one implementation, the chamber component includes a crystalline body comprising machined surfaces including at least a reflowed surface layer formed in a plasma treatment chamber by placing the body on a pedestal disposed within the plasma chamber, maintaining a pressure in the plasma chamber at 0.1-100 mTorr, flowing a gas into the plasma chamber at a flow rate of 10-500 sccm, applying an RF power to an inductive coil of the plasma chamber to form a plasma from the gas in the plasma chamber, the RF power of 300 Watts is applied at a frequency of 10 kHz to 160 MHz, and applying an RF bias power of 100 Watts at a frequency of 10 kHz to 160 MHz to the pedestal to bombard the body with ions from the plasma for 10-100 hours. 1. A component for use in a process chamber , comprising: placing the body on a pedestal disposed within the plasma chamber;', 'maintaining a pressure in the plasma chamber at 0.5 mTorr to 100 mTorr;', 'flowing a gas into the plasma chamber at a flow rate of 10 sccm to 500 sccm;', 'applying an RF power to an inductive coil of the plasma chamber to form a plasma from the gas in the plasma chamber, wherein the RF power is applied on the range of 300 Watts at a frequency of 10 kHz to 160 MHz; and, 'a crystalline body comprising machined surfaces facing a processing region of the process chamber, wherein the machined surfaces comprises at least a reflowed surface layer formed in a plasma treatment chamber bywhile applying the RF power to the inductive coil, applying an RF bias power to the pedestal to bombard the body with ions from the plasma, wherein the RF bias power is applied on the range of 30 to 500 Watts at a frequency of 10 kHz to 160 MHz, and the body is bombarded with ions for about 10 hours to about 100 hours.2. The component of claim 1 , wherein the crystalline body is a shield claim 1 , a showerhead claim 1 , a chamber lid claim 1 , a ring ...

Подробнее
18-02-2021 дата публикации

CHAMBER CONFIGURATIONS FOR CONTROLLED DEPOSITION

Номер: US20210047730A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing chambers may include a showerhead. The chambers may also include a substrate support characterized by a first surface facing the showerhead. The first surface may be configured to support a semiconductor substrate. The substrate support may define a recessed pocket centrally located within the first surface. The recessed pocket may be defined by an outer radial wall characterized by a height from the first surface within the recessed pocket that is greater than or about 150% of a thickness of the semiconductor substrate. 1. A semiconductor processing chamber comprising:a showerhead; anda substrate support characterized by a first surface facing the showerhead, the first surface configured to support a semiconductor substrate, wherein the substrate support defines a recessed pocket centrally located within the first surface, the recessed pocket defined by an outer radial wall characterized by a height from the first surface within the recessed pocket greater than or about 150% of a thickness of the semiconductor substrate.2. The semiconductor processing chamber of claim 1 , wherein the outer radial wall is characterized by a height from the first surface within the recessed pocket that is less than or about 500% of a thickness of the semiconductor substrate.3. The semiconductor processing chamber of claim 1 , wherein the outer radial wall is characterized by an angle relative to the first surface of the substrate support of less than or about 90°.4. The semiconductor processing chamber of claim 1 , wherein the outer radial wall is characterized by an angle relative to the first surface of the substrate support of greater than or about 60°.5. The semiconductor processing chamber of claim 1 , wherein the outer radial wall is characterized by a radius that is less than or about 102% of a radius of the semiconductor substrate.6. The semiconductor processing chamber of claim 1 , wherein the outer radial wall is formed by the substrate ...

Подробнее
24-02-2022 дата публикации

Method of manufacturing semiconductor device and film forming apparatus

Номер: US20220059396A1
Автор: Tatsuya Yamaguchi
Принадлежит: Tokyo Electron Ltd

A method of manufacturing a semiconductor includes adjusting a temperature of a substrate having a recess formed therein and accommodated in a container to a temperature within a range of 200 degrees C. or higher and 280 degrees or lower, and laminating a polyurea film in the recess in the substrate by supplying isocyanate gas and amine gas into the container.

Подробнее
07-02-2019 дата публикации

ELECTROSTATIC SHIELD FOR SUBSTRATE SUPPORT

Номер: US20190043698A1
Автор: PARKHE Vijay D.
Принадлежит:

Embodiments of the disclosure relate to a shield used within a plasma processing chamber. In one embodiment, a kit for shielding a substrate support is disclosed. The kit includes a plate having a central aperture and a hollow shell assembly configured to be coupled to the plate around the central aperture. The hollow shell assembly includes a plurality of shell portions removably coupled together. In another embodiment, a shielded substrate support includes a pedestal body having one or more heating elements, a hollow stem coupled to the pedestal body, a heater support coupled to the stem and a shield assembly coupled to the heater support. The shield assembly includes a plate having a central aperture and a hollow shell assembly configured to be coupled to the plate around the central aperture. The hollow shell assembly includes a plurality of shell portions removably coupled together. 1. A kit for shielding substrate supports , comprising:a plate having a central aperture, the plate configured to cover a surface of a pedestal body; anda hollow shell assembly configured to be coupled to the plate, the hollow shell assembly comprising a plurality of shell portions removably coupled together configured to surround a stem extending from the pedestal body.2. The kit of claim 1 , wherein the plate further comprises:a plurality of peripheral holes configured to couple with one or more ground straps.3. The kit of claim 1 , wherein at least one of the shell portions comprises:a plurality of holes configured to couple with a heater support.4. The kit of claim 1 , wherein the hollow shell assembly further comprises:a top portion having a diameter greater than a diameter of the central aperture, the top portion configured to attach to the central aperture;an elongated central portion extending from the top portion, the elongated central portion having a diameter less than the diameter of the top portion; anda bottom portion extending from the elongated central portion, the ...

Подробнее
06-02-2020 дата публикации

GAS BOX FOR CVD CHAMBER

Номер: US20200043704A1
Принадлежит:

Embodiments of the present disclosure relate to apparatus for improving quality of films deposited on a substrate by a CVD process. More specifically, a branched gas feed assembly uniformly distributes a process gas entering an annular plenum. Each conduit of a first plurality of conduits having substantially equal flow conductance is in fluid communication with one or more conduits of a second plurality of conduits having substantially equal flow conductance. Each conduit of the second plurality of conduits terminates at one of a plurality of outlets. Each outlet of the plurality of outlets is in fluid communication with one or more inlet ports of a plurality of inlet ports formed in the annular plenum. Each inlet port of the plurality of inlet ports is spaced equidistant about a central axis of the annular plenum. 1. An apparatus , comprising:a lid and a chamber body defining a process volume therein, the lid having a port formed therethrough;a gas feed tube having a first end and a second end, an opening at the first end in fluid communication with the port;a first plurality of conduits having substantially equal flow conductance in fluid communication with the gas feed tube, each conduit of the first plurality of conduits terminating at one of a first plurality of outlets;a second plurality of conduits having substantially equal flow conductance, each conduit of the second plurality of conduits in fluid communication with one of the first plurality of outlets, each conduit of the second plurality of conduits terminating at one of a second plurality of outlets; anda plenum body defining an annular plenum therein, the plenum body having a plurality of inlet ports formed therein, each outlet of the second plurality of outlets in fluid communication with at least one of the plurality of inlet ports, the plurality of inlet ports spaced equidistant about a central axis of the plenum body, the gas feed tube having at least one aperture in fluid communication with the ...

Подробнее
06-02-2020 дата публикации

CHAMBER LINER

Номер: US20200043706A1
Принадлежит:

Embodiments described herein generally relate to apparatus and methods for processing a substrate utilizing a high radio frequency (RF) power. The high RF power enables deposition of films on the substrate with more desirable properties. A first plurality of insulating members is disposed on a plurality of brackets and extends laterally inward from a chamber body. A second plurality of insulating members is disposed on the chamber body and extends from the first plurality of insulating members to a support surface of the chamber body. The insulating members reduce the occurrence of arcing between the plasma and the chamber body. 1. An apparatus , comprising:a chamber body defining a process volume, the chamber body having a support surface formed therein;a lid coupled to the chamber body;a showerhead disposed within the process volume;a plurality of brackets coupled to and extending laterally inward from the chamber body;a support pedestal disposed within the process volume opposite the showerhead;a first plurality of insulating members disposed on the plurality of brackets and extending laterally inward from the chamber body; anda second plurality of insulating members disposed on the chamber body, each insulating member of the second plurality of insulating members extending from the first plurality of insulating members to the support surface of the chamber body.2. The apparatus of claim 1 , wherein each bracket of the plurality of brackets comprises an aluminum material.3. The apparatus of claim 1 , wherein each insulating member of the first plurality of insulating members and each insulating member of the second plurality of insulating members comprise a ceramic material.4. The apparatus of claim 1 , wherein each insulating member of the first plurality of insulating members and each insulating member of the second plurality of insulating members comprise a polymer material.5. The apparatus of claim 1 , wherein the second plurality of insulating members is ...

Подробнее
06-02-2020 дата публикации

ELECTRODE PLATE FOR PLASMA PROCESSING APPARATUS AND METHOD FOR REGENERATING ELECTRODE PLATE FOR PLASMA PROCESSING APPARATUS

Номер: US20200043707A1
Принадлежит:

According to the present invention, an electrode plate for a plasma processing apparatus is provided, which includes an air hole through which a gas for plasma generation passes, the electrode plate for a plasma processing apparatus including: a base; and a coating layer provided on at least one front surface of the base, and in which the base is formed of a material having a plasma resistance higher than the plasma resistance of a material forming the coating layer. 1. An electrode plate for a plasma processing apparatus , which includes an air hole through which a gas for plasma generation passes , the electrode plate comprising:a base; anda coating layer provided on at least one front surface of the base,wherein the base is formed of a material having a plasma resistance higher than the plasma resistance of a material forming the coating layer.2. The electrode plate for a plasma processing apparatus according to claim 1 ,{'sub': 2', '3', '2', '3', '2', '3', '2', '3, 'wherein the material forming the base is one or a mixture of two or more selected from the group consisting of YO, AlO, and AlN, or a mixture of SiC and one or more selected from the group consisting of YO, AlO, and AlN, and'}wherein the material forming the coating layer is dense silicon carbide.3. A method for regenerating an electrode plate for a plasma processing apparatus claim 1 , in which the electrode plate for a plasma processing apparatus includes an air hole through which a gas for plasma generation passes claim 1 , in which the electrode plate for a plasma processing apparatus includes a base and a coating layer provided on at least one front surface of the base claim 1 , and in which the coating layer is formed of dense silicon carbide and the base is formed of a material having a plasma resistance higher than the plasma resistance of the dense silicon carbide claim 1 , the method comprising:a step of recoating a dense silicon carbide layer on a front surface of the electrode plate for ...

Подробнее
06-02-2020 дата публикации

COMPENSATING CHAMBER AND PROCESS EFFECTS TO IMPROVE CRITICAL DIMENSION VARIATION FOR TRIM PROCESS

Номер: US20200043709A1
Принадлежит:

A controller includes memory that stores data correlating accumulation values to respective adjustment factors. The accumulation values correspond to accumulation of material on surfaces within a processing chamber and the respective adjustment factors correspond to adjustments to a control parameter of RF power provided to the processing chamber. An accumulation calculation module is configured to calculate a first accumulation value indicating an amount of accumulation of the material. An RF power control module is configured to receive the first accumulation value, receive at least one of a setpoint power and a duration of an etching step, retrieve the stored data from the memory, adjust the control parameter based on the first accumulation value, the at least one of the setpoint power and the duration of the etching step, and the stored data, and control the RF power provided to the processing chamber in accordance with the adjusted control parameter. 1. A controller , comprising:memory that stores data correlating accumulation values to respective adjustment factors, wherein the accumulation values correspond to accumulation of material on surfaces within a processing chamber configured to perform etching and deposition on a substrate, and wherein the respective adjustment factors correspond to adjustments to a control parameter of radio frequency (RF) power provided to the processing chamber while performing an etching step of a patterning process;an accumulation calculation module configured to calculate a first accumulation value indicating an amount of accumulation of the material; and receive the first accumulation value,', 'receive at least one of a setpoint power to be provided during the etching step and a duration of the etching step,', 'retrieve the stored data from memory,', 'adjust the control parameter based on the first accumulation value, the at least one of the setpoint power and the duration of the etching step, and the stored data, and', ' ...

Подробнее
18-02-2021 дата публикации

PEALD TITANIUM NITRIDE WITH DIRECT MICROWAVE PLASMA

Номер: US20210050186A1
Принадлежит: Applied Materials, Inc.

A method of depositing titanium nitride is disclosed. Some embodiments of the disclosure provide a PEALD process for depositing titanium nitride which utilizes a direct microwave plasma. In some embodiments, the direct microwave plasma has a high plasma density and low ion energy. In some embodiments, the plasma is generated directly above the substrate surface. 1. A method of depositing titanium nitride , the method comprising sequentially exposing a substrate surface to a titanium precursor and a direct microwave plasma generated from a plasma gas.2. The method of claim 1 , wherein the direct microwave plasma is generated within 20 mm of the substrate surface.3. The method of claim 1 , wherein the direct microwave plasma is generated by one or more microwave sources comprising an applicator with a dielectric resonant cavity.4. The method of claim 3 , wherein a distance between the one or more microwave sources and the substrate surface is less than or equal to 50 mm.5. The method of claim 1 , wherein the plasma gas comprises ammonia or nitrogen gas (N).6. The method of claim 4 , wherein the plasma gas further comprises one or more of argon or hydrogen.7. The method of claim 1 , wherein the microwave plasma power delivered to plasmas gas is greater than or equal to 500 W.8. The method of claim 1 , wherein the substrate surface is maintained at a temperature of less than or equal to 600° C.9. A method of depositing titanium nitride claim 1 , the method comprising sequentially exposing a substrate surface to a titanium precursor claim 1 , ammonia gas claim 1 , and a direct microwave plasma generated from a plasma gas.10. The method of claim 9 , wherein the direct microwave plasma is generated by one or more microwave sources comprising an applicator with a dielectric resonant cavity.11. The method of claim 9 , wherein the plasma gas comprises argon.12. The method of claim 11 , wherein the plasma gas further comprises hydrogen gas (H) claim 11 , ammonia or nitrogen ...

Подробнее
15-02-2018 дата публикации

FILM FORMING APPARATUS AND GAS INJECTION MEMBER USED THEREFOR

Номер: US20180047541A1
Принадлежит:

A film forming apparatus, for forming a film on a target substrate using a processing gas excited by plasma, includes: a processing chamber for accommodating the substrate; a mounting table for mounting thereon the substrate in the processing chamber; a gas injection member provided to face the substrate mounted on the mounting table and configured to inject the processing gas toward the target substrate on the mounting table; and a plasma generation unit for exciting the processing gas by generating plasma between the gas injection member and the mounting table. The gas injection member has a gas injection surface facing the mounting table. Gas injection holes are formed in the gas injection surface. A gas injection hole forming region, on the gas injection surface, where the gas injection holes are formed is smaller than a region on the gas injection surface which corresponds to the target substrate. 1. A film forming apparatus for forming a film on a target substrate using a processing gas excited by plasma , the apparatus comprising:a processing chamber configured to accommodate the target substrate;a mounting table configured to mount thereon the target substrate in the processing chamber;a gas injection member provided to face the target substrate mounted on the mounting table and configured to inject the processing gas toward the target substrate on the mounting table; anda plasma generation unit configured to excite the processing gas by generating plasma between the gas injection member and the mounting table,wherein the gas injection member has a gas injection surface facing the mounting table,wherein a plurality of gas injection holes is formed in the gas injection surface, andwherein a gas injection hole forming region, on the gas injection surface, where the gas injection holes are formed is smaller than a region on the gas injection surface which corresponds to the target substrate.2. The film forming apparatus of claim 1 , wherein the gas injection ...

Подробнее
26-02-2015 дата публикации

Plasma processing apparatus

Номер: US20150053553A1

The antenna has a structure that the high frequency electrode is received in a dielectric case. The high frequency electrode has a go-and-return conductor structure that two electrode conductors are disposed close to and in parallel to each other with a gap therebetween to form a rectangular plate shape as a whole, and the two electrode conductors are connected by a conductor at an end in the longitudinal direction. A high frequency current flows in the two electrode conductors in opposite directions. A plurality of openings are formed on edges of the two electrode conductors on the side of the gap, and the openings are dispersed and arranged in the longitudinal direction of the high frequency electrode. The antenna is disposed in a vacuum container in a direction that a main surface of the high frequency electrode and a surface of the substrate are substantially perpendicular to each other.

Подробнее
14-02-2019 дата публикации

PLASMA TREATMENT DEVICE AND STRUCTURE OF REACTION VESSEL FOR PLASMA TREATMENT

Номер: US20190048468A1
Принадлежит:

The present invention improves the in-plane uniformity of film formation via a plasma treatment. It is provided a plasma treatment device constituted so that process gas introduced between an electrode plate and a shower plate is exhausted toward a counter electrode through a plurality of small holes formed in the shower plate, the plasma treatment device comprising a diffuser plate having a plurality of small holes, the diffuser plate being arranged substantially parallel with the shower plate, wherein the process gas is introduced between the electrode plate and the diffuser plate, passes through the plural small holes of the diffuser plate, reaches the shower plate and flows out from the plural small holes of the shower plate toward the electrode plate, and wherein within the small holes formed in the diffuser plate and the small holes formed in the shower plate, the small holes formed in a plate which exists more downstream along a flowing direction of the process gas are made in smaller diameters and an aperture ratio of each plate is made smaller in a plate which exists more upstream along the flowing direction of the process gas. 1. A plasma treatment device with an electrode plate having a main electrode plate , a diffuser plate and a shower plate , the plasma treatment device being constituted so that process gas introduced between the main electrode plate and the shower plate is exhausted toward a counter electrode through a plurality of first small holes formed in the shower plate ,the plasma treatment device comprising the diffuser plate having a plurality of second small holes, the diffuser plate being arranged substantially parallel with the shower plate,wherein the process gas is introduced between the main electrode plate and the diffuser plate, passes through the second plural small holes of the diffuser plate, reaches the shower plate and flows out from the first plural small holes of the shower plate toward the electrode plate, andwherein within ...

Подробнее
23-02-2017 дата публикации

Method for Forming Regular Polymer Thin Films Using Atmospheric Plasma Deposition

Номер: US20170050214A1

The invention provides a method for forming regular polymer thin films on a substrate using atmospheric plasma discharges. In particular, the method allows for the deposition of functional polymer thin films which require a high regularity and a linear polymer structure. 1. A method for forming a polymer thin film on a substrate , comprising the following subsequent steps:providing a mixture comprising at least one polymer forming material; andapplying a sequence of atmospheric plasma pulses to the mixture in order to form a polymer thin film on a surface portion of a substrate, which is contacted by said mixture;{'sub': ON', 'OFF, 'wherein each plasma pulse presents a duration t, comprised between one nanosecond and one microsecond, during which the plasma is discharged, and a duration t, comprised between one microsecond and one second, during which the plasma is not discharged; and'}{'sub': ON', 'ON', 'OFF, 'wherein the duty-cycle of a plasma pulse t/(t+t) is lower than 1%.'}2. The method according to claim 1 , wherein the duty-cycle is lower than 0.1%.3. The method according to claim 1 , wherein each plasma discharge is generated by a voltage pulse claim 1 , which rises to the plasma discharge breakdown voltage in one nanosecond to one microsecond.4. The method according to claim 3 , wherein the voltage rise rate of the voltage pulse is of at least 10 V·ns.5. The method according to claim 3 , wherein the voltage pulses are repeated at a frequency which is lower than one of the following:one kHz; andone hundred Hz.6. The method according to claim 3 , wherein the voltage pulse is a square wave voltage pulse.7. The method according to claim 6 , wherein the square wave is a bipolar square wave.8. The method according to claim 6 , wherein the square wave is a positive or negative unipolar square wave.9. The method according to claim 3 , wherein the duration of a voltage pulse is comprised between one nanosecond and one second.10. The method according to claim 1 , ...

Подробнее
03-03-2022 дата публикации

Atomic Layer Deposition Using A Substrate Scanning System

Номер: US20220068644A1
Автор: Gilchrist Glen F R
Принадлежит:

An apparatus and method of processing a workpiece is disclosed, where a coating is applied to a workpiece and the workpiece is subsequently subjected to an etching process. These processes are performed by one semiconductor processing apparatus while the workpiece is scanned relative to the apparatus. A precursor is applied to the workpiece by the apparatus. The apparatus then uses plasma, heat or ultraviolet radiation to activate the precursor to form a coating. After the coating is applied, the apparatus is configured to perform the etching process. In certain embodiments, the etching process is a directional etching process. 1. A method of performing a one-dimensional etching process using exactly one semiconductor processing apparatus , comprising:applying a precursor to a workpiece using the semiconductor processing apparatus while the workpiece is being scanned relative to the semiconductor processing apparatus;activating the precursor using plasma, heat or ultraviolet radiation while the workpiece is being scanned relative to the semiconductor processing apparatus to form a coating;performing a directional etching process while the workpiece is being scanned, where the coating is etched faster in one dimensional than in an orthogonal direction; andstopping the directional etching process when the coating has been etched away.2. The method of claim 1 , wherein the semiconductor processing apparatus comprises: an ion source chamber, having an extraction plate comprising an extraction aperture;', 'an antenna proximate one or more walls of the ion source chamber, a RF power supply in communication with the antenna; and', 'an ion source mass flow controller disposed between a gas container and the ion source chamber to regulate flow of gas from the gas container., 'an ion source, comprising3. The method of claim 2 , wherein the semiconductor processing apparatus comprises:one or more showerheads disposed on the extraction plate proximate the extraction aperture;a ...

Подробнее
13-02-2020 дата публикации

Chemical vapor deposition tool for preventing or suppressing arcing

Номер: US20200048770A1
Принадлежит: Lam Research Corp

A Chemical Vapor Deposition (CVD) tool that suppresses or altogether eliminates arcing between a substrate pedestal and substrate. The CVD tool includes a Direct Current (DC) bias control system arranged to maintain a substrate pedestal provided in a processing chamber at a same or substantially the same DC bias voltage as developed by a plasma in the processing chamber. By maintaining the substrate pedestal and the substrate having the same potential as the plasma at the same or substantially the same voltage potential, arcing is suppressed or altogether eliminated.

Подробнее
22-02-2018 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20180051375A1
Принадлежит:

Disclosed is a substrate processing apparatus. The substrate processing apparatus comprises a process chamber providing an inner space where a substrate is treated, a support unit disposed in the inner space and supporting the substrate, and a gas supply unit providing the inner space with a process gas required for generating plasma. The support unit comprises a base having a top surface on which the substrate is placed, a heater disposed in the base, and a coating layer formed on the top surface of the base. 1. A substrate processing apparatus , comprising:a process chamber;a support unit disposed in the process chamber and configured to support a substrate; anda gas supply unit configured to supply the process chamber with a process gas required for generating a plasma, a base having a top surface on which the substrate is placed;', 'a heater disposed in the base; and', 'a coating layer formed on the top surface of the base., 'wherein the support unit comprises2. The substrate processing apparatus of claim 1 , wherein the coating layer comprises a material having a thermal conductivity value that is less than a thermal conductivity value of the base.3. The substrate processing apparatus of claim 1 , wherein the top surface of the base comprises:a coating region where the coating layer is formed; anda non-coating region where the coating layer is not formed.4. The substrate processing apparatus of claim 3 , wherein the coating region occupies an edge portion of the base claim 3 , and the non-coating region occupies a central portion of the base.5. The substrate processing apparatus of claim 1 , wherein the coating layer has a thickness ranging from about 1 μm to about 10 μm.6. The substrate processing apparatus of claim 3 , wherein the coating region comprises:a first coating region where the coating layer is formed to have a first thickness; anda second coating region where the coating layer is formed to have a second thickness less than the first thickness.7. ...

Подробнее
23-02-2017 дата публикации

SUSCEPTOR AND SUBSTRATE PROCESSING APPARATUS

Номер: US20170051406A1
Принадлежит: ASM IP HOLDING B.V.

A susceptor includes a plate part, a first heater for heating a first portion of the plate part, a second heater for heating a second portion of the plate part, and a heat insulating portion for thermally insulating the first portion and the second portion from each other on an upper surface side of the plate part. 1. A susceptor comprising:a plate part;a first heater for heating a first portion of the plate part;a second heater for heating a second portion of the plate part; anda heat insulating portion for thermally insulating the first portion and the second portion from each other on an upper surface side of the plate part.2. The susceptor according to claim 1 , wherein the heat insulating portion is a grooved portion provided in the plate part on the upper surface side.3. The susceptor according to claim 1 , wherein the second portion surrounds the first portion as viewed in plan.4. The susceptor according to claim 3 , further comprising:a third portion formed as a portion of the plate part, the third portion surrounding the second portion as viewed in plan;a third heater for heating the third portion; andan outer heat insulating portion for thermally insulating the second portion and the third portion from each other on the upper surface side of the plate part.5. The susceptor according to claim 1 , wherein each of the first portion and the second portion is formed in sectoral form as viewed in plan.6. The susceptor according to claim 1 , wherein the first portion is a portion including an outer edge of the plate part claim 1 , and the second portion is a portion including an outer edge of the plate part.7. The susceptor according to claim 3 , further comprising:a plurality of third portions formed as part of the plate part, the third portions as a whole surrounding the second portion as viewed in plan;a plurality of third heaters provided in the plurality of third portions in a one-to-one relationship;an outer heat insulating portion for thermally insulating ...

Подробнее
25-02-2016 дата публикации

PLASMA UNIFORMITY CONTROL BY GAS DIFFUSER HOLE DESIGN

Номер: US20160056019A1
Принадлежит:

Embodiments of a gas diffuser plate for distributing gas in a processing chamber are provided. The gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. The gas passages include hollow cathode cavities at the downstream side to enhance plasma ionization. The depths, the diameters, the surface area and density of hollow cathode cavities of the gas passages that extend to the downstream end can be gradually increased from the center to the edge of the diffuser plate to improve the film thickness and property uniformity across the substrate. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can be created by bending the diffuser plate toward downstream side, followed by machining out the convex downstream side. Bending the diffuser plate can be accomplished by a thermal process or a vacuum process. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can also be created computer numerically controlled machining. Diffuser plates with gradually increasing diameters, depths and surface areas of the hollow cathode cavities from the center to the edge of the diffuser plate have been shown to produce improved uniformities of film thickness and film properties. 1. A gas distribution plate assembly for a plasma processing chamber , comprising:a diffuser plate element having an edge, a center, a concave upstream side and a downstream side; and an orifice hole having a first diameter; and', 'a hollow cathode cavity that is downstream of the orifice hole and is at the downstream side, the hollow cathode cavity having a cone or cylinder shape and a second diameter at the downstream side that is greater than the first diameter, the second diameters or the depths or a combination of both of the cones or cylinders increases from the center to ...

Подробнее
25-02-2016 дата публикации

METHOD FOR VOID-FREE COBALT GAP FILL

Номер: US20160056077A1
Принадлежит:

Provided herein are methods of depositing void-free cobalt into features with high aspect ratios. Methods involve (a) partially filling a feature with cobalt, (b) exposing the feature to a plasma generated from nitrogen-containing gas to selectively inhibit cobalt nucleation on surfaces near or at the top of the feature, optionally repeating (a) and (b), and depositing bulk cobalt into the feature by chemical vapor deposition. Methods may also involve exposing a feature including a barrier layer to a plasma generated from nitrogen-containing gas to selectively inhibit cobalt nucleation. The methods may be performed at low temperatures less than about 400° C. using cobalt-containing precursors. Methods may also involve using a remote plasma source to generate the nitrogen-based plasma. Methods also involve annealing the substrate. 1. A method of processing a semiconductor substrates , the method comprising:(a) providing a substrate having one or more features, each feature comprising a feature opening;(b) selectively inhibiting cobalt nucleation on surfaces of the one or more features that are at or near the feature openings such that there is a differential inhibition profile in each feature; and(c) exposing the substrate to a cobalt-containing precursor to partially fill the one or more features,wherein selectively inhibiting cobalt nucleation further comprises exposing the substrate to plasma generated from a nitrogen-containing gas in a remote plasma source.2. The method of claim 1 , further comprising depositing cobalt in the one or more features in accordance with the differential inhibition profile.3. The method of claim 1 , further comprising repeating (b) and (c) in two or more cycles to deposit cobalt into the one or more features.4. The method of claim 1 , further comprising annealing the substrate after partially filling the one or more features.5. The method of claim 3 , further comprising annealing the substrate after partially filling the one or more ...

Подробнее
14-02-2019 дата публикации

Method for depositing a silicon nitride film and film deposition apparatus

Номер: US20190051511A1
Принадлежит: Tokyo Electron Ltd

A method for depositing a silicon nitride film is provided. In the method, an adsorption blocking region is formed such that a chlorine-containing gas conformally adsorbs on a surface of a substrate by adsorbing chlorine radicals on the surface of the substrate. A source gas that contains silicon and chlorine is adsorbed on the adsorption blocking region adsorbed on the surface of the substrate. A silicon nitride film is deposited on the surface of the substrate by supplying a nitriding gas activated by plasma to the source gas adsorbed on the surface of the substrate.

Подробнее
14-02-2019 дата публикации

METHOD FOR DEPOSITING A SILICON NITRIDE FILM AND FILM DEPOSITION APPARATUS

Номер: US20190051512A1
Принадлежит:

A method for depositing a silicon nitride film is provided to fill a recessed pattern formed in a surface of a substrate with a silicon nitride film. In the method, a first silicon nitride film is deposited in the recessed pattern formed in the surface of the substrate. The first silicon nitride film has a V-shaped cross section decreasing its film thickness upward from a bottom portion of the recessed pattern. A second silicon nitride film conformal to a surface shape of the first silicon nitride film is deposited. 1. A method for depositing a silicon nitride film to fill a recessed pattern formed in a surface of a substrate with a silicon nitride film , comprising steps of:depositing a first silicon nitride film in the recessed pattern formed in the surface of the substrate, the first silicon nitride film having a V-shaped cross section decreasing its film thickness upward from a bottom portion of the recessed pattern; anddepositing a second silicon nitride film conformal to a surface shape of the first silicon nitride film.2. The method according to claim 1 , wherein the steps of depositing the first silicon nitride film and depositing the second nitride film are alternately repeated.3. The method according to claim 1 , wherein the step of depositing the second silicon nitride film comprises depositing the second silicon nitride film having a higher film density than a film density of the first silicon nitride film.4. The method according to claim 1 , wherein the step of depositing the second silicon nitride film comprises finally filling up the recessed pattern with the second silicon nitride film.525. The method according to claim 1 , wherein the step of depositing the first silicon nitride film comprises starting filling the recessed pattern with the first silicon nitride film.6. The method according to claim 5 ,wherein the step of depositing the first silicon nitride film comprises steps of:forming an adsorption blocking region on the surface of the substrate ...

Подробнее
14-02-2019 дата публикации

METHOD FOR DEPOSITING A SILICON NITRIDE FILM AND FILM DEPOSITION APPARATUS

Номер: US20190051513A1
Принадлежит:

A method for depositing a silicon nitride film is provided to fill a recessed pattern formed in a surface of a substrate. In the method, a first adsorption blocking region is formed by adsorbing first chlorine radicals such that an amount of adsorption increases upward from a bottom portion of the recessed pattern. A source gas that contains silicon and chlorine adsorbs on an adsorption site where the first adsorption site is not formed. A molecular layer of a silicon nitride film is deposited so as to have a V-shaped cross section. A second adsorption blocking region is formed by adsorbing second chlorine radicals on the molecular layer of the silicon nitride film. The molecular layer of the silicon nitride film is modified by nitriding the molecular layer while removing the second adsorption blocking region. 1. A method for depositing a silicon nitride film to fill a recessed pattern formed in a surface of a substrate with a silicon nitride film , comprising steps of:forming a first adsorption blocking region by adsorbing first chlorine radicals such that an amount of adsorption increases upward from a bottom portion of the recessed pattern;adsorbing a source gas that contains silicon and chlorine on an adsorption site where the first adsorption site is not formed of the surface of the substrate including an inner surface of the recessed pattern by supplying the source gas to the surface of the substrate;depositing a molecular layer of a silicon nitride film having a V-shaped cross section that decreases its film thickness upward from the bottom portion of the trench by nitriding the source gas adsorbed on the adsorption site with a first nitriding gas activated by a first plasma;forming a second adsorption blocking region by adsorbing second chlorine radicals on the molecular layer of the silicon nitride film such that an amount of adsorption increases upward from the bottom portion; andmodifying the molecular layer of the silicon nitride film by nitriding the ...

Подробнее
14-02-2019 дата публикации

SYSTEM AND METHOD FOR TEMPERATURE CONTROL IN PLASMA PROCESSING SYSTEM

Номер: US20190051568A1
Автор: deVilliers Anton J.
Принадлежит:

Techniques herein include systems and methods for fine control of temperature distribution across a substrate. Such techniques can be used to provide uniform spatial temperature distribution, or a biased spatial temperature distribution to improve plasma processing of substrates and/or correct characteristics of a given substrate. Embodiments include a plasma processing system with temperature control. Temperature control systems herein include a primary heating mechanism to heat a substrate, and a secondary heating mechanism that precisely modifies spatial temperature distribution across a substrate being processed. At least one heating mechanism includes a digital projection system configured to project a pattern of electromagnetic radiation onto or into a substrate, or through the substrate and onto a substrate support assembly. The digital projection system is configured to spatially and dynamically adjust the pattern of electromagnetic radiation and selectively augment heating of the substrate by each projected point location. 1. A method for processing a substrate , the method comprising:identifying a critical dimension signature of a substrate;positioning the substrate on a substrate support assembly within a plasma processing chamber;heating the substrate using a first heating mechanism positioned within the substrate support assembly, heating the substrate occurring during a plasma etching or deposition process;modifying a spatial temperature profile of the substrate support assembly by projecting a pattern of infrared light through the substrate and onto the substrate support assembly, the pattern of infrared light being projected by a digital projection system configured to spatially and dynamically adjust the pattern of infrared light, the pattern of infrared light being based on the critical dimension signature.2. The method of claim 1 , further comprising calculating a spatial temperature adjustment map that indicates substrate temperatures to maintain ...

Подробнее
25-02-2021 дата публикации

Large area microwave plasma cvd apparatus and corresponding method for providing such deposition

Номер: US20210057190A1
Автор: Justas ZALIECKAS
Принадлежит: VESTLANDETS INNOVASJONSSELSKAP AS

A large area microwave plasma chemical vapour deposition, LA MPCVD reactor apparatus and method for large area microwave chemical vapour deposition, comprising a reactor chamber adapted to provide a plasma region in an interior of the reactor chamber by electromagnetic energy at a first frequency, and a CRLH waveguide section adapted to operate with an infinite wavelength at the first frequency and having in a wall a coupler means arranged to couple electromagnetic energy from an interior of the CRLH waveguide section to the interior of the reactor chamber.

Подробнее