Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 9518. Отображено 200.
20-09-2012 дата публикации

Plasmabearbeitungsvorrichtung

Номер: DE102010060762A9
Принадлежит:

Die vorliegende Erfindung betrifft eine Plasmabearbeitungsvorrichtung zur Bearbeitung wenigstens eines flächigen Substrates in einer Substratdurchlaufanlage, wobei die Plasmabearbeitungsvorrichtung aufweist: wenigstens eine Substratträgerelektrode, auf welcher das Substrat aufliegend durch die Substratdurchlaufanlage transportierbar ist und welche gleichstrommäßig isoliert gegenüber Massepotenzial geführt wird; eine flächenhaft ausgebildete Hochfrequenz-Elektrode, die an einem Wechselspannungspotenzial anliegt und in einem Abstand über dem wenigstens einen auf der Substratträgerelektrode aufliegenden Substrat vorgesehen ist, eine topfförmig über der Substratträgerelektrode ausgebildete Dunkelraumabschirmung, wobei der offene Bereich der topfförmigen Dunkelraumabschirmung auf das wenigstens eine Substrat gerichtet ist und die topfförmige Dunkelraumabschirmung einen die Dunkelraumabschirmung nach außen verbreiternden Rand aufweist, der dicht über der Substratträgerelektrode und parallel zu ...

Подробнее
23-07-2015 дата публикации

Sputteranordnung und Verfahren zum geregelten reaktiven Sputtern

Номер: DE102014103735A1
Принадлежит:

Gemäß verschiedenen Ausführungsformen kann ein Verfahren zum geregelten reaktiven Sputtern aufweisen: ein geregeltes Zuführen von mindestens einem Reaktivgas und mindestens einem Arbeitsgas in eine Sputter-Prozesskammer, wobei das geregelte Zuführen eine erste Regelung und eine zweite Regelung aufweist, wobei die erste Regelung unter Verwendung eines Partialdrucks des mindestens einen zugeführten Reaktivgases als Regelgröße durchgeführt wird, und wobei die zweite Regelung unter Verwendung einer Spektroskopie durchgeführt wird.

Подробнее
02-02-2011 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0201021870D0
Автор:
Принадлежит:

Подробнее
10-01-2018 дата публикации

Medical device with plasma modified oxide layer and method of forming such a device

Номер: GB0201719689D0
Автор:
Принадлежит:

Подробнее
13-10-2021 дата публикации

Glow plasma gas measurement signal processing

Номер: GB0002593983A
Принадлежит:

Methods and systems are disclosed for enhanced determination of the composition of a sample gas using glow discharge optical emission spectroscopy (GD-OES) for gas analysis. A first method comprises: generating one or more oscillating electromagnetic fields within a plasma cell to excite particles therein to produce a glow discharge from plasma in the plasma cell; controlling the operating conditions for the plasma cell to maintain glow discharge optical emissions from the plasma within a desired operating range while flowing a gas mixture through the plasma cell; monitoring one or more glow discharge optical emissions from the plasma in the plasma cell, wherein said monitoring comprises measuring the optical emissions, or measuring a signal that correlates with the optical emissions, at twice the plasma excitation frequency; and processing the signal during each excitation cycle of the electromagnetic excitation, to determine the concentration of a gas within the gas mixture flowing through ...

Подробнее
29-05-2013 дата публикации

Cracker valve control

Номер: GB0201307097D0
Автор:
Принадлежит:

Подробнее
02-02-2000 дата публикации

Dry or plasma etching with reduction in gas flow during reaction and use of SF4

Номер: GB0002339553A
Принадлежит:

A process for dry etching a surface within a vacuum treatment reactor includes evacuating the reactor, generating a glow discharge within said reactor, feeding a reactive etching gas into said reactor and reacting said etching gas within said reactor, removing gas with reaction products of said reacting from said reactor and installing an initial flow of said etching gas into said reactor and reducing said flow after a predetermined time span and during said reacting. The vacuum treatment reactor has a reactor with a pumping arrangement for evacuating the reactor. A glow discharge generating arrangement is connected to an electric power supply. A gas tank arrangement is connected to the reactor and has a reactive etching gas such as SF4.

Подробнее
03-01-1996 дата публикации

Microwave plasma generator

Номер: GB0002273027B

Подробнее
27-06-2012 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0002486779A
Принадлежит:

A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition comprises a plasma chamber 102, a substrate holder 104 disposed in the plasma chamber for supporting a substrate 105, a microwave coupling configuration 110 for feeding microwaves from a microwave generator 106 into the plasma chamber, and a gas flow system 112, 122 for feeding process gases into the plasma chamber and removing them therefrom. The gas flow system comprises a gas inlet nozzle array 120 for directing process gases towards the substrate holder, the array being disposed opposite the substrate holder and comprising at least six gas inlet nozzles disposed in a substantially parallel or divergent orientation relative to a central axis of the plasma chamber. The gas inlet nozzle array has a gas inlet nozzle number density equal to or greater than 0.1 nozzles per cm2 and a nozzle area ratio, defined as the ratio of the area associated with each nozzle and an actual area of each ...

Подробнее
05-02-2020 дата публикации

Method and apparatus for plasma etching

Номер: GB0201919215D0
Автор:
Принадлежит:

Подробнее
25-02-2002 дата публикации

Wafer area pressure control

Номер: AU0008094901A
Принадлежит:

Подробнее
01-10-2020 дата публикации

PLASMA REACTORS HAVING RECUPERATORS

Номер: CA3134155A1
Принадлежит:

A plasma generating system (10) includes a waveguide (20) for transmitting a microwave energy therethrough and an inner wall (40) disposed within the waveguide to define a plasma cavity, where plasma (46) is generated within the plasma cavity using the microwave energy. The plasma generating system (10) further includes: an adaptor (44) having a gas outlet (32) through which an exhaust gas processed by the plasma (46) exits the plasma cavity; and a recuperator (100) directly attached to the adaptor (44) and having a gas passageway that is in fluid communication with the gas outlet (32) in the adaptor (44). The recuperator (100) recovers heat energy from the exhaust gas and heats an input gas using the heat energy.

Подробнее
23-10-1979 дата публикации

GAS DISCHARGE APPARATUS

Номер: CA0001064862A1
Автор: JACOB ADIR
Принадлежит:

Подробнее
15-11-1977 дата публикации

Номер: CH0000592999A5
Автор:
Принадлежит: LFE CORP, LFE CORP.

Подробнее
20-04-2005 дата публикации

Substrate processing system for performing exposure process in gas atmosphere

Номер: CN0001607467A
Принадлежит:

Подробнее
21-05-2019 дата публикации

Plasma processing apparatus

Номер: CN0109786201A
Принадлежит:

Подробнее
08-05-2013 дата публикации

Film deposition apparatus, and film deposition method

Номер: CN103088319A
Принадлежит:

The invention provides an activated gas injector, a film deposition apparatus, and a film deposition method. An activated gas injector is divided into a gas activating chamber and a gass introduction chamber. The activated gas injector includes a flow passage defining member for communicating the spaces; a gas introduction member for introducing the treated gas into the gas introduction chamber; a pair of electrodes to be supplied with electrical power to activate the process gas, wherein the electrodes extend in the gas activation chamber in parallel; and gas ejection holes provided in the gas activation chamber along the length direction of the electrodes, wherein the gas ejection holes allow the process gas activated in the gas activation chamber to be ejected therefrom.

Подробнее
11-05-2016 дата публикации

Film forming apparatus and shower head

Номер: CN0105568255A
Принадлежит:

Подробнее
19-01-2018 дата публикации

Method for manufacturing semiconductor device and substrate processing apparatus

Номер: CN0107610995A
Автор: TSUYOSHI TAKEDA
Принадлежит:

Подробнее
02-06-2010 дата публикации

Integrated steerability array arrangement for minimizing non-uniformity

Номер: CN0101720498A
Принадлежит:

An integrated steerability array arrangement for managing plasma uniformity within a plasma processing environment to facilitate processing of a substrate is provided. The arrangement includes an array of electrical elements. The arrangement also includes an array of gas injectors, wherein the array of electrical elements and the array of gas injectors are arranged to create a plurality of plasma regions, each plasma region of the plurality of plasma regions being substantially similar. The arrangement further includes an array of pumps, wherein individual one of the array of pumps being interspersed among the array of electrical elements and the array of gas injectors. The array of pumps is configured to facilitate local removal of gas exhaust to maintain a uniform plasma region within the plasma processing environment.

Подробнее
19-02-2019 дата публикации

Dry etching device top electrode and manufacturing method thereof

Номер: CN0109360779A
Автор: WEN YANYUE
Принадлежит:

Подробнее
18-02-2020 дата публикации

PLASMA TREATMENT METHOD AND PLASMA ASHING DEVICE

Номер: CN0110808210A
Принадлежит:

Подробнее
06-04-2011 дата публикации

Control system for ecthing gas

Номер: CN0102004500A
Принадлежит:

A control system for etching gas is provided. The control system includes a mass flow control unit, a flow rate control unit, and a tuning gas control unit. The mass flow control unit controls a mass flow of an etching gas input to a chamber. The flow rate control unit distributes the etching gas to an upper gas injector and a side gas injector connected with the mass flow control unit and installed in the chamber. The tuning gas control unit distributes and supplies a supplementary gas and tuning gas controlling an ion density and distribution of plasma within the chamber, to the mass flow control unit and the flow rate control unit.

Подробнее
28-09-2016 дата публикации

Capacitively coupled plasma processing apparatus of the shower nozzle electrode assembly

Номер: CN0103681304B
Автор:
Принадлежит:

Подробнее
02-05-2012 дата публикации

Methods and apparatus for depositing a uniform silicon film with flow gradient designs

Номер: CN0101319309B
Принадлежит:

Methods and apparatus having a flow gradient created from a gas distribution plate are provided. In one embodiment, the method and apparatus are particularly useful for, but not limited to, depositing a silicon film for solar cell applications. The apparatus for depositing a uniform film for solar cell applications includes a processing chamber, and a quadrilateral gas distribution plate disposedin the processing chamber and having at least four corners separated by four sides. The gas distribution plate further includes a first plurality of chokes formed through the gas distribution plate, the first plurality of chokes located in the corners, and a second plurality of chokes formed through the gas distribution plate, the second plurality of chokes located along the sides of the gas distribution plate between the corner regions, wherein the first plurality of chokes have a greater flow resistance than that of the second plurality of chokes.

Подробнее
28-04-2017 дата публикации

METHOD OF SURFACE TREATING A MOVING FILM AND INSTALLATION FOR CARRYING OUT SAID METHOD

Номер: FR0003035122B1
Автор: GAT ERIC
Принадлежит: COATING PLASMA INDUSTRIE

Подробнее
09-03-2016 дата публикации

SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM

Номер: KR0101601661B1

... 본 발명은 제1 처리 가스와 플라즈마화된 제2 처리 가스를 교호적으로 처리 용기에 공급하여 기판을 처리하는 기판 처리 장치에 있어서, 상기 제1 처리 가스를 공급하는 제1 가스 공급계; 상기 제2 처리 가스를 공급하는 제2 가스 공급계; 상기 처리 용기의 상류에 배치되어 적어도 상기 제2 처리 가스를 플라즈마화시키는 플라즈마 유닛; 상기 처리 용기의 상류이며 상기 플라즈마 유닛의 하류에 배치되고 불활성 가스를 공급하는 제3 가스 공급계; 및 상기 플라즈마 유닛에 전력을 공급한 상태에서, 상기 제1 처리 가스와 상기 제2 처리 가스가 교호(交互)적으로 공급되도록 상기 제1 가스 공급계와 상기 제2 가스 공급계를 제어하고, 상기 제1 처리 가스의 공급과 상기 제2 처리 가스의 공급의 사이에서 상기 불활성 가스를 공급하고, 상기 불활성 가스의 공급이 종료되기 전부터 상기 제2 처리 가스의 공급을 시작하고, 상기 불활성 가스의 공급이 종료된 때에 매칭하여 상기 제2 처리 가스의 플라즈마가 생성되도록 상기 제2 가스 공급계와 상기 제3 가스 공급계를 제어하는 것과 함께, 상기 제2 처리 가스의 공급이 시작되기 전부터 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 제어부;를 구비한다.

Подробнее
08-06-2017 дата публикации

에칭 방법

Номер: KR0101744625B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... 산화 실리콘으로 구성된 제 1 영역을 질화 실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 에칭 방법이 제공된다. 이 에칭 방법은 공정(a)과 공정(b)을 포함한다. 공정(a)에서는, 플루오르카본 가스의 플라즈마에 피처리체가 노출되고, 제 2 영역 상에 제 1 영역 상에 형성되는 보호막보다 두꺼운 보호막이 형성된다. 공정(b)에서는, 플루오르카본 가스의 플라즈마에 의해 제 1 영역이 에칭된다. 공정(a)에서는, 피처리체의 온도가 60 ℃ 이상 250 ℃ 이하의 온도로 설정된다.

Подробнее
15-09-2015 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND PROGRAM

Номер: KR0101553481B1

... 본 발명은, 탄소가 고농도로 첨가된 박막을 높은 제어성으로 형성한다. 기판에 대하여 1 분자 중에 적어도 2개의 실리콘을 포함하고, 탄소 및 할로겐 원소를 포함해서 Si-C 결합을 갖는 원료 가스를 공급하는 공정과, 기판에 대하여 질소 및 산소 중 어느 하나인 소정 원소를 포함하는 개질 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 실리콘, 탄소 및 소정 원소를 포함하는 박막을 기판 위에 형성하는 공정을 갖는다.

Подробнее
28-08-2008 дата публикации

SYSTEM FOR IMPLANTING PLASMA IONS TO GUARANTEE UNIFORMITY OF PLASMA IONS IMPLANTED INTO A PROCESS TARGET

Номер: KR0100855002B1
Принадлежит:

PURPOSE: A system for implanting plasma ions is provided to generate only the ions and polymer radicals necessary for an ion implantation process and easily control implanted plasma ions by generating plasma having an characteristic advantageous for an ion implantation process as compared with an ICP(inductively coupled plasma) process. CONSTITUTION: A process target(501) is positioned in a vacuum chamber(500) having a reaction space in which plasma is generated. A first gas supply apparatus supplies reaction gas to the vacuum chamber. A second gas supply apparatus supplies cleaning gas to the vacuum chamber. Upper and lower electrodes(502,553) are installed in the vacuum chamber, confronting each other. A conductive ring(551) is installed in the periphery of the process target. An RF supply apparatus supplies RF power to the upper electrode to generate plasma. A high voltage supply apparatus supplies a high voltage to the process target, the lower electrode and the conductive ring. The ...

Подробнее
11-02-2014 дата публикации

METHOD AND APPARATUS FOR ETCHING

Номер: KR0101360876B1
Автор:
Принадлежит:

Подробнее
21-06-2013 дата публикации

APPARATUS FOR ETCHING A SUBSTRATE

Номер: KR0101277504B1
Автор:
Принадлежит:

Подробнее
27-12-2018 дата публикации

에칭 방법

Номер: KR0101933331B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... 에칭 방법은, 실리콘과 실리콘 게르마늄을 갖는 피처리 기판을 챔버 내에 배치하는 것과, 챔버 내에 H2 가스와 Ar 가스로 이루어지는 처리 가스를 여기된 상태로 공급하는 것과, 여기된 상태의 처리 가스에 의해 실리콘을 실리콘 게르마늄에 대하여 선택적으로 에칭하는 것을 포함한다. 이것에 의해, 실리콘 게르마늄에 대하여 실리콘을 고 선택비로 에칭할 수 있다.

Подробнее
07-05-2019 дата публикации

Номер: KR0101976254B1
Автор:
Принадлежит:

Подробнее
07-03-2012 дата публикации

PLASMA PROCESS APPARATUS

Номер: KR0101119627B1
Автор:
Принадлежит:

Подробнее
01-10-2009 дата публикации

GAS BAFFLE AND DISTRIBUTOR FOR SEMICONDUCTOR PROCESSING CHAMBER

Номер: KR0100919538B1
Автор:
Принадлежит:

Подробнее
29-02-2016 дата публикации

HEATED SHOWERHEAD ASSEMBLY

Номер: KR0101598516B1

... 본 발명은 일반적으로, 처리 가스를 처리 챔버의 내측으로 공급하는데 사용될 수 있는 가열식 샤워헤드 조립체를 포함한다. 처리 챔버는 에칭 챔버일 수 있다. 처리 가스가 처리 챔버로부터 배기될 때, 기판의 균일한 처리가 어려울 수 있다. 처리 가스가 기판으로부터 멀리 그리고 진공 펌프 쪽으로 흡인되기 때문에, 에칭의 경우에 플라즈마가 기판 전체에 걸쳐 균일하지 않을 수 있다. 불균일한 플라즈마는 불균일한 에칭을 초래할 수 있다. 불균일한 에칭을 방지하기 위해, 샤워헤드 조립체는 각각, 독립적으로 제어가능한 가스의 유입과 온도를 제어할 수 있는 두 개의 영역으로 분리될 수 있다. 제 1 영역은 기판의 주변부에 대응하지만 제 2 영역은 기판의 중앙에 대응한다. 샤워헤드의 영역들을 통해 온도와 가스 유동을 독립적으로 제어함으로써, 기판의 에칭 균일도가 증가될 수 있다.

Подробнее
27-03-2018 дата публикации

플라즈마 처리를 위한 장치 및 방법

Номер: KR0101842675B1
Принадлежит: 플라즈마시, 인크.

... 본 발명에 따르면, 반도체, 도체 또는 절연체 피막의 증착, 식각 또는 처리에 적절한 플라즈마-기반 처리를 위한 장치 및 방법이 제공된다. 플라즈마 발생 유닛은 기판의 처리 측면 상의 1개 이상의 긴 전극 그리고 기판의 대향 측면에 근접한 중성 전극을 포함한다. 전기적으로 파괴되고 기판 영역을 향해 유동되는 활성화 화학종을 생성하는 가스가 전력-공급 전극에 근접하게 주입될 수 있다. 이러한 가스는 그 다음에 전력-공급 전극과 기판 사이의 연장 처리 영역 내로 유동되고, 그에 의해 반응물 원료의 효율적인 이용으로써 높은 속도로 기판에 제어된 연속의 반응성을 제공한다. 가스는 전력-공급 전극들 또는 전극과 분할기 사이의 통로를 거쳐 배기된다.

Подробнее
31-05-2019 дата публикации

Номер: KR0101984524B1
Автор:
Принадлежит:

Подробнее
30-01-2018 дата публикации

펄스화 가스 플라즈마 도핑 방법 및 장치

Номер: KR0101815746B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... 본 발명은 도펀트로 기판의 표면을 도핑하기 위한 방법 및 장치에 관한 것으로, 도펀트는 예를 들어, 포스핀 또는 아르신이다. 도핑은 낮은 농도의 도펀트로 주로 헬륨 또는 아르곤과 같은 불활성 가스로 수행된다. 등각의 도핑을 제공하기 위해, 바람직하게 도펀트의 모노층(monolayer)을 형성하기 위해, 가스 흐름 유입 위치는 도핑 프로세스 동안 스위칭되고, 가스 혼합물은 주로 제 1 시간 주기 동안 프로세스 챔버에서 중심 최상부 포트를 통해 유입된 다음에 제 2 시간 주기 동안 주로 주변 또는 에지 분사 포트를 통해 가스 혼합물이 유입되고, 스위칭은 플라즈마 프로세스로서 교번 방식으로 계속한다.

Подробнее
20-03-2019 дата публикации

Номер: KR0101960073B1
Автор:
Принадлежит:

Подробнее
19-12-2018 дата публикации

활성 가스 생성 장치

Номер: KR1020180135041A
Принадлежит:

... 본 발명은 고밀도의 활성 가스를 균일성 높게, 비교적 고속으로 생성할 수 있는 활성 가스 생성 장치를 제공하는 것을 목적으로 한다. 그리고, 본 발명은 활성 가스 생성용 전극군 및 노즐 구성부의 하방에 가스 분류용 정류기(70)를 마련하여 활성 가스 생성 장치를 구성하고 있다. 가스 분류용 정류기(70)는, 활성 가스가 복수의 노즐 통과 후 가스를 가스 정류 통로(71)의 입구부(711)에서 일괄하여 받는다. 가스 정류 통로(71)는, 출구부(710)의 출구 개구 면적이 입구부(711)의 입구 개구 면적보다 좁게 설정되며, 또한 가스 정류 통로(71)에 의한 정류 동작에 의해, 복수의 노즐 통과 후 활성 가스 각각의 원기둥형 가스 분류가, 라인형 정류 후 활성 가스로 변환되게 형성된다.

Подробнее
21-01-2011 дата публикации

SELECTIVE INDUCTIVE DOUBLE PATTERNING

Номер: KR1020110007192A
Автор:
Принадлежит:

Подробнее
13-10-2010 дата публикации

PLASMA PROCESSING METHOD

Номер: KR1020100110886A
Автор:
Принадлежит:

Подробнее
09-04-2014 дата публикации

APPARATUS FOR PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION

Номер: KR1020140043261A
Автор:
Принадлежит:

Подробнее
11-09-2008 дата публикации

SUCTION DEVICE FOR A PLASMA COATING CHAMBER, CAPABLE OF UNIFORMLY COATING A SUBSTRATE THROUGH A PLASMA ENHANCED COATING PROCESS

Номер: KR1020080082539A
Принадлежит:

PURPOSE: A suction device for a plasma coating chamber is provided to supply a frame including cavity having one or several suction holes capable of sucking a medium in a gas state or a suction channel. CONSTITUTION: Two electrodes(2,3) are provided. A substrate(4) is disposed on one of the electrodes. The electrode serves as a conveyance element for the substrate. The conveyance element conveys the substrate. The electrodes are vertically or horizontally disposed. Plasma between the electrodes is ignited by a power(5). The plasma excites a chemical reaction for a coating material having a gas state and enhances coating. The coating material is deposited on the substrate before the chemical reaction. © KIPO 2008 ...

Подробнее
04-03-2016 дата публикации

METHOD FOR VOID-FREE COBALT GAP FILL

Номер: KR1020160024351A
Принадлежит:

The present invention provides methods of depositing void-free cobalt into features with high aspect ratios. Methods involve the following steps: (a) partially filling a feature with cobalt; (b) exposing the feature to plasma generated from nitrogen-containing gas to selectively inhibit cobalt nucleation on surfaces near or at the top of the feature; optionally repeating the steps (a) and (b), and depositing bulk cobalt into the feature by chemical vapor deposition. The methods may also involve exposing a feature including a barrier layer to the plasma generated from the nitrogen-containing gas to selectively inhibit cobalt nucleation. The methods may be performed at low temperatures less than about 400°C by using cobalt-containing precursors. COPYRIGHT KIPO 2016 ...

Подробнее
14-06-2016 дата публикации

PLASMA ETCHING METHOD

Номер: KR1020160067740A
Принадлежит:

The purpose of the present invention is to stabilize plasma by suppressing a high frequency reflected wave when desired etching is performed by changing a process condition while maintaining the plasma. A plasma etching method of performing desired etching by changing a process condition while supplying high frequency power and maintaining plasma comprises: a first etching process of performing plasma etching based on a first process condition; a second etching process of performing plasma etching based on a second process condition different from the first process condition; and a changing process of intermittently supplying high frequency power between the first etching process and the second etching process. Effective power of the high frequency power in the changing process is less than or equal to that in the second etching process. COPYRIGHT KIPO 2016 (AA) Scale (B1,B2) CHM etching (C1,C2) First process (D1,D2) Switching process (E1,E2) Siarc process (F1,F2) Second process (GG) RF ...

Подробнее
28-01-2015 дата публикации

Номер: KR1020150010779A
Автор:
Принадлежит:

Подробнее
23-01-2019 дата публикации

플라즈마 처리 장치용 부품의 용사 방법 및 플라즈마 처리 장치용 부품

Номер: KR1020190008126A
Принадлежит:

... 본 발명은 2개의 부재 사이로부터 노출되는 접착제를 보호하는 것을 목적으로 한다. 15 ㎛ 이하의 입자 직경을 갖는 용사 재료의 분말을, 플라즈마 생성 가스와 함께 노즐의 선단부로부터 상기 노즐과 축심이 공통되는 플라즈마 생성부에 분사하는 공정과, 상기 플라즈마 생성부에서 50 kW 이하의 전력에 의해 상기 플라즈마 생성 가스로부터 플라즈마를 생성하는 공정과, 분사한 상기 용사 재료의 분말을 상기 플라즈마에 의해 액상으로 하고, 마스크를 통해 수지층의 표면을 덮도록 용사하는 공정을 갖는 플라즈마 처리 장치용 부품의 용사 방법이 제공된다.

Подробнее
11-01-2017 дата публикации

플라즈마 처리 장치의 상부 전극 구조, 플라즈마 처리 장치 및 플라즈마 처리 장치의 운용 방법

Номер: KR1020170004964A
Принадлежит:

... 상부 전극 구조는 제 1 플레이트, 제 2 플레이트 및 정전 흡착부를 구비한다. 제 1 플레이트는 동심 형상으로 마련된 제 1 영역, 제 2 영역 및 제 3 영역을 가지고, 이들 영역의 각각에는 복수의 가스 토출구가 형성되어 있다. 정전 흡착부는 제 1 플레이트와 제 2 플레이트의 사이에 개재되어, 제 1 플레이트를 흡착한다. 정전 흡착부는 제 1 ~ 제 3 영역용의 제 1 ~ 제 3 히터를 가진다. 정전 흡착부와 제 2 플레이트는 제 1 ~ 제 3 영역으로 가스를 공급하는 제 1 공급 경로, 제 2 공급 경로 및 제 3 공급 경로를 제공한다. 정전 흡착부에는 제 1 가스 확산실, 제 2 가스 확산실 및 제 3 가스 확산실이 형성되어 있다.

Подробнее
19-06-2019 дата публикации

Номер: KR1020190069602A
Автор:
Принадлежит:

Подробнее
09-12-2015 дата публикации

펄스화 가스 플라즈마 도핑 방법 및 장치

Номер: KR1020150138369A
Принадлежит:

... 본 발명은 도펀트로 기판의 표면을 도핑하기 위한 방법 및 장치에 관한 것으로, 도펀트는 예를 들어, 포스핀 또는 아르신이다. 도핑은 낮은 농도의 도펀트로 주로 헬륨 또는 아르곤과 같은 불활성 가스로 수행된다. 등각의 도핑을 제공하기 위해, 바람직하게 도펀트의 모노층(monolayer)을 형성하기 위해, 가스 흐름 유입 위치는 도핑 프로세스 동안 스위칭되고, 가스 혼합물은 주로 제 1 시간 주기 동안 프로세스 챔버에서 중심 최상부 포트를 통해 유입된 다음에 제 2 시간 주기 동안 주로 주변 또는 에지 분사 포트를 통해 가스 혼합물이 유입되고, 스위칭은 플라즈마 프로세스로서 교번 방식으로 계속한다.

Подробнее
06-05-2015 дата публикации

플라즈마 처리 방법 및 플라즈마 처리 장치

Номер: KR1020150048134A
Принадлежит:

... 본 실시예의 플라즈마 처리 방법은, 먼저, 제 1 불소 함유 가스를 플라즈마 처리 공간으로 공급하고, 제 1 불소 함유 가스의 플라즈마를 이용하여 피처리 기판을 에칭하는 에칭 공정을 실행한다(S101). 이어서, 플라즈마 처리 방법은, O2 가스를 플라즈마 처리 공간으로 공급하고, 플라즈마 처리 공간에 표면을 대향시켜 배치된 부재에 대하여 에칭 공정 후에 부착한 카본 함유물을 O2 가스의 플라즈마를 이용하여 제거하는 카본 함유물 제거 공정을 실행한다(S102). 이어서, 플라즈마 처리 방법은, 질소 함유 가스 및 제 2 불소 함유 가스를 플라즈마 처리 공간으로 공급하고, 부재에 대하여 에칭 공정 후에 부착한 티탄 함유물을 질소 함유 가스 및 제 2 불소 함유 가스의 플라즈마를 이용하여 제거하는 티탄 함유물 제거 공정을 실행한다(S103).

Подробнее
19-02-2020 дата публикации

Plasma processing apparatus

Номер: KR1020200018658A
Принадлежит:

Подробнее
16-08-2016 дата публикации

Substrate processing apparatus

Номер: TW0201630071A
Принадлежит:

A substrate processing apparatus includes: a mounting table configured to place a substrate thereon to be rotatable around an axis; an antenna provided in a first region; and a reaction gas supply section configured to supply a reaction gas to the first region. The reaction gas supply section includes an inside injection port and an outside injection port. The inside injection port is provided at a position closer to the axis than an antenna region when viewed in the axis direction, and configured to inject the reaction gas in a direction getting away from the axis. The outside injection port is provided at a position farther from the axis than the antenna region when viewed in the axis direction, and configured to inject the reaction gas in a direction approaching the axis at a flow rate controlled independently of that of the reaction gas injected from the inside injection port.

Подробнее
01-03-2011 дата публикации

Color system for etching gas

Номер: TW0201108327A
Принадлежит:

A control system for etching gas is provided. The control system includes a mass flow control unit, a flow rate control unit, and a tuning gas control unit. The mass flow control unit controls a mass flow of an etching gas input to a chamber. The flow rate control unit distributes the etching gas to an upper gas injector and a side gas injector connected with the mass flow control unit and installed in the chamber. The tuning gas control unit distributes and supplies a supplementary gas and tuning gas controlling an ion density and distribution of plasma within the chamber, to the mass flow control unit and the flow rate control unit.

Подробнее
16-06-2014 дата публикации

Plasma processing method

Номер: TW0201423828A
Принадлежит:

A capacitively coupled plasma processing device in which high-frequency power is distributed to an inner upper electrode and an outer upper electrode disposed facing a lower electrode on which a substrate is mounted, wherein the ability of a variable capacitor provided to adjust the ratio of power distribution to the outer and inner electrodes to function as an adjustment knob for controlling the in-plane profile of the plasma density distribution characteristics or process characteristics is improved. In this plasma processing device, by expanding the step selection range of the variable capacitor used in adjusting the inner/outer power distribution ratio to a wide range that encompasses the low-side non-resonant region LES and the high-side non-resonant region HES while avoiding the resonant region RES, the efficacy as an adjustment knob for controlling the in-plane profile of the plasma density distribution and the process characteristics in the radial direction is improved.

Подробнее
16-04-2014 дата публикации

Plasma-enhanced etching in an augmented plasma processing system

Номер: TW0201415560A
Принадлежит:

Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.

Подробнее
16-02-2006 дата публикации

Gas distribution system having fast gas switching capabilities

Номер: TW0200607016A
Принадлежит:

A gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus is provided. The gas distribution system can include a gas supply section, a flow control section and a switching section. The gas supply section provides first and second gases, typically gas mixtures, to the flow control section, which controls the flows of the first and second gases to the chamber. The chamber can include multiple zones, and the flow control section can supply the first and second gases to the multiple zones at desired flow ratios of the gases. The gas distribution system can continuously supply the first and second gases to the switching section and the switching section is operable to switch the flows of the first and second gases, such that one of the first and second process gases is supplied to the chamber while the other of the first and second gases is supplied to a by-pass line, and then to switch the gas flows.

Подробнее
16-05-2016 дата публикации

Increasing the gas efficiency for an electrostatic chuck

Номер: TW0201618214A
Принадлежит:

A gas is received through an inlet. A portion of the gas is supplied to an electrostatic chuck. A portion of the gas is re-circulated through a compressor. A pressure of the second portion of the gas is increased. The second portion of the gas is stored in a gas storage.

Подробнее
16-08-2018 дата публикации

Plasma treatment device and corrosion-resistant protection method for gas passage avoiding the influence of the thermal micro-cracking phenomenon of the surface treatment protective layer on the chamber cover

Номер: TW0201830447A
Принадлежит:

The invention relates to a plasma treatment device and a corrosion-resistant protection method for a gas passage. A bushing is arranged inside a gas passage for introducing a reaction gas into a reaction chamber, and the reaction gas is transported in the bushing; the bushing is made of a material for preventing corrosion by a reaction gas. The bushing is in contact with an inner wall of the gas passage or has a gap therebetween, or is in contact with an inner wall of a surface treatment protective layer formed on the inner wall of the gas passage or has a gap therebetween for double protection. It is also possible to provide an O-shaped groove at the reaction gas outlet end and/or the inlet end surrounding the bushing. The invention solves the problem caused by inconsistant thermal expansion coefficients of the surface treatment protective layer and the base material of the reaction chamber cover, and avoids the influence of corrosion caused by the thermal micro-cracking phenomenon of ...

Подробнее
16-09-2018 дата публикации

Thermal repeatability and in-situ showerhead temperature monitoring

Номер: TW0201832831A
Принадлежит:

Embodiments described herein generally related to a substrate processing apparatus, and more specifically to an improved showerhead assembly for a substrate processing apparatus. The showerhead assembly includes a gas distribution plate and one or more temperature detection assemblies. The gas distribution plate includes a body having a top surface and a bottom surface. The one or more temperature detection assemblies are interfaced with the top surface of the gas distribution plate such that a thermal bond is formed between the gas distribution plate and each of the one or more temperature detection assemblies. Each temperature detection assembly includes a protruded feature and a temperature probe. The protruded feature is interfaced with the top surface of the gas distribution plate such that an axial load is placed on the gas distribution plate along an axis of the protruded feature. The temperature probe is positioned in a body of the protruded feature.

Подробнее
01-06-2015 дата публикации

Plasma processing device

Номер: TW0201521086A
Принадлежит:

The invention discloses a plasma processing device, comprising a first processing cavity, a second processing cavity, a connector and a gas supply and exhaust system, wherein the first processing cavity is provided with a first wall and a first processing space defined by the first wall, the second processing cavity is provided with a second wall and a second processing space defined by the second wall, the connector is arranged between the first processing cavity and the second processing cavity which are detachably connected, the gas supply and exhaust system a first gas exhaust passage communicated with the first processing cavity and a second gas exhaust passage communicated with the second processing cavity, and the first gas exhaust passage is communicated with the second gas exhaust passage. Through connecting a plurality of processing cavities in a detachable manner, the manufacturing cost and the maintenance cost of the equipment are reduced while environments of the two cavities ...

Подробнее
01-05-2020 дата публикации

Radical output monitor for a remote plasma source and method of use

Номер: TW0202017074A
Принадлежит:

The present application discloses a device for radical monitoring a plasma source for a remote plasma source used in a processing system and includes at least one gas source, a plasma source body having at least one passage having at least one passage surface, a first thermal sensor receiver may be formed within the plasma source body proximate to the passage surface of the passage, a first thermal sensor positioned within the first thermal sensor receiver configured to measure a first temperature of the passage surface, a second thermal sensor receiver formed within the plasma source body proximate to the passage surface of the passage and configured to measure a second temperature of the passage surface of the passage at a second location.

Подробнее
11-11-2017 дата публикации

Номер: TWI605531B

Подробнее
27-11-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: SG10201909553YA
Принадлежит:

Подробнее
21-04-2016 дата публикации

PLASMA DEVICE

Номер: TWI531280B

Подробнее
21-03-2015 дата публикации

Methods and apparatus for a wide conductance kit

Номер: TWI478635B
Принадлежит: LAM RES CORP, LAM RESEARCH CORPORATION

Подробнее
01-08-2012 дата публикации

Номер: TWI369250B

Подробнее
29-05-2008 дата публикации

COMPONENTS FOR A PLASMA PROCESSING APPARATUS

Номер: WO2008063324A2
Принадлежит:

Components for a plasma processing apparatus are provided, including fastener members adapted to accommodate the stresses generated during thermal cycling. The fasteners include deflectable spacers to accommodate forces generated by the difference in thermal expansion while minimizing generation of additional particulate contamination.

Подробнее
04-01-2007 дата публикации

A METHOD AND APPARATUS FOR PROCESS CONTROL IN TIME DIVISION MULTIPLEXED (TDM) ETCH PROCESSES

Номер: WO2007001313A1
Принадлежит:

The present invention provides a method for controlling pressure in a chamber during a time division multiplexed process. A throttle valve is positioned based on an open-loop pressure control algorithm within at least one step of the time division multiplexed etch process. A pressure response of the step is evaluated and compared to a desired pressure response. The throttle valve is then positioned through a proportional, integral and derivative controller step to step of the time division multiplexed etch process based on the evaluation to the desired pressure response.

Подробнее
19-07-2012 дата публикации

SPRAY MEMBER FOR USE IN SEMICONDUCTOR MANUFACTURE, AND PLASMA TREATMENT APPARATUS HAVING SAME

Номер: WO2012096529A2
Принадлежит:

The present invention relates to a plasma treatment apparatus comprising: a process chamber in which a plurality of substrates are accommodated and a plasma treatment process is performed; a support member installed in the process chamber such that the plurality of substrates are placed on the same plane of the support member; a spray member which is arranged opposite the support member, and which has a plurality of independent baffles for independently spraying at least one reaction gas and purge gas from a position corresponding to each of the plurality of substrates placed on the support member; and a driving unit which rotates the support member or the spray member such that the baffles of the spray member may sequentially circle around and above each of the plurality of substrates placed on the support member. The spray member includes a plasma generator installed in at least one baffle which sprays reaction gas among the plurality of baffles, so as to generate plasma from the reaction ...

Подробнее
15-07-2010 дата публикации

METHODS AND APPARATUS FOR DUAL CONFINEMENT AND ULTRA-HIGH PRESSURE IN AN ADJUSTABLE GAP PLASMA CHAMBER

Номер: WO2010080420A2
Принадлежит:

A plasma processing system having a plasma processing chamber configured for processing a substrate is provided. The plasma processing system includes at least an upper electrode and a lower electrode for processing the substrate. The substrate is disposed on the lower electrode during plasma processing, where the upper electrode and the substrate forms a first gap. The plasma processing system also includes an upper electrode peripheral extension (UE-PE). The UE-PE is mechanically coupled to a periphery of the upper electrode, where the UE-PE is configured to be non-coplanar with the upper electrode. The plasma processing system further includes a cover ring. The cover ring is configured to concentrically surround the lower electrode, where the UE-PE and the cover ring forms a second gap.

Подробнее
08-01-2015 дата публикации

TARGET AGE COMPENSATION METHOD FOR PERFORMING STABLE REACTIVE SPUTTERING PROCESSES

Номер: WO2015000575A1
Принадлежит:

The present invention relates to a method for performing reactive sputtering processes maintaining the sputtering characteristic at the target as well as the deposition rate constant, or at least in a for the industrial production context acceptable range, independent on the target age.

Подробнее
09-02-2012 дата публикации

SYSTEMS, METHODS AND APPARATUS FOR SEPARATE PLASMA SOURCE CONTROL

Номер: WO2012018366A1
Принадлежит:

A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.

Подробнее
12-10-2006 дата публикации

PLASMA PROCESSING APPARATUS

Номер: WO2006107114A1
Принадлежит:

A plasma processing apparatus includes a stage (31) which is a lower electrode (3), an upper electrode (4) which is a counter electrode for the lower electrode, and a processing chamber (2) in which the lower and the upper electrodes are placed. The apparatus supplies a gas to a plasma generation space (A) located between the lower and the upper electrodes to generate a plasma so that a processing object (W) is subjected to plasma processing. In the apparatus, the upper electrode is formed up of a body portion (41) having a gas supply port (T), a gas-permeable porous plate (43) located on the underside of the body portion (41) so as to close the gas supply port (T), and a support member (45) for supporting the outer edge portion of the porous plate. Slits (S) for absorption of strain due to thermal expansion in the plasma processing are formed at a pitch in the outer edge portion of the porous plate.

Подробнее
12-03-2009 дата публикации

TOP PANEL AND PLASMA PROCESSING APPARATUS USING THE SAME

Номер: WO000002009031413A1
Принадлежит:

A top panel is integrally arranged with an opening section on a ceiling of a processing container of a plasma processing apparatus whose inside can be brought into vacuum state. The top panel is provided with a plurality of gas channels formed along a planar direction of the top panel, and a gas jetting port, which communicates with the gas channels and is opened on a top panel first surface facing inside the processing container.

Подробнее
13-12-2007 дата публикации

APPARATUS AND PROCESS FOR PLASMA-ENHANCED ATOMIC LAYER DEPOSITION

Номер: WO000002007142690A3
Принадлежит:

Embodiments of the invention provide an apparatus configured to form a material during an atomic layer deposition (ALD) process, such as a plasma-enhanced ALD (PE- ALD) process. In one embodiment, a process chamber (50) is configured to expose a substrate to a sequence of gases and plasmas during a PE-ALD process. The process chamber (50) comprises components that are capable of being electrically insulated, electrically grounded or RP energized. In one example, a chamber (50) body and a gas manifold (800) assembly are grounded and separated by electrically insulated components, such as an insulation cap (700), a plasma screen insert (600) and an isolation ring (200). A showerhead (300), a plasma baffle (500) and a water box (400) are positioned between the insulated components and become RF hot when activated by a plasma generator (92). Other embodiments of the invention provide deposition processes to form layers of materials within the process chamber (50).

Подробнее
17-10-2002 дата публикации

ATOMIC LAYER DEPOSITION SYSTEM AND METHOD

Номер: WO0002081771A2
Принадлежит:

Подробнее
07-03-2013 дата публикации

SELECTIVE SUPPRESSION OF DRY-ETCH RATE OF MATERIALS CONTAINING BOTH SILICON AND NITROGEN

Номер: WO2013033527A3
Принадлежит:

A method of suppressing the etch rate for exposed silicon-and-nitrogen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. The etch selectivity of silicon relative to silicon nitride and other silicon-and-nitrogen-containing material is increased using the method. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-nitrogen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including nitrogen trifluoride and hydrogen (H2). The second stage of the remote plasma etch also reacts plasma effluents with the patterned heterogeneous structures to selectively remove material which lacks the protective solid by-product. The plasma effluents of the second stage are formed from a remote plasma of a fluorine-containing precursor.

Подробнее
26-10-2017 дата публикации

METHOD & APPARATUS TO PREVENT DEPOSITION RATE/THICKNESS DRIFT, REDUCE PARTICLE DEFECTS & INCREASE REMOTE PLASMA SYSTEM LIFETIME

Номер: US20170306493A1
Принадлежит:

A method and apparatus for a deposition chamber is provided and includes a twin chamber that includes a first remote plasma system coupled and dedicated to a first processing region, a second remote plasma system coupled and dedicated to a second processing region, and a third remote plasma system shared by the first processing region and the second processing region.

Подробнее
29-05-2008 дата публикации

GAS BAFFLE AND DISTRIBUTOR FOR SEMICONDUCTOR PROCESSING CHAMBER

Номер: US2008121179A1
Принадлежит:

Apparatus and methods for distributing gas in a semiconductor process chamber are provided. In an embodiment, a gas distributor for use in a gas processing chamber comprises a body. The body includes a baffle with a gas deflection surface to divert the flow of a gas from a first direction to a second direction. The gas deflection surface comprises a concave surface. The concave surface comprises at least about 75% of the surface area of the gas deflection surface. The concave surface substantially deflects the gas toward a chamber wall and provides decreased metal atom contamination from the baffle so that season times can be reduced.

Подробнее
11-12-2012 дата публикации

Dielectric film formation using inert gas excitation

Номер: US0008329262B2

Methods of forming a silicon-and-nitrogen-containing layers and silicon oxide layers are described. The methods include the steps of mixing a carbon-free silicon-containing precursor with plasma effluents, and depositing a silicon-and-nitrogen-containing layer on a substrate. The silicon-and-nitrogen-containing layers may be made flowable or conformal by selection of the flow rate of excited effluents from a remote plasma region into the substrate processing region. The plasma effluents are formed in a plasma by flowing inert gas(es) into the plasma. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film.

Подробнее
30-10-2012 дата публикации

Method and apparatus for etching

Номер: US0008298959B2

Embodiments of the invention relate to a substrate etching method and apparatus. In one embodiment, a method for etching a substrate in a plasma etch reactor is provided that includes a) depositing a polymer on a substrate in an etch reactor, b) etching the substrate using a gas mixture including a fluorine-containing gas and oxygen in the etch reactor, c) etching a silicon-containing layer the substrate using a fluorine-containing gas without mixing oxygen in the etch reactor, and d) repeating a), b) and c) until an endpoint of a feature etched into the silicon-containing layer is reached.

Подробнее
12-05-2005 дата публикации

Semiconductor manufacturing apparatus

Номер: US20050097730A1
Принадлежит: Matsushita Elec. Ind. Co. Ltd.

When inactive gas of which flow rate is controllable is introduced into each processing chamber, the flow rate of the inactive gas is measured by a flow meter, and a computing unit operates computation of the flow rate of the gas to be flown into a processing chamber and the pressure value of the processing chamber, and an appropriate process time (purging time) required for stabilizing the atmosphere/discharging floating foreign particles is set, so that adherence of foreign particles onto the substrate to be processed can be prevented by constantly controlling the time, flow rate and pressure throughout the process.

Подробнее
11-09-2018 дата публикации

Systems and methods to maintain optimum stoichiometry for reactively sputtered films

Номер: US0010074522B1

The present invention relates to systems and methods for preparing reactively sputtered films. The films are generally thin transition metal oxide (TMO) films having an optimum stoichiometry for any useful device (e.g., a sub-stoichiometric thin film for a memristor device). Described herein are systems, methods, and calibrations processes that employ rapid control of partial pressures to obtain the desired film.

Подробнее
05-01-2012 дата публикации

Vapor-phase process apparatus, vapor-phase process method, and substrate

Номер: US20120003142A1
Принадлежит: Sumitomo Electric Industries Ltd

A vapor-phase process apparatus and a vapor-phase process method capable of satisfactorily maintaining quality of processes even when different types of processes are performed are obtained. A vapor-phase process apparatus includes a process chamber, gas supply ports serving as a plurality of gas introduction portions, and a gas supply portion (a gas supply member, a pipe, a flow rate control device, a pipe, and a buffer chamber). The process chamber allows flow of a reaction gas therein. The plurality of gas supply ports are formed in a wall surface (upper wall) of the process chamber along a direction of flow of the reaction gas. The gas supply portion can supply a gas into the process chamber at a different flow rate from each of one gas supply port and another gas supply port different from that one gas supply port among the plurality of gas supply ports.

Подробнее
12-01-2012 дата публикации

Method and apparatus for removing photoresist

Номер: US20120006486A1
Принадлежит: Lam Research Corp

A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.

Подробнее
09-02-2012 дата публикации

Plasma reactor

Номер: US20120034135A1
Автор: Philip John Risby
Принадлежит: GASPLAS AS

A reaction vessel has a reaction chamber, and two or more plasma nozzles coupled to the reactor chamber. Each plasma nozzle has a microwave plasma generator powered by a magnetron, and a feed tube for directing a flow of material via the plasma generator to a respective inlet to the reaction chamber whereby the plasma generator at least partly ionises the material to form a plasma prior to entry of the at least partly ionised material into the reaction chamber. The plasma-generating region of each nozzle is separated from the reactor chamber at a distance between 0.005 to 1 m.

Подробнее
29-03-2012 дата публикации

Electrode plate for plasma etching and plasma etching apparatus

Номер: US20120073753A1
Принадлежит: Tokyo Electron Ltd

An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
29-03-2012 дата публикации

Methods for Controlling Bevel Edge Etching in a Plasma Chamber

Номер: US20120074099A1
Принадлежит: Lam Research Corp

Methods for bevel edge etching are provided. One example method is for etching a film on a bevel edge of a substrate in a plasma etching chamber. The method includes providing the substrate on a substrate support in the plasma etching chamber. The plasma etching chamber has a top edge electrode and a bottom edge electrode disposed to surround the substrate support. Then flowing an etching process gas through a plurality of edge gas feeds disposed along a periphery of the gas delivery plate. The periphery of the gas deliver plate is oriented above the substrate support and the bevel edge of the substrate, and the flowing is further directed to a space between the top edge electrode and bottom edge electrode. And, flowing a tuning gas through a center gas feed of the gas delivery plate.

Подробнее
19-07-2012 дата публикации

Semiconductor processing system and methods using capacitively coupled plasma

Номер: US20120180954A1
Принадлежит: Applied Materials Inc

Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.

Подробнее
16-08-2012 дата публикации

Etch processing chamber

Номер: US20120208300A1
Принадлежит: Applied Materials Inc

A substrate etching method and apparatus are disclosed. In one embodiment, a method for etching is provided that includes, in a plasma processing chamber, etching a feature in a silicon layer using an etch recipe that includes cyclical etching and deposition substeps until an end point is reached, wherein an aspect ratio of the feature increases with a number of cyclical etching and deposition substeps performed over time until the end point is reached; and adjusting a recipe variable of the etch recipe in response to the current aspect ratio of the feature during etching to manage thickness of sidewall polymers when the feature becomes deeper to avoid closing the feature and preventing subsequent etching.

Подробнее
04-10-2012 дата публикации

Ion implantation system and method

Номер: US20120252195A1
Принадлежит: Advanced Technology Materials Inc

An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system.

Подробнее
29-11-2012 дата публикации

Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead

Номер: US20120301616A1
Принадлежит: Intermolecular Inc

A multi-zone, combinatorial, single wafer showerhead is used to concurrently develop hardware, materials, unit processes, and unit process sequences. The multi-zone, combinatorial, single wafer showerhead utilizes showerhead pucks to perform process sequences on isolated regions of a single substrate. The showerhead pucks are designed so that they are easily interchangeable to allow the characterization of the interaction between hardware characteristics, process parameters, and their influence on the result of the process sequence.

Подробнее
10-01-2013 дата публикации

Plasma processing apparatus

Номер: US20130008609A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode disposed to face each other, a high frequency power supply unit for applying a high frequency power to either the first electrode or the second electrode, a processing gas supply unit for supplying a processing gas to a processing space, and a main dielectric member provided at a substrate mounting portion on a main surface of the first electrode. A focus ring is attached to the first electrode to cover a peripheral portion of the main surface of the first electrode and a peripheral dielectric member is provided in a peripheral portion on the main surface of the first electrode so that an electrostatic capacitance per unit area applied between the first electrode and the focus ring is smaller than that applied between the first electrode and the substrate by the main dielectric member.

Подробнее
31-01-2013 дата публикации

Gas supply system

Номер: US20130025715A1
Принадлежит: Horiba Stec Co Ltd

A gas supply system is provided. The system includes a plurality of component gas supply pipes, a plurality of flow rate control mechanisms for controlling flow rates of the component gases flowing in the component gas supply pipes, and a material gas supply pipe connected with downstream ends of the component gas supply pipes, and connected with one of the gas supply ports at a downstream. The flow rate control mechanism includes flow rate control valves, individual pressure sensors, and fluid resistance elements provided to the component gas supply pipes in this order from upstream, respectively, a common pressure sensor, and controllers for calculating the flow rates of the gases flowing in the component gas supply and controlling the flow rate control valves of the corresponding component gas supply pipes so that the calculated component gas flow rate approaches a predetermined gas flow rate, respectively.

Подробнее
28-02-2013 дата публикации

Photoresist strip processes for improved device integrity

Номер: US20130048014A1
Принадлежит: Novellus Systems Inc

Provided herein are methods and apparatus of hydrogen-based photoresist strip operations that reduce dislocations in a silicon wafer or other substrate. According to various embodiments, the hydrogen-based photoresist strip methods can employ one or more of the following techniques: 1) minimization of hydrogen budget by using short processes with minimal overstrip duration, 2) providing dilute hydrogen, e.g., 2%-16% hydrogen concentration, 3) minimization of material loss by controlling process conditions and chemistry, 4) using a low temperature resist strip, 5) controlling implant conditions and concentrations, and 6) performing one or more post-strip venting processes. Apparatus suitable to perform the photoresist strip methods are also provided.

Подробнее
11-04-2013 дата публикации

Methods for in-situ chamber clean utilized in an etching processing chamber

Номер: US20130087174A1
Принадлежит: Applied Materials Inc

Embodiments of the invention include methods for in-situ chamber dry cleaning a plasma processing chamber utilized for gate structure fabrication process in semiconductor devices. In one embodiment, a method for in-situ chamber dry clean includes supplying a first cleaning gas including at least a boron containing gas into a processing chamber in absence of a substrate disposed therein, supplying a second cleaning gas including at least a halogen containing gas into the processing chamber in absence of the substrate, and supplying a third cleaning gas including at least an oxygen containing gas into the processing chamber in absence of the substrate.

Подробнее
16-05-2013 дата публикации

Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel

Номер: US20130118589A1
Автор: Chaolin Hu, XING Chen
Принадлежит: MKS Instruments Inc

An assembly for adjusting gas flow patterns and gas-plasma interactions including a toroidal plasma chamber. The toroidal plasma chamber has an injection member, an output member, a first side member and a second side member that are all connected. The first side member has a first inner cross-sectional area in at least a portion of the first side member and a second inner cross-sectional area in at least another portion of the first side member, where the first inner cross-sectional area and the second inner-cross-sectional area being different. The second side member has a third inner cross-sectional area in at least a portion of the second side member and a fourth inner cross-sectional area in at least another portion of the second side member, where the third inner cross-sectional area and the fourth inner-cross-sectional area being different.

Подробнее
23-05-2013 дата публикации

Film deposition method and film deposition apparatus

Номер: US20130130512A1
Принадлежит: Individual

A film deposition method including: a step of carrying a substrate into a vacuum chamber, and placing the substrate on a turntable; a step of rotating the turntable; and an adsorption-formation-irradiation step of supplying a first reaction gas to the substrate from a first reaction gas supply part to adsorb the first reaction gas on the substrate; supplying a second reaction gas from a second reaction gas supply part so that the first reaction gas adsorbed on the substrate reacts with the second reaction gas so as to form a reaction product on the substrate; and supplying a hydrogen containing gas to a plasma generation part that is separated from the first reaction gas supply part and the second reaction gas supply part in a circumferential direction of the turntable so as to generate plasma above the turntable and to irradiate the plasma to the reaction product.

Подробнее
04-07-2013 дата публикации

Mixed mode pulsing etching in plasma processing systems

Номер: US20130168354A1
Автор: Keren Jacobs Kanarik
Принадлежит: Individual

A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times.

Подробнее
05-09-2013 дата публикации

Method and Apparatus for Plasma Dicing a Semi-conductor Wafer

Номер: US20130230971A1
Принадлежит: Plasma Therm LLC

The present invention provides a method for plasma processing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; loading a work piece onto the work piece support, the work piece having a support film, a frame and the substrate; providing a cover ring above the work piece, the cover ring having at least one perforated region, and at least one non-perforated region; generating a plasma using the plasma source; and processing the work piece using the generated plasma.

Подробнее
21-11-2013 дата публикации

Contamination Removal Apparatus and Method

Номер: US20130306101A1
Принадлежит: Rave N P Inc

A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel.

Подробнее
12-12-2013 дата публикации

Showerhead insulator and etch chamber liner

Номер: US20130327480A1
Принадлежит: Applied Materials Inc

The present invention generally comprises a showerhead insulator for electrically isolating a showerhead assembly from a processing chamber wall, a chamber liner assembly for lining a processing chamber, a lower chamber liner for lining an evacuation area of a processing chamber, and a flow equalizer for ensuring a uniform evacuation of a processing chamber. When processing a substrate within an etching chamber, the showerhead needs to be electrically isolated from ground. A showerhead insulator may insulate the showerhead from ground while also preventing plasma from entering the volume that it occupies. A chamber liner may protect the chamber walls from contamination and reduce chamber cleaning. A flow equalizer will permit processing gases to be evenly pulled into the evacuation channel rather than a disproportionate flow into the evacuation channel. A lower liner can aid in uniformly drawing the vacuum and protecting the chamber walls from contamination.

Подробнее
13-02-2014 дата публикации

Method and system for graphene formation

Номер: US20140044885A1
Автор: David A. Boyd

A method for forming graphene includes providing a substrate and subjecting the substrate to a reduced pressure environment. The method also includes providing a carrier gas and a carbon source and exposing at least a portion of the substrate to the carrier gas and the carbon source. The method further includes performing a surface treatment process on the at least a portion of the substrate and converting a portion of the carbon source to graphene disposed on the at least a portion of the substrate.

Подробнее
20-02-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140047705A1
Принадлежит: Lam Research Corp

An exemplary method for manufacturing a heating plate for a substrate support assembly includes forming holes in at least one sheet, printing a slurry of conductor powder, or pressing a precut metal foil, or spraying a slurry of conductor powder, on the at least one sheet to form the planar heater zones, the power supply lines, and power return lines. The holes in the at least one sheet are filled with a slurry of conductor powder to form power supply and power return vias. The sheets are then aligned, pressed, and bonded to form the heating plate.

Подробнее
06-03-2014 дата публикации

Plasma processing apparatus and cleaning method for removing metal oxide film

Номер: US20140060572A1
Принадлежит: Tokyo Electron Ltd

In a plasma processing apparatus, a mounting table is provided in a processing chamber, and a remote plasma generating unit is configured to generate an excited gas by exiting a hydrogen-containing gas. The remote plasma generating unit has an outlet for discharging the excited gas. A diffusion unit is provided to correspond to the outlet of the remote plasma generating unit and serves to receive the excited gas flowing from the outlet and diffuse the hydrogen active species having a reduced amount of hydrogen ions. An ion filter is disposed between the diffusion unit and the mounting table while being separated from the diffusion unit. The ion filter serves to capture the hydrogen ions contained in the hydrogen active species diffused by the diffusion unit and allow the hydrogen active species having a further reduced amount of hydrogen ions to pass therethrough the mounting table.

Подробнее
10-04-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140096909A1
Принадлежит: Lam Research Corp

A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches.

Подробнее
01-01-2015 дата публикации

Gas diffuser unit, process chamber and wafer processing method

Номер: US20150002017A1

A gas diffuser unit for a process chamber includes at least one controllable diffuser, a power source, and a controller. The at least one controllable diffuser is configured to generate controllable forces acting in various directions on a gaseous material in a flow of the gaseous material introduced into the process chamber, to spread the gaseous material inside the process chamber. The power source is coupled to the at least one controllable diffuser, and configured to supply power to the at least one controllable diffuser to generate the controllable forces. The controller is coupled to the power source and configured to control the power supplied by the power source to the at least one controllable diffuser.

Подробнее
02-01-2020 дата публикации

Device for Pulsed Laser Deposition and a Substrate with a Substrate Surface for Reduction of Particles on the Substrate

Номер: US20200002805A1
Принадлежит:

The invention relates to a device for pulsed laser deposition and a substrate with a substrate surface, which device includes: a substrate holder for holding the substrate; a target arranged facing the substrate surface of the substrate; a velocity filter arranged between the substrate and the target; a pulsed laser directed onto the target at a target spot for generating a plasma plume of target material; and a plasma hole plate arranged between the target and the substrate. The plasma hole plate has a plasma passage opening divided in an upstream section and a downstream section by a dividing plane. The target spot coincides with the dividing plane, and the surface area of the upstream section is larger than the surface area of the downstream section. 1. A device for pulsed laser deposition and a substrate with a substrate surface , which device comprises:a substrate holder for holding the substrate;a target arranged facing the substrate surface of the substrate;a velocity filter arranged between the substrate and the target, which velocity filter comprises a rotating body with at least one filter passage opening;a pulsed laser directed onto the target at a target spot for generating a plasma plume of target material, wherein the surface of the target at the target spot faces the substrate surface; anda plasma hole plate arranged between the target and the substrate, which plasma hole plate has a plasma passage opening, wherein the plasma passage opening is divided in an upstream section and a downstream section by a dividing plane, which is perpendicular to the direction of rotation of the velocity filter, wherein the target spot coincides with the dividing plane, and wherein the surface area of the upstream section is larger than the surface area of the downstream section at least at the moment of generation of a plasma plume by the pulsed laser.2. The device according to claim 1 , wherein the length of the upstream section of the plasma passage opening in the ...

Подробнее
03-01-2019 дата публикации

SPUTTER DEVICES AND METHODS

Номер: US20190003039A1
Принадлежит:

Sputter devices comprise a vacuum supply, a gas supply, a substrate holding device, and sputter sources. Each sputter source is held by an individual source support, each of which has an individual reference point allocated on a sputter surface facing the deposition area, and each of which has a source distance to a source reference surface from the individual reference point. The sputter sources are spaced apart from each other, are arranged as a two-dimensional array opposite the deposition area, and extend along the source reference surface. The source reference surface is parallel to the substrate reference surface. At least one of the sputter sources has a source distance deviating from zero. 1. A sputter device for sputtering deposition of a layer on a three-dimensionally shaped substrate surface of a substrate in a deposition area , the sputter device comprising in a deposition section of the sputter device:at least one vacuum supply for generation of a vacuum in the deposition section;a gas supply for introduction of process gas for the sputtering deposition in the deposition section;a substrate holding device for support of the substrate relative to a substrate reference surface of the substrate holding device; andsputter sources, each of which is held by an individual source support, each of which has an individual reference point allocated on a sputter surface facing the deposition area, and each of which has a source distance to a source reference surface from the individual reference point, wherein the sputter sources are spaced apart from each other, are arranged as a two-dimensional array opposite the deposition area, and extend along the source reference surface, wherein the source reference surface is parallel to the substrate reference surface, wherein at least one of the sputter sources has a source distance deviating from zero, and wherein the source distance is measured between the source reference surface and the individual reference point of ...

Подробнее
07-01-2016 дата публикации

Pulsed Sputtering Apparatus and Pulsed Sputtering Method

Номер: US20160005577A1
Автор: Tsukamoto Keizo
Принадлежит:

An object of the invention is to reduce sizes of an inert gas supply and exhaust devices used for a pulse sputtering device. Another object is to efficiently supply suitable quantity of the inert gas to a place where the inert gas is required in the pulse sputtering device. Therefore, a provided pulse sputtering device has a sputtering source that performs pulse discharge and generates plasma, a gas injection valve that injects and supplies an inert gas to the sputtering source and a controller that controls the sputtering source and the gas injection valve. The controller controls the sputtering source and the gas injection valve such that the gas injection valve injects the inert gas intermittently and such that a part of a period, in which the pulse discharge occurs in the sputtering source, overlaps with a part of a period, in which the gas injection valve injects and supplies the inert gas. 1. A pulse sputtering device , comprising:a sputtering source that performs pulse discharge and generates plasma;a gas injection valve that injects and supplies an inert gas to the sputtering source; anda controller that controls the sputtering source and the gas injection valve, whereinthe controller controls the gas injection valve such that the gas injection valve injects the inert gas intermittently, andthe controller controls the sputtering source and the gas injection valve such that a part of a period, in which the pulse discharge occurs in the sputtering source, overlaps with a part of a period, in which the gas injection valve injects and supplies the inert gas or such that a period, in which the pulse discharge occurs in the sputtering source, is included in a period, in which the gas injection valve injects and supplies the inert gas.2. The pulse sputtering device as in claim 1 , whereinthe controller supplies power to the gas injection valve according to an injection signal, which is a pulsed electric signal, thereby driving the gas injection valve to inject the ...

Подробнее
13-01-2022 дата публикации

DEVICE FOR COATING CONTAINERS WITH A BARRIER LAYER, AND METHOD FOR HEATING A CONTAINER

Номер: US20220013334A1
Принадлежит:

The present invention relates to a device for coating containers with a barrier layer having at least one plasma chamber, which encloses at least one treatment space, in which at least one container with a container interior can be inserted and can be positioned on the treatment space, wherein a gas lance is provided which can be introduced into the container interior and which further acts as microwave antenna, with the plasma chamber being designed to be capable at least of partial evacuation and being designed to fill the container interior at least partially with a plasma and a process gas. The device is designed such that the container can be preheated by means of a plasma, more particularly by means of a microwave plasma, using a noble gas which can be introduced into the container interior through the gas lance. 1. A device for coating containers with a barrier layer having at least one plasma chamber , which includes at least one treatment space , and in which at least one container with a container interior can be inserted and positioned on the treatment space , wherein a gas lance is present which can be introduced into the container interior and which furthermore acts as microwave antenna , wherein the plasma chamber is formed to be capable of at least partial evacuation and is set up to fill the container interior at least partially with a plasma and a process gas , wherein the device is formed such that a pre-heating of the container can be carried out by means of a plasma , in particular by means of a microwave plasma , using a noble gas which can be introduced into the container interior via the gas lance.2. The device according to claim 1 , wherein the noble gas is taken from the group Ne claim 1 , Ar claim 1 , Kr and/or Xe; preferably only Ar claim 1 , optionally with residual air claim 1 , is used as noble gas.3. The device according to claim 1 , wherein a heating tunnel is present before the device in the path for conveying the container into it.4 ...

Подробнее
04-01-2018 дата публикации

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер: US20180005801A1
Принадлежит:

Provided are apparatuses and methods for performing deposition and etch processes in an integrated tool. An apparatus may include a plasma processing chamber that is a capacitively-coupled plasma reactor, and the plasma processing chamber can include a showerhead that includes a top electrode and a pedestal that includes a bottom electrode. The apparatus may be configured with an RF hardware configuration so that an RF generator may power the top electrode in a deposition mode and power the bottom electrode in an etch mode. In some implementations, the apparatus can include one or more switches so that at least an HFRF generator is electrically connected to the showerhead in a deposition mode, and the HFRF generator and an LFRF generator is electrically connected to the pedestal and the showerhead is grounded in the etch mode. 1. A method of filling one or more gaps in a wafer , the method comprising:providing a wafer on a pedestal in a plasma processing chamber, wherein the wafer has one or more gaps each having a depth to width aspect ratio of greater than about 5:1;depositing, in the plasma processing chamber, a first dielectric layer in the one or more gaps via ALD;anisotropically etching with slope control, in the plasma processing chamber, the first dielectric layer; anddepositing, in the plasma processing chamber, a second dielectric layer in the one or more gaps over the first dielectric layer via ALD.2. The method of claim 1 , wherein a wafer temperature is between about 80° C. and about 400° C. while depositing the first dielectric layer claim 1 , while anisotropically etching with slope control the first dielectric layer claim 1 , and while depositing the second dielectric layer.3. The method of claim 1 , wherein a wafer temperature is greater than about 200° C. while anisotropically etching with slope control the first dielectric layer.4. The method of claim 1 , wherein anisotropically etching with slope control the first dielectric layer is performed ...

Подробнее
04-01-2018 дата публикации

SELECTIVE ATOMIC LAYER DEPOSITION WITH POST-DOSE TREATMENT

Номер: US20180005814A1
Принадлежит:

Methods and apparatuses for depositing films in high aspect ratio features and trenches using a post-dose treatment operation during atomic layer deposition are provided. Post-dose treatment operations are performed after adsorbing precursors onto the substrate to remove adsorbed precursors at the tops of features prior to converting the adsorbed precursors to a silicon-containing film. Post-dose treatments include exposure to non-oxidizing gas, exposure to non-oxidizing plasma, and exposure to ultraviolet radiation. 1. A method of processing a patterned substrate in a process chamber , the method comprising:(a) providing the patterned substrate having one or more features;(b) exposing the patterned substrate to a silicon-containing precursor under conditions allowing the silicon-containing precursor to adsorb onto surfaces of the one or more features, thereby forming an adsorbed layer of the silicon-containing precursor over the patterned substrate;(c) before exposing the patterned substrate to a reactant to form a silicon-containing film and after exposing the patterned substrate to the silicon-containing precursor, performing a post-dose treatment operation to preferentially remove the adsorbed layer at tops of the one or more features; and(d) exposing the patterned substrate to the reactant and igniting a first plasma to form the silicon-containing film over the patterned substrate.2. The method of claim 1 , wherein performing the post-dose treatment operation comprises exposing the patterned substrate to a gas selected from the group consisting of nitrogen claim 1 , argon claim 1 , hydrogen claim 1 , ammonia claim 1 , helium claim 1 , and CH claim 1 , wherein x is an integer between and including 1-5 and y is an integer between and including 4-16.3. The method of claim 2 , wherein performing the post-dose treatment operation further comprises igniting a second plasma at a plasma power less than about 6 kW.4. The method of claim 3 , wherein performing the post- ...

Подробнее
07-01-2021 дата публикации

ELECTROSTATIC CHUCK AND PLASMA PROCESSING APPARATUS

Номер: US20210005432A1
Принадлежит: TOKYO ELECTRON LIMITED

An electrostatic chuck of an embodiment includes a base, a dielectric layer, and a chuck main body. The dielectric layer is provided on the base, and is fixed to the base. The chuck main body is mounted on the dielectric layer. The chuck main body has a ceramic main body, a first electrode, a second electrode, and a third electrode. The ceramic main body has a substrate mounting region. The first electrode is provided in the substrate mounting region. The second electrode and the third electrode form a bipolar electrode. The second electrode and the third electrode are provided in the ceramic main body, and are provided between the first electrode and the dielectric layer. 1. An electrostatic chuck , comprising:a member;a ceramic main body provided on the member and having an upper surface and a lower surface;a first electrode provided in the ceramic main body to generate an electrostatic attractive force between a substrate mounted on the upper surface and the ceramic main body; anda second electrode and a third electrode forming a bipolar electrode, provided in the ceramic main body, and provided between the first electrode and the member to generate an electrostatic attractive force between the ceramic main body and the member.2. The electrostatic chuck according to claim 1 , wherein the second electrode and the third electrode are film-shaped electrodes and have comb-tooth shapes.3. The electrostatic chuck according to claim 2 , wherein the second electrode and the third electrode are provided so that comb teeth of the second electrode and comb teeth of the third electrode are alternately arranged along one direction.4. A plasma processing apparatus claim 2 , comprising:a chamber main body that provides a chamber;{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'an electrostatic chuck defined in , provided in the chamber;'}a radio frequency power supply that is electrically connected to the member of the electrostatic chuck;a first DC power supply electrically ...

Подробнее
07-01-2021 дата публикации

ETCHING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210005519A1
Принадлежит:

A method of etching a substrate, on which a multilayered film is formed, is provided. The multilayered film includes a silicon-containing insulating layer, an undercoat layer provided under the silicon-containing insulating layer, and a mask layer provided above the silicon-containing insulating layer. When the substrate is loaded into a process chamber, a process gas containing a fluorocarbon gas and a noble gas is supplied into the process chamber, and the multilayered film is etched by the plasma formed from the process gas. The noble gas contains a first gas having higher ionization energy than Ar gas, and momentum of an ionized particle of the first gas is less than momentum of an ionized particle of Ar gas. 1. A method comprising:loading, into a process chamber, a substrate on which a multilayered film is formed, the multilayered film including a silicon-containing insulating layer, an undercoat layer provided under the silicon-containing insulating layer, and a mask layer provided above the silicon-containing insulating layer;supplying a process gas into the process chamber, the process gas containing a fluorocarbon gas and a noble gas; and the noble gas contains a first gas having higher ionization energy than Ar gas, and', 'momentum of an ionized particle of the first gas is less than momentum of an ionized particle of Ar gas., 'forming a plasma from the process gas in the process chamber, thereby causing the multilayered film to be etched; wherein'}2. The method according to claim 1 , the noble gas further containing a second gas; whereinthe supplying of the process gas includes controlling a ratio between the first gas and the second gas contained in the noble gas; andthe second gas contains at least one of Ar gas and a gas having lower ionization energy than Ar gas.3. A method comprising:loading, into a process chamber, a substrate on which a multilayered film is formed, the multilayered film including a silicon-containing insulating layer, an undercoat ...

Подробнее
02-01-2020 дата публикации

DRY ETCHING DEVICE AND ELECTRODE THEREOF

Номер: US20200006040A1
Автор: WEN Chun-Bin
Принадлежит:

A dry etching device and an electrode thereof are disclosed in this application. The electrode of a dry etching device includes: an electrode plate, a surface of the electrode plate including a component-disposing area and an edge area surrounding the component-disposing area; a barrier ring, disposed in the edge area, and located on a periphery of the component-disposing area; and spacers, disposed on an outer side of the electrode plate, and abutting a periphery of the barrier ring, where the spacer has a plurality of through holes. 1. An electrode of a dry etching device , comprising:an electrode plate, a surface of the electrode plate comprising a component-disposing area and an edge area surrounding the component-disposing area;a barrier ring, disposed in the edge area, and located on a periphery of the component-disposing area; andspacers, disposed on an outer side of the electrode plate, and abutting a periphery of the barrier ring, wherein the spacer has a plurality of through holes.2. The electrode of a dry etching device according to claim 1 , wherein the spacers are disposed on the periphery of the barrier ring in a manner of equal intervals claim 1 , unequal intervals claim 1 , partially equal intervals or no interval.3. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes is evenly claim 1 , unevenly claim 1 , or partially evenly provided on the spacer.4. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes has a same shape and size.5. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes has different shapes and sizes.6. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes has partially same shapes and sizes.7. A dry etching apparatus claim 1 , comprising:a chamber;a base, disposed inside the chamber;a first electrode, disposed on the base, and a surface of the first ...

Подробнее
02-01-2020 дата публикации

SELECTIVE GROWTH OF METAL-CONTAINING HARDMASK THIN FILMS

Номер: US20200006073A1
Принадлежит:

Methods and apparatuses for selectively growing metal-containing hard masks are provided herein. Methods include providing a substrate having a pattern of spaced apart features, each feature having a top horizontal surface, filling spaces between the spaced apart features with carbon-containing material to form a planar surface having the top horizontal surfaces of the features and carbon-containing material, selectively depositing a metal-containing hard mask on the top horizontal surfaces of the features relative to the carbon-containing material, and selectively removing the carbon-containing material relative to the metal-containing hard mask and features. 1. A method comprising:providing a patterned semiconductor substrate having features spaced apart on an underlying material to be etched;filling spaces between the features with an ashable fill such that top horizontal surfaces of the features are exposed and sidewalls of the features contact the ashable fill;after filling the spaces between the features, selectively depositing a metal-containing hard mask on the exposed top horizontal surfaces of the features relative to the ashable fill; andremoving the ashable fill relative to the features and metal-containing hard mask.2. The method of claim 1 , wherein the filling between the features is performed to form a planar surface comprising the top horizontal surfaces of the features and the ashable fill.3. The method of claim 1 , wherein the filling between the features is done by spin-on.4. The method of claim 3 , wherein the spin-on is performed by injecting a carbon-containing fluid mixture onto the patterned semiconductor substrate followed by heat curing.5. The method of claim 3 , wherein the filling between the features is done by spin-on followed by planarization to expose the top horizontal surfaces of the features.6. The method of claim 1 , wherein the filling between the features is done by plasma enhanced chemical vapor deposition.7. The method of ...

Подробнее
02-01-2020 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20200006079A1
Принадлежит:

An plasma etching method for etching a film layer includes a plurality of times repeating a step set including a first step of introducing a gas containing hydrogen fluoride into a processing chamber and supplying hydrogen fluoride molecules to the surface of an oxide film, a second step of exhausting the interior of the processing chamber in vacuum to remove the hydrogen fluoride, and a third step of introducing a gas containing hydrogen nitride into the processing chamber and supplying hydrogen nitride to the surface of the oxide film to form a compound layer containing nitrogen, hydrogen, and fluorine on the surface of the film layer, and removing the compound layer formed on the surface of the film layer. Foreign object contamination is prevented by inhibiting mixing of hydrogen fluoride gas and hydrogen nitride gas, and the etching amount is controlled by the number of times of repeating application thereof. 1. An plasma etching method for etching a film layer of an object to be processed disposed in a processing chamber inside a vacuum container and is made of a member containing silicon , the plasma etching method comprising:forming a film by repeating a set of steps a plurality of times, the set of steps includinga first step of introducing a gas containing at least hydrogen fluoride into the processing chamber and supplying hydrogen fluoride molecules to a surface of the film layer of the object to be processed,a second step of exhausting the interior of the processing chamber to remove the gas containing hydrogen fluoride, anda third step of supplying hydrogen nitride molecules to the surface of the film layer of the object to be processed to form a compound layer containing nitrogen, hydrogen, and fluorine on the surface of the film layer; andremoving the compound layer formed on the surface of the film layer to be processed after the forming of the film.2. The plasma etching method according to claim 1 , whereinthe third step includes introducing a gas ...

Подробнее
02-01-2020 дата публикации

ELECTROSTATIC CHUCK SIDEWALL GAS CURTAIN

Номер: US20200006109A1

The present disclosure describes an apparatus. The apparatus includes a chuck for placing an object thereon, a gas passage extending along a periphery of an outer sidewall of the chuck and separating the chuck into an inner portion and a sidewall portion, and a plurality of gas holes through the sidewall portion and configured to connect a gas external to the chuck to the gas passage. 1. An apparatus , comprising:a chuck for placing an object thereon;a gas passage extending along a periphery of an outer sidewall of the chuck and dividing the chuck into an inner portion and a sidewall portion; anda plurality of gas holes through the sidewall portion and configured to connect the gas passage to a gas external to the chuck.2. The apparatus of claim 1 , wherein the gas passage surrounds the inner portion from a plurality of directions.3. The apparatus of claim 1 , further comprising an inlet connected to the gas passage and configured to receive an inert gas source.4. The apparatus of claim 1 , wherein a height of the gas passage is substantially equal to or less than a height of the chuck.5. The apparatus of claim 1 , wherein a diameter of the plurality of gas holes is in a range of about 0.05 mm to about 0.5 mm.6. The apparatus of claim 5 , wherein the diameter is about 0.1 mm.7. The apparatus of claim 1 , wherein the plurality of gas holes are distributed uniformly on the sidewall portion.8. The apparatus of claim 1 , wherein the sidewall portion comprises a vertical sidewall portion claim 1 , and wherein a first one or more of the plurality of gas holes in the vertical sidewall portion have a first exit direction.9. The apparatus of claim 8 , wherein the sidewall portion of the chuck further comprises a horizontal sidewall portion claim 8 , and wherein a second one or more of the plurality of gas holes in the horizontal sidewall portion have a second exit direction different from the first exit direction.10. The apparatus of claim 9 , wherein the first and second ...

Подробнее
02-01-2020 дата публикации

Notched Gate Structure Fabrication

Номер: US20200006148A1

A method includes providing a structure having a first region and a second region, the first region including a first channel region, the second region including a second channel region; forming a gate stack layer over the first and second regions; patterning the gate stack layer, thereby forming a first gate stack over the first channel region and a second gate stack over the second channel region; and laterally etching bottom portions of the first and second gate stacks by applying different etchant concentrations to the first and second regions simultaneously, thereby forming notches at the bottom portions of the first and second gate stacks.

Подробнее
03-01-2019 дата публикации

APPARATUS AND METHOD FOR PLASMA SYNTHESIS OF CARBON NANOTUBES

Номер: US20190006151A1
Принадлежит: FGV Cambridge Nanosystems Limited

Apparatus and method for plasma synthesis of carbon nanotubes couple a plasma nozzle to a reaction tube/chamber. A process gas comprising a carbon-containing species is supplied to the plasma nozzle. Radio frequency radiation is supplied to the process gas within the plasma nozzle, so as to sustain a plasma within the nozzle in use, and thereby cause cracking of the carbon-containing species. The plasma nozzle is arranged such that an afterglow of the plasma extends into the reaction tube/chamber. The cracked carbon-containing species also pass into the reaction tube/chamber. The cracked carbon-containing species recombine within the afterglow, so as to form carbon nanotubes in the presence of a catalyst. 1. Apparatus for plasma synthesis of carbon nanotubes , comprising:a plasma nozzle coupled to a reaction tube or chamber;means for supplying a process gas to the plasma nozzle, the process gas comprising a carbon-containing species;means for supplying radio frequency radiation to the process gas within the plasma nozzle, so as to sustain a plasma within the nozzle in use, and thereby cause cracking of the carbon-containing species; andmeans for providing a catalyst;wherein the plasma nozzle is arranged such that an afterglow of the plasma extends into the reaction tube/chamber, the cracked carbon-containing species also pass into the reaction tube/chamber, and the cracked carbon-containing species recombine within the afterglow, so as to form carbon nanotubes in the presence of the catalyst.246-. (canceled)47. A method of synthesising carbon nanotubes , the method comprising:coupling a plasma nozzle to a reaction tube or chamber;supplying a process gas to the plasma nozzle, the process gas comprising a carbon-containing species;supplying radio frequency radiation to the process gas within the plasma nozzle, so as to sustain a plasma within the nozzle, and thereby cause cracking of the carbon-containing species; andproviding a catalyst;wherein the plasma nozzle is ...

Подробнее
03-01-2019 дата публикации

PLASMA GENERATING UNIT AND PLASMA PROCESSING APPARATUS

Номер: US20190006152A1
Принадлежит:

A plasma generating unit capable of improving in-surface uniformity of plasma and a plasma processing apparatus using the same are provided. The plasma generating unit provided in the plasma processing apparatus includes a dielectric window ; a slot plate provided on the dielectric window ; and a coaxial waveguide electrically connected to the slot plate and configured to transmit a microwave. The coaxial waveguide includes an inner conductor ; and an outer conductor configured to surround the inner conductor . The plasma generating unit further includes a pressing component PM configured to elastically press the inner conductor toward the slot plate. 1. A plasma generating unit provided in a plasma processing apparatus , comprising:a dielectric window;a slot plate provided on the dielectric window; anda coaxial waveguide electrically connected to the slot plate and configured to transmit a microwave,wherein the coaxial waveguide comprises:an inner conductor; andan outer conductor configured to surround the inner conductor,wherein the plasma generating unit further comprises a pressing component configured to elastically press the inner conductor toward the slot plate.2. The plasma generating unit of claim 1 , further comprising:a spring provided between the inner conductor and the slot plate.3. The plasma generating unit of claim 1 , further comprising:a wavelength shortening plate guide ring provided on the slot plate;a wavelength shortening plate placed at an inner side of the wavelength shortening plate guide ring such that a position thereof is restricted; anda temperature control jacket provided on the wavelength shortening plate.4. The plasma generating unit of claim 3 , further comprising:a first position fixing pin provided between the dielectric window and the wavelength shortening plate guide ring to be inserted through a positioning hole provided at the slot plate; anda second position fixing pin provided between the temperature control jacket and the ...

Подробнее
03-01-2019 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20190006186A1
Принадлежит:

A shape of a hole can be improved. The plasma etching method includes a recess forming of forming a recess having a depth smaller than a thickness of a silicon oxide film by etching the silicon oxide film by plasma; a removing process of removing a reaction product adhering to the recess by plasma generated from a fluorocarbon gas; and a penetrating process of forming a hole penetrating the silicon oxide film by etching the recess, from which the reaction product is removed, by plasma. 1. A plasma etching method , comprising:a recess forming process of forming a recess having a depth smaller than a thickness of a silicon oxide film by etching the silicon oxide film by plasma;a removing process of removing a reaction product adhering to the recess by plasma generated from a fluorocarbon gas; anda penetrating process of forming a hole penetrating the silicon oxide film by etching the recess, from which the reaction product is removed, by plasma.2. The plasma etching method of claim 1 ,wherein the recess forming process and the removing process are alternately repeated multiple times until a depth of the recess reaches a preset depth, andin the penetrating process, when the depth of the recess has reached the preset depth, the hole is formed by etching the recess, from which the reaction product is removed, by the plasma.3. The plasma etching method of claim 1 ,wherein the silicon oxide film is included in a multilayered film in which a silicon oxide film and a silicon nitride film are alternately stacked on top of each other.4. The plasma etching method of claim 3 , further comprising:a first extending process of extending the hole by etching, with plasma, a silicon nitride film exposed through the hole penetrating the silicon oxide film and a silicon oxide film formed under the silicon nitride film, anda second extending process of further extending the hole by etching, with plasma, a silicon nitride film exposed through the extended hole and a silicon oxide film ...

Подробнее
03-01-2019 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20190006207A1
Принадлежит:

A substrate processing apparatus includes a processing container configured to air-tightly accommodate substrates, a plurality of mounting stands configured to mount the substrates, a process gas supply part configured to supply a process gas to the mounting stands, an exhaust mechanism configured to evacuate an interior of the processing container, a partition wall configured to independently surround the mounting stands with a gap left between the partition wall and each of the mounting stands, and cylindrical inner walls configured to independently surround the mounting stands with a gap left between each of the inner walls and each of the mounting stands. Slits are formed in the inner walls. The process gas in the processing spaces is exhausted via the slits. The inner walls include partition plates for bypassing the process gas so that the process gas does not directly flow into the slits. 16-. (canceled)7. A substrate processing apparatus for processing a substrate , comprising:a processing container configured to air-tightly accommodate the substrate;a mounting stand configured to mount the substrate within the processing container;a process gas supply part configured to supply a process gas into the processing container;an exhaust mechanism configured to evacuate the process gas in the processing container; andan inner wall,wherein the inner wall includes a plurality of process gas exhaust passages,wherein each of process gas exhaust passages includes an interior slit inlet through which the process gas is initially exhausted, a channel connected to the interior slit inlet and formed between a body portion of the inner wall and a partition plate formed on an inner surface of the inner wall, the channel configured to guide the process gas by a predetermined length, and an exterior slit outlet through which the process gas is finally exhausted, the exterior slit outlet connected to the channel,wherein the inner wall is disposed lower than a substrate mounting ...

Подробнее
20-01-2022 дата публикации

METHOD FOR COATING METAL

Номер: US20220018021A1
Принадлежит: AGC Glass Europe

A metal substrate with a silicon oxide based layer having a thickness between 80 and 400 nm and having between 5 and 30 atom % of carbon. Also included is a process for depositing by PECVD a silicon oxide based layer, having a thickness comprised between 80 and 400 nm and comprising between 5 and 30 atom % of carbon, on a metal substrate. 1. A metal substrate comprising:a silicon oxide based layer having a thickness comprised between 80 and 400 nm and comprising between 5 and 30 atom % of carbon.2. The metal substrate according to claim 1 , further comprising an anodized metal layer in between a bulk metal and the silicon oxide based layer.3. The metal substrate according to claim 1 , wherein the silicon oxide based layer comprises at least 80% by weight of SiO2.4. The metal substrate according to claim 1 , wherein the silicon oxide based layer comprises up to 15% by weight of titanium oxide claim 1 , zirconium oxide or a mixture of titanium oxide and zirconium oxide.5. A method for the production of a silicon oxide based layer claim 1 , having a thickness comprised between 80 and 400 nm claim 1 , comprising between 5 and 30 atom % of carbon claim 1 , on a metal substrate claim 1 , comprising:a. taking a low-pressure PECVD device comprising at least one linear dual-beam plasma source, wherein the linear dual-beam plasma source comprises at least two electrodes connected to an AC or pulsed DC generator, for the deposition of said layers on the substrate;b. applying an electrical power between the two electrodes, so that the power density of the plasma is between 3 and 17 W per cm2 of plasma; andc. applying, to the substrate, a gaseous carbon-comprising precursor of silicon oxide at a flow rate of between 125 and 750 sccm per linear meter of the plasma source and a reactive gas based on oxygen or on oxygen-comprising derivatives at a flow rate of between 500 and 2500 sccm per linear meter of the plasma source.6. A method for the production of a silicon oxide based ...

Подробнее
20-01-2022 дата публикации

SWITCHABLE DELIVERY FOR SEMICONDUCTOR PROCESSING SYSTEM

Номер: US20220020570A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing systems may include a processing chamber including a lid stack having an output manifold. The systems may include a gas panel. The systems may include an input manifold. The input manifold may fluidly couple the gas panel with the output manifold of the processing chamber. A delivery line may extend from the input manifold to the output manifold. The systems may include a first transmission line extending from a first set of precursor sources of the gas panel to the delivery line. The systems may include a second transmission line extending from a second set of precursor sources of the gas panel to the delivery line. The second transmission line may be switchably coupled between the delivery line and an exhaust of the semiconductor processing system. 1. A semiconductor processing system comprising:a processing chamber including a lid stack having an output manifold;a gas panel;an input manifold, the input manifold fluidly coupling the gas panel with the output manifold of the processing chamber, wherein a delivery line extends from the input manifold to the output manifold;a first transmission line extending from a first set of precursor sources of the gas panel to the delivery line; anda second transmission line extending from a second set of precursor sources of the gas panel to the delivery line, wherein the second transmission line is switchably coupled between the delivery line and an exhaust of the semiconductor processing system.2. The semiconductor processing system of claim 1 , wherein the first set of precursor sources comprises a process precursor and a carrier precursor claim 1 , and wherein the second set of precursor sources comprises a treatment precursor.3. The semiconductor processing system of claim 1 , further comprising:a remote plasma source coupled with the lid stack; anda third transmission line extending from the gas panel to the remote plasma source.4. The semiconductor processing system of claim 1 , ...

Подробнее
20-01-2022 дата публикации

ETCHING PROCESSING APPARATUS, QUARTZ MEMBER AND PLASMA PROCESSING METHOD

Номер: US20220020596A1
Принадлежит:

An etching processing apparatus includes a stage configured to receive a substrate, a chamber configured to contain the stage, and a plasma generator configured to generate plasma in the chamber. An annular quartz member is disposed in a space in which the plasma is generated. The annular quartz member includes a surface facing the space. A coating film covers the surface of the quartz member. The coating film is made of a material other than quartz, and has a thickness of 10 nm or more and less than 800 nm. 1. An etching processing apparatus , comprising:a stage configured to receive a substrate;a chamber configured to contain the stage;a plasma generator configured to generate plasma in the chamber;an annular quartz member disposed in a space in which the plasma is generated, the annular quartz member including a surface facing the space; anda coating film covering the surface of the quartz member,wherein the coating film is made of a material other than quartz, and has a thickness of 10 nm or more and less than 800 nm.2. The etching processing apparatus as claimed in claim 1 , wherein the coating film is made of a compound consisting of any two or more elements of C claim 1 , Si claim 1 , F claim 1 , N claim 1 , O and B.3. The etching processing apparatus as claimed in claim 2 , wherein the coating film is composed of any one of SiC claim 2 , SiN claim 2 , and BC.4. The etching processing apparatus as claimed in claim 3 , wherein the coating film is composed of SiC.5. The etching processing apparatus as claimed in claim 1 , further comprising:an edge ring disposed to surround the substrate,wherein the annular quartz member is disposed to surround the edge ring.7. The etching processing apparatus as claimed in claim 1 , wherein the coating film is formed by any one of ALD claim 1 , PVD and CVD.8. An annular quartz member used for an etching processing apparatus including a stage configured to receive a substrate claim 1 , a chamber configured to contain the stage ...

Подробнее
08-01-2015 дата публикации

METHODS AND APPARATUS FOR DEPOSITING AND/OR ETCHING MATERIAL ON A SUBSTRATE

Номер: US20150011088A1
Принадлежит:

Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (T) of the processing chamber for the step. 2. A method according to claim 1 , wherein the corresponding gas residence time of the processing chamber for the at least one of the control steps is between 0.1 and 5 seconds claim 1 , preferably between 0.5 and 2 seconds claim 1 , still preferably approximately 1 second.3. A method according to claim 1 , wherein the or each control step comprising instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step claim 1 , which duration is less than the corresponding gas residence time (T) of the processing chamber for the step claim 1 , has a duration of less than 1 second claim 1 , preferably less than or equal to 750 milliseconds claim 1 , more preferably less than or equal to 500 milliseconds claim 1 , further preferably less than or equal to 100 milliseconds claim 1 , still preferably less than or equal to 50 milliseconds claim 1 , most preferably between 5 and 50 milliseconds.4. A method according to claim 1 , wherein a first sequential subset of one or more of the series of control steps constitutes a passivation process phase during which the processing ...

Подробнее
14-01-2021 дата публикации

Control system for plasma chamber having controllable valve

Номер: US20210010137A1

A control system for a plasma treatment apparatus includes a wafer treatment device. The wafer treatment device includes a vapor chamber and an upper electrode assembly. The upper electrode assembly includes a gas distribution plate having a plurality of holes. The upper electrode assembly includes an upper electrode having at least one gas nozzle and at least one controllable valve connected to the at least one gas nozzle for controlling a flow of gas from a gas supply to the holes via the at least one gas nozzle. The at least one gas nozzle is separated from the gate distribution plate by a gap. The control system includes a measurement device configured to measure a thickness profile of a wafer. The control system includes a controller configured to generate a control signal. The at least one controllable valve is configured to be adjusted based on the control signal.

Подробнее
27-01-2022 дата публикации

METHODS AND SYSTEMS TO MODULATE FILM STRESS

Номер: US20220028660A1
Принадлежит: Applied Materials, Inc.

Apparatus and methods to control the phase of power sources for plasma process regions in a batch process chamber. A master exciter controls the phase of the power sources during the process sequence based on feedback from the match circuits of the respective plasma sources. 1. A method comprising:powering a first power source connected to a first plasma gas port in a first process region of a processing chamber, the first power source coupled to a first match circuit;powering a second power source connected to a second plasma gas port in a second process region different from the first process region of the processing chamber; the second power source coupled to a second match circuit; andcontrolling a phase of one or more of the first power source or the second power source using a master exciter connected to the first power source, the second power source, the first match circuit and the second match circuit.2. The method of claim 1 , wherein the master exciter monitors feedback from the first match circuit and the second match circuit and adjusts the phase of one or more of the first power source or the second power source based on the feedback to maintain the phase of the first power source and the second power source to be within a range of about 170° to about 190° apart.3. The method of claim 1 , wherein the first plasma gas port and the second plasma gas port are positioned on opposite sides of a central axis of a processing chamber.4. The method of claim 1 , wherein the master exciter includes a feedback circuit to monitor the first match circuit and the second match circuit and control the first power source and the second power source to adjust the phase of the one or more of the first power source and the second power source.5. The method of claim 1 , further comprising:powering a third power source connected to a third plasma gas port in a third process region of the processing chamber, the third process region different from the first process region and ...

Подробнее
27-01-2022 дата публикации

PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220028666A1
Автор: Hirayama Masaki
Принадлежит:

A plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, a dielectric plate, an upper electrode, an introduction part, a driving shaft, and an actuator. The stage is provided in the processing container. The dielectric plate is provided above the stage via a space in the processing container. The upper electrode has flexibility, is provided above the dielectric plate, and provides a gap between the dielectric plate and the upper electrode. The introduction part is an introduction part of radio frequency waves that are VHF waves or UHF waves, is provided at a horizontal end portion of the space. The driving shaft is coupled to the upper electrode on a central axial line of the processing container. The actuator is configured to move the driving shaft in a vertical direction. 113-. (canceled)14. A plasma processing apparatus comprising:a processing container;a stage provided in the processing container;a dielectric plate provided above the stage via a space in the processing container;an upper electrode having flexibility and being provided above the dielectric plate, the upper electrode being configured to provide a gap between the dielectric plate and the upper electrode;an introduction part of radio frequency waves that are VHF waves or UHF waves, the introduction part being provided at a horizontal end portion of the space;a driving shaft that is a central axial line of the processing container extending in a vertical direction and is coupled to the upper electrode on the central axial line including a center of the stage; andan actuator configured to move the driving shaft in the vertical direction.15. The plasma processing apparatus of claim 14 , further comprising:an elastic member interposed between a peripheral edge portion of the dielectric plate and the processing container,wherein the peripheral edge portion of the dielectric plate is elastically supported between the processing container and the upper ...

Подробнее
27-01-2022 дата публикации

Method for etching an etch layer

Номер: US20220028696A1
Автор: Eric Hudson, Kalman Pelhos
Принадлежит: Lam Research Corp

A method of forming a feature in a stack comprising a dielectric material on a substrate is provided. An etch plasma is generated from an etch gas, exposing the stack to the etch plasma and partially etching the feature in the stack. The stack is primed. A protective film is deposited on sidewalls of the feature by repeating for a plurality of cycles the steps of exposing the stack to a first reactant, allowing the first reactant to adsorb onto the stack, and exposing the stack to a second reactant, wherein the first and second reactants react with one another to form the protective film over the stack. The etching, priming, and depositing a protective film are repeated until the feature is etched to a final depth.

Подробнее
27-01-2022 дата публикации

DIRECTIONAL DEPOSITION IN ETCH CHAMBER

Номер: US20220028697A1
Принадлежит:

Methods for forming a vertical growth mask for use in etching applications are described herein. Disclosed embodiments include introducing a tungsten-containing deposition precursor and one or more carrier gases while igniting a plasma to deposit tungsten selectively on field regions of positive features of a patterned etch mask without substantial deposition on sidewalls of the positive features or on an exposed surface of a target layer underlying the patterned etch mask. 1. A method comprising:providing a semiconductor substrate having a patterned etch mask over a target layer, the patterned etch mask comprising spaced apart positive features, each spaced apart positive feature having a field region and sidewalls; anddepositing a vertical growth mask selectively on the field region of the spaced apart positive features relative to the target layer.2. The method of claim 1 , wherein the vertical growth mask includes at least one feature; and wherein critical dimension of the at least one feature of the vertical growth mask is substantially the same as critical dimension of a corresponding spaced apart positive feature of the patterned etch mask.3. The method of claim 1 , further comprising etching the target layer using both the patterned etch mask and the vertical growth mask as a mask.4. The method of claim 3 , wherein the depositing of the vertical growth mask and the etching of the target layer are performed simultaneously.5. The method of claim 1 , wherein size of spaces between spaced apart positive features of the vertical growth mask are substantially the same as size of spaces between the spaced apart positive features of the patterned etch mask.6. A method comprising:providing a semiconductor substrate having a patterned etch mask over a target layer, the patterned etch mask having spaced apart positive features, each spaced apart positive feature having a first critical dimension and a field region and sidewalls, anddepositing a mask on the field region ...

Подробнее
12-01-2017 дата публикации

LOW-PRESSURE PLASMA SYSTEM WITH SEQUENTIAL CONTROL PROCESS

Номер: US20170011888A1
Принадлежит:

The low pressure plasma system includes a treatment chamber which is pumped out in a first process step by means of a pump. In a second process step a gas supply valve is opened in order to achieve a defined gas composition in the treatment chamber at low pressure. In a third process step a plasma generator is switched on in order to ignite a plasma in the treatment chamber. In a fourth process step a flushing valve can be opened in order to flush the treatment chamber. In a fifth process step the treatment chamber can be ventilated by way of a ventilation valve. The sequential switching element can be a rotary switch and include a zero switching position where the low pressure plasma system is off. The sequential switching element renders possible a simple embodiment of the low pressure plasma system and its intuitive operation. 1. A low pressure plasma system comprises:a treatment chamber that can be at least in part evacuated, a gas supply valve, a plasma generator and a controller;wherein the controller comprises a vacuum electric circuit, a gas supply electric circuit and a plasma electric circuit;wherein the vacuum electric circuit controls a pump which can be connected to the low pressure plasma system and/or a pump valve of the low pressure plasma system;wherein the gas supply electric circuit controls the gas supply valve;wherein the plasma electric circuit controls the plasma generator;wherein the controller comprises a sequential switching element having switching positions that follow one another for setting process steps that follow one another;wherein the sequential switching element is embodied so as in each switching position to interrupt and to close respectively the vacuum electric circuit, the gas supply electric circuit and the plasma electric circuit by a plurality of switches that are electrically isolated from one another;wherein the sequential switching element comprises a first switching position in which the vacuum electric circuit is ...

Подробнее
12-01-2017 дата публикации

PULSED REMOTE PLASMA METHOD AND SYSTEM

Номер: US20170011889A1
Автор: Winkler Jereld Lee
Принадлежит:

A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber. 1. A method for providing excited species to a reaction chamber of a reactor , the method comprising the steps of:providing a first gas to a remote plasma unit;controlling a pressure of the remote plasma unit;forming a plasma in a remote plasma unit; andpulsing first excited species to the reaction chamber, while maintaining steady-state conditions for the remote plasma unit.2. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising the steps of:providing a second reactant to the remote plasma unit to form a second excited species; andpulsing the second excited species to the reaction chamber.3. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of controlling a pressure of the remote plasma unit comprises using a closed-loop upstream pressure controller.4. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of forming a plasma in a remote plasma unit comprises forming a plasma using a unit selected from the group consisting of inductively couple plasma unit and microwave unit.5. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of pulsing the first excited species to the reaction chamber comprises controlling a valve between the remote plasma unit and the reaction chamber.6. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of depositing material onto a surface of a substrate.7. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of ...

Подробнее
12-01-2017 дата публикации

SYSTEMS AND METHODS FOR REMOVING PARTICLES FROM A SUBSTRATE PROCESSING CHAMBER USING RF PLASMA CYCLING AND PURGING

Номер: US20170011893A1
Автор: Kang Hu, LaVoie Adrien
Принадлежит:

Systems and methods for operating a substrate processing system include processing a substrate arranged on a substrate support in a processing chamber. At least one of precursor gas and/or reactive gas is supplied during the processing. The substrate is removed from the processing chamber. Carrier gas and purge gas are selectively supplied to the processing chamber. RF plasma is generated in the processing chamber during N cycles, where N is an integer greater than one. The RF plasma is on for a first period and off for a second period during each of the N cycles. The purge gas is supplied during at least part of each of the N cycles. 1. A substrate processing system comprising:a processing chamber including a substrate support to support a substrate during processing;a gas supply to selectively supply carrier gas, purge gas, and at least one gas selected from a group including precursor gas and reactive gas during the processing;a radio frequency (RF) plasma generator to selectively generate RF plasma in the processing chamber; and a) supply the carrier gas to the processing chamber after the substrate is removed from the processing chamber;', 'b) generate RF plasma in the processing chamber during N cycles, where N is an integer greater than one, wherein the N cycles include alternating first periods and second periods, and wherein the RF plasma is on for the first periods and off for the second periods during the N cycles;', 'c) not supply the purge gas during the first periods of the N cycles when the RF plasma is on; and', '(d) supply the purge gas during the second periods of the N cycles when the RF plasma is off., 'a controller that communicates with the gas supply and the RF plasma generator and that is configured to2. The substrate processing system of claim 1 , wherein the controller is configured to not supply the at least one gas selected from the group during (a) claim 1 , (b) claim 1 , (c) and (d).3. The substrate processing system of claim 1 , ...

Подробнее
12-01-2017 дата публикации

REACTION CHAMBER AND PLASMA PROCESSING APPARATUS

Номер: US20170011938A1
Принадлежит: Beijing NMC Co., Ltd.

Embodiments of the invention relate to a reaction chamber and a plasma processing apparatus, which include a chamber body, a dielectric window and a power supply unit, the dielectric window is provided above and hermetically connected with the chamber body, and provided with plural sets of coils arranged at intervals in a vertical direction and wound around the dielectric window at an outer side thereof, and the power supply unit supplies power to the plural sets of coils. In the reaction chamber and the plasma processing apparatus, plasma can be distributed evenly and have an increased density in the reaction chamber, thereby improving uniformity and efficiency of the process; meanwhile, effective power for exciting plasma can be improved, and temperature rise and temperature gradient of the dielectric window during the process can be lowered, so as to prevent the dielectric window from cracking, and prolong service life of the dielectric window. 1. A reaction chamber , comprising:a chamber body;a dielectric window; anda power supply unit,wherein the dielectric window being provided above and hermetically connected with the chamber body, wherein an outer side of the dielectric window comprises a plurality of sets of coils arranged at an interval in a vertical direction and wound around the dielectric window, andwherein power supply unit is configured to supply power to the plurality of sets of coils.2. The reaction chamber according to claim 1 , wherein the power supply unit comprises a power supply and a matcher claim 1 , and the plurality of sets of coils are connected to the power supply via the matcher.3. The reaction chamber according to claim 2 , wherein a number of the power supply is one claim 2 , the plurality of sets of coils are connected in parallel and electrically connected to the power supply claim 2 , and winding directions of the plurality of sets of coils are the same claim 2 , so that a current in each set of coil has a same direction when the ...

Подробнее
14-01-2016 дата публикации

Systems and methods for producing energetic neutrals

Номер: US20160013020A1
Принадлежит: Lam Research Corp

Systems and methods for producing energetic neutrals include a remote plasma generator configured to generate plasma in a plasma region. An ion extractor is configured to extract high energy ions from the plasma. A substrate support is arranged in a processing chamber and is configured to support a substrate. A neutral extractor and gas dispersion device is arranged between the plasma region and the substrate support. The neutral extractor and gas dispersion device is configured to extract energetic neutrals from the high energy ions, to supply the energetic neutrals to the substrate and to disperse precursor gas into the processing chamber.

Подробнее
14-01-2016 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20160013024A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
14-01-2016 дата публикации

Method of generating plasma in remote plasma source and method of fabricating semiconductor device using the same method

Номер: US20160013064A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided are a method of generating plasma and a method of fabricating a semiconductor device including the method, which may improve selectivity in an etching process and minimize damage to layers. The method of generating plasma includes generating first plasma by supplying at least one first process gas into a first remote plasma source (RPS) and applying first energy having a first power at a first duty ratio, and generating second plasma by supplying at least one second process gas into a second RPS and applying second energy having a second power at a second duty ratio.

Подробнее
11-01-2018 дата публикации

SUBSTRATE PROCESSING DEVICE

Номер: US20180012734A1
Принадлежит:

A substrate processing device includes a housing connected to ground, a cathode stage that supports a substrate, an anode unit, and a gas feeding unit that feeds gas toward the first plate. The cathode stage is applied with voltage for generating plasma. The anode unit includes a first plate including first through holes and a second plate including second through holes that are larger than the first through holes. The second plate is located between the first plate and the cathode stage. The first plate produces a flow of the gas through the first through holes. The gas that has passed through the first through holes flows through the second through holes into an area between the second plate and the cathode stage. A distance between the first plate and the second plate is 10 mm or greater and 50 mm or less. 1. A substrate processing device comprising:a housing connected to ground;a cathode stage located in the housing and configured to support a substrate, wherein voltage for generating plasma is applied to the cathode stage; a first plate that is located in the housing and includes first through holes, and', 'a second plate that is located between the first plate and the cathode stage and includes second through holes that are larger than the first through holes; and, 'an anode unit fixed to the housing, wherein the anode unit includes'}a gas feeding unit that feeds gas toward the first plate;wherein the first plate is configured to produce a flow of the gas through the first through holes so that the gas is dispersed in a planar direction of the first plate,the second plate is configured so that the gas that has passed through the first through holes flows through the second through holes into an area between the second plate and the cathode stage, anda distance between the first plate and the second plate is 10 mm or great and 50 mm or less.2. The substrate processing device according to claim 1 , wherein the second plate is located at a position opposing the ...

Подробнее
11-01-2018 дата публикации

GAS SUPPLY SYSTEM, SUBSTRATE PROCESSING SYSTEM AND GAS SUPPLY METHOD

Номер: US20180012735A1
Принадлежит: TOKYO ELECTRON LIMITED

A gas supply system includes: a first flow channel connecting a first gas source and a chamber; a second flow channel connecting a second gas source and the first flow channel; a control valve, provided in the second flow channel, configured to control a flow rate of the second gas; an orifice provided downstream of the control valve and at a terminus of the second flow channel; a switching valve, provided at a connection point between the first flow channel and the terminus of the second flow channel, configured to control a supply timing of the second gas; an exhaust mechanism, connected to a flow channel between the control valve and the orifice in the second flow channel, configured to exhaust the second gas; and a controller configured to bring the control valve, the switching valve and the exhaust mechanism into operation. 1. A gas supply system for supplying a gas to a chamber of a substrate processing apparatus , the system comprising:a first flow channel connecting a first gas source of a first gas and the chamber;a second flow channel connecting a second gas source of a second gas and the first flow channel;a control valve, provided in the second flow channel, configured to control a flow rate of the second gas to a predetermined amount;an orifice provided downstream of the control valve and at a terminus of the second flow channel;a switching valve, provided at a connection point between the first flow channel and the terminus of the second flow channel, configured to control a supply timing of the second gas which is supplied from an outlet of the orifice to the first flow channel;an exhaust mechanism, connected to a flow channel between the control valve and the orifice in the second flow channel, configured to exhaust the second gas; anda controller configured to bring the control valve, the switching valve and the exhaust mechanism into operation.2. The gas supply system according to claim 1 , wherein the switching valve includes a sealing member ...

Подробнее
14-01-2021 дата публикации

PERFORMANCE CALCULATION METHOD AND PROCESSING APPARATUS

Номер: US20210013012A1
Принадлежит: TOKYO ELECTRON LIMITED

A performance calculation method is provided. In the performance calculation method, shipment inspection data of multiple flow rate controllers are acquired. Further, first performance values indicating, as deviation values, performance of the flow rate controllers are calculated based on the acquired shipment inspection data and first coefficients for items indicating the performance of the flow rate controllers. Further, second performance values indicating, as deviation values, performance of a processing apparatus using the flow rate controllers are calculated based on the calculated first performance values and second coefficients for items indicating the performance of the processing apparatus. 1. A method for calculating performance comprising:acquiring shipment inspection data of multiple flow rate controllers;calculating first performance values indicating, as deviation values, performance of the flow rate controllers based on the acquired shipment inspection data and first coefficients for items indicating the performance of the flow rate controllers; andcalculating second performance values indicating, as deviation values, performance of a processing apparatus using the flow rate controllers based on the calculated first performance values and second coefficients for items indicating the performance of the processing apparatus.2. The method of claim 1 , further comprising:calculating third performance values indicating predicted performance of the processing apparatus based on the calculated second performance values and past reference values related to the performance of the processing apparatus.3. The method of claim 2 , wherein in said acquiring the shipment inspection data claim 2 , initial measurement values of the performance of the processing apparatus are acquired claim 2 , andthe performance calculation method further comprising:calculating first differences between the third performance values and the acquired initial measurement values; ...

Подробнее
14-01-2021 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20210013015A1
Принадлежит: TOKYO ELECTRON LIMITED

An apparatus includes a plasma processing container; a workpiece placement table disposed in the plasma processing container; a dielectric member having a facing surface that faces the workpiece placement table; an antenna provided on a surface of the dielectric member opposite to the facing surface and configured to introduce an induced electric field for plasma excitation into the plasma processing container via the dielectric member; an electromagnet group disposed along an outer circumference of the plasma processing container and configured to form a magnetic field in the plasma processing container; and a controller configured to control magnitudes of electric currents flowing through respective electromagnets of the electromagnet group differently from each other, to generate a magnetic gradient along a circumferential direction in the magnetic field that exists only in an outer circumferential space in the plasma processing container. 1. An apparatus comprising:a plasma processing container;a workpiece placement table disposed in the plasma processing container;a dielectric plate having a facing surface that faces the workpiece placement table;an antenna provided on a surface of the dielectric plate opposite to the facing surface and configured to introduce an induced electric field for plasma excitation into the plasma processing container via the dielectric plate;an electromagnet group including a plurality of electromagnets disposed along an outer circumference of the plasma processing container and configured to form a magnetic field in the plasma processing container; anda controller configured to control magnitudes of electric currents flowing through respective electromagnets of the electromagnet group differently from each other, to generate a magnetic gradient along a circumferential direction in the magnetic field that exists only in an outer circumferential space in the plasma processing container.2. The apparatus of claim 1 , wherein the ...

Подробнее
14-01-2021 дата публикации

Apparatus and method for processing substrate

Номер: US20210013049A1
Принадлежит: Semes Co Ltd

An apparatus and method for processing a substrate using plasma, which has high plasma stability and process reproducibility, is provided. The method includes providing an apparatus for processing a substrate comprising a plasma generating region and a process region separate from the plasma generating region, placing the substrate including a silicon layer and an oxide layer in the process region, forming a hydrogen atmosphere in the process region by providing a hydrogen-based gas to the process region without passing through the plasma generating region, generating plasma by providing a fluorine-based gas to the plasma generating region, and providing the generated plasma to the process region to selectively remove the silicon layer compared to the oxide layer.

Подробнее
09-01-2020 дата публикации

Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens

Номер: US20200013591A1
Принадлежит:

A device and method of spreading plasma which allows for plasma etching over a larger range of process chamber pressures. A plasma source, such as a linear inductive plasma source, may be choked to alter back pressure within the plasma source. The plasma may then be spread around a deflecting disc which spreads the plasma under a dome which then allows for very even plasma etch rates across the surface of a substrate. The apparatus may include a linear inductive plasma source above a plasma spreading portion which spreads plasma across a horizontally configured wafer or other substrate. The substrate support may include heating elements adapted to enhance the etching. 1. A multi-chamber plasma etching system comprising: a plasma source, said plasma source comprising a first end and a second end, said first end comprising a gas input portion, said plasma source coupled to a process chamber at a second end;', 'a process chamber, said process chamber comprising a chamber door;', 'a constricting plate adapted to constrict the flow of plasma from said plasma source, said constricting plate at said second end of said plasma source;', 'a spreading disc, said spreading disc adapted to spread the flow of plasma after the plasma has flowed through said constricting plate, said spreading disc disposed between said constricting plate and the substrate support;', 'a substrate support, said support adapted to support a substrate in the spread plasma flow, said substrate support residing within said process chamber;, 'a plurality of plasma etching chambers, each of said plasma etching chambers comprisinga robot module, said robot module comprising a robotic arm, said robot module positioned adjacent to said plurality of plasma etching chambers such that said robotic arm can reach the chamber door of said process chambers of said plasma etching chambers.2. The multi-chamber plasma etching system of further comprising one or more wafer cassettes claim 1 , said one or more wafer ...

Подробнее
09-01-2020 дата публикации

RESONANT STRUCTURE FOR ELECTRON CYCLOTRON RESONANT (ECR) PLASMA IONIZATION

Номер: US20200013594A1
Автор: Lane Barton
Принадлежит:

Described herein is a technology related to a method for generating a high density plasma ionization on a plasma processing system. Particularly, the high density plasma ionization may include an electron cyclotron resonant (ECR) plasma that is utilized for semiconductor fabrication such as an etching of a substrate. The ECR plasma may be generated by a combination of electromagnetic fields from a resonant structure, radiated microwave energy from a radio frequency (RF) microwave source, and presence of a low-pressure plasma region (e.g., about 1 mTorr or less) on the plasma processing system. 1. A method of semiconductor fabrication comprising:receiving a semiconductor substrate on a substrate chuck disposed within a plasma processing chamber;flowing gas into the plasma processing chamber;radiating microwave energy from a transmission element coupled to the plasma chamber towards a source component disposed between the substrate chuck and the transmission element, the source component comprising an array of resonant elements and an array of magnets proximate to the array of resonant elements;forming a resonant circuit between the transmission element and the resonant elements based, at least in part, on an impedance of the resonant elements and frequency of the microwave energy provided by the transmission element;generating an electromagnetic field from the array of resonant elements and a magnetic field from the array of magnets based, at least in part, on forming the resonant circuit;forming plasma proximate to the source component, the plasma is formed based, at least in part, on the electromagnetic field and the magnetic field interacting with the gas in the plasma processing chamber; andtreating the substrate using the plasma.2. The method of claim 1 , wherein the plasma is formed based claim 1 , at least in part claim 1 , on the microwave energy from the transmission element.3. The method of claim 1 , wherein array of magnets comprise groups of two or more ...

Подробнее
18-01-2018 дата публикации

PROCESSING APPARATUS AND PROCESSING METHOD, AND GAS CLUSTER GENERATING APPARATUS AND GAS CLUSTER GENERATING METHOD

Номер: US20180015510A1
Принадлежит:

Disclosed is a processing apparatus for performing a processing on a workpiece using gas clusters. The processing apparatus includes: a processing container in which the workpiece is disposed, and an inside of which is maintained in a vacuum state; an exhaust mechanism that exhausts an atmosphere in the processing container; a gas supply unit that supplies a gas containing a cluster generating gas; a cluster nozzle provided in the processing container and configured to generate gas clusters by adiabatically expanding the cluster generating gas and inject a gas component containing the generated gas clusters into the processing container; and a plasma generating mechanism that generates plasma in the cluster nozzle portion. The gas clusters are ionized by the plasma generated in the cluster nozzle portion, and the ionized gas clusters are injected from the cluster nozzle and irradiated onto the workpiece, so that a predetermined processing is performed. 1. A processing apparatus for performing a processing on a workpiece using gas clusters , the apparatus comprising:a processing container configured to dispose therein the workpiece and maintained in a vacuum state;an exhaust mechanism configured to exhaust an atmosphere in the processing container;a gas supply unit configured to supply a gas containing a cluster generating gas for generating the gas clusters;a cluster nozzle provided in the processing container and configured to generate gas clusters by adiabatically expanding the cluster generating gas supplied from the gas supply unit therein and inject a gas component containing the generated gas clusters into the processing container; anda plasma generating mechanism configured to generate plasma in the cluster nozzle portion,wherein the gas clusters are ionized by the plasma generated in the cluster nozzle portion, so that the ionized gas clusters are injected from the cluster nozzle and irradiated onto the workpiece so as to perform a predetermined processing.2 ...

Подробнее
21-01-2016 дата публикации

Method for etching high-k dielectric using pulsed bias power

Номер: US20160020108A1
Автор: Akiteru Ko, Alok Ranjan
Принадлежит: Tokyo Electron Ltd

A method of patterning a gate stack on a substrate is described. The method includes preparing a gate stack on a substrate, wherein the gate stack includes a high-k layer and a gate layer formed on the high-k layer. The method further includes transferring a pattern formed in the gate layer to the high-k layer using a pulsed bias plasma etching process, and selecting a process condition for the pulsed bias plasma etching process to achieve a silicon recess formed in the substrate having a depth less than 2 nanometer (nm).

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037118A1
Принадлежит:

The plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, an upper electrode, a dielectric plate, and a waveguide. The stage is provided in the processing container. The dielectric plate is provided above the stage with a space in the processing container interposed therebetween. The upper electrode is provided above the dielectric plate. The waveguide has an end and guides high frequency waves in a VHF band or a UHF band. The end is arranged to face the space to radiate high frequency waves to the space. The dielectric plate includes a conductive film. The conductive film is provided on an upper surface of the dielectric plate. The upper surface faces the upper electrode. The conductive film is electrically connected to the upper electrode. 119-. (canceled)20. A plasma processing apparatus , comprising:a processing container;a stage;an upper electrode;a dielectric plate; anda waveguide,wherein the stage is provided in the processing container,the dielectric plate is provided above the stage with a space in the processing container interposed therebetween,the upper electrode is provided above the dielectric plate,the waveguide has an end and guides high frequency waves in a VHF band or a UHF band,the end is arranged to face the space to radiate the high frequency waves to the space,the dielectric plate includes a conductive film,the conductive film is provided on an upper surface of the dielectric plate,the upper surface faces the upper electrode, andthe conductive film is electrically connected to the upper electrode.21. The apparatus of claim 20 , wherein the dielectric plate has a thickness distribution in a radial direction of the dielectric plate.22. The apparatus of claim 21 , wherein a thickness of the dielectric plate increases from a peripheral edge of the dielectric plate to a center of the dielectric plate.23. The apparatus of claim 20 , wherein a thickness of the dielectric plate increases from a ...

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037127A1
Принадлежит:

To provide a plasma processing apparatus or a plasma processing method capable of improving a reliability or a yield. The plasma processing apparatus includes: a sample stage that is disposed inside a processing chamber disposed inside a vacuum container and on which a wafer is placed; a ring-shaped electrode made of a conductor, which is disposed on an outer peripheral side of the upper surface of the sample stage so as to surround the sample stage and to which radio frequency power is supplied; a dielectric cover that is placed above the ring-shaped electrode and covers the ring-shaped electrode; a rod-shaped member that is suspended and disposed in a through hole disposed on an outer peripheral side portion of the base material having a disc or cylindrical shape and forming the sample stage, and has a connector portion on an upper end portion of the rod-shaped member connected to the ring-shaped electrode and positioned at the ring-shaped electrode; a beam-shaped member that is disposed below the sample stage below the through hole with a gap therebetween and extends in a horizontal direction, whose one end is connected to a lower end portion of the rod-shaped member and the other end is positioned with respect to the sample stage, and whose the other end urges the rod-shaped member upward with respect to the ring-shaped electrode; and a radio frequency power source that is connected to the rod-shaped member via a power supply path and supplies the radio frequency power to the ring-shaped electrode. 1. A plasma processing apparatus , comprising:a processing chamber that is disposed inside a vacuum container;a sample stage that is disposed inside the processing chamber and on an upper surface of which a wafer to be processed is placed;a ring-shaped electrode made of a conductor, which is disposed on an outer peripheral side of the upper surface of the sample stage so as to surround the sample stage and to which radio frequency power is supplied;a dielectric cover ...

Подробнее
16-01-2020 дата публикации

DIELECTRIC GAPFILL USING ATOMIC LAYER DEPOSITION (ALD), INHIBITOR PLASMA AND ETCHING

Номер: US20200017967A1
Принадлежит:

A method for performing gapfill of features of a substrate including a) arranging a substrate on a substrate support in a processing chamber; b) performing atomic layer deposition (ALD) to deposit film in a feature of the substrate; c) supplying an inhibitor plasma gas to the processing chamber and striking plasma in the processing chamber to inhibit deposition in upper portions of the feature as compared to lower portions of the feature; d) repeating b) N times, where N is an integer greater than one, and repeating c) M of the N times where M is an integer greater than zero and less than or equal to N; e) supplying an etch gas to the processing chamber to etch the film in the feature of the substrate; and f) repeating b) to d) one or more times to gapfill the feature of the substrate. 1. A method for performing gapfill of features of a substrate , comprising:a) arranging a substrate on a substrate support in a processing chamber;b) performing atomic layer deposition (ALD) to deposit film in a feature of the substrate;c) supplying an inhibitor plasma gas to the processing chamber and striking plasma in the processing chamber to inhibit deposition in upper portions of the feature as compared to lower portions of the feature;d) repeating b) N times, where N is an integer greater than one;e) supplying an etch gas to the processing chamber to etch the film in the feature of the substrate; andf) repeating b) to e) one or more times.2. The method of claim 1 , wherein d) further includes repeating c) during M of the N times claim 1 , where M is an integer that is greater than zero and less than or equal to N.3. The method of claim 1 , wherein b) includes:supplying precursor gas to the processing chamber for a first predetermined period;evacuating the precursor gas from the processing chamber;supplying reactant gas to the processing chamber for a second predetermined period; andevacuating the reactant gas from the processing chamber.4. The method of claim 1 , further ...

Подробнее
16-01-2020 дата публикации

DEPOSITION METHOD

Номер: US20200017968A1
Принадлежит:

A deposition method includes: forming an adsorption inhibiting region on an adsorption site formed on a substrate, by causing the adsorption site to adsorb adsorption inhibiting radicals by a predetermined amount; causing an area on the adsorption site, on which the adsorption inhibiting region is not formed, to adsorb a raw material gas; and depositing a film of a reaction product on the adsorption site by causing the raw material gas adsorbed on the adsorption site to react with a reactant gas activated by a plasma. 1. A deposition method comprising:forming an adsorption inhibiting region on an adsorption site formed on a substrate, by causing the adsorption site to adsorb adsorption inhibiting radicals by a predetermined amount;causing an area on the adsorption site, on which the adsorption inhibiting region is not formed, to adsorb a raw material gas; anddepositing a film of a reaction product on the adsorption site by causing the raw material gas adsorbed on the adsorption site to react with a reactant gas activated by a plasma.2. The deposition method according to claim 1 , wherein the adsorption inhibiting radicals are generated by a remote plasma generator.3. The deposition method according to claim 1 , wherein the reactant gas is activated by an inductively coupled plasma.4. The deposition method according to claim 1 , wherein an amount of the raw material gas to be adsorbed is controlled by controlling an amount of the adsorption inhibiting radicals to be adsorbed claim 1 , in order to control a film density of the reaction product.5. The deposition method according to claim 4 , wherein the amount of the raw material gas to be adsorbed is decreased by increasing the amount of the adsorption inhibiting radicals to be adsorbed claim 4 , in order to increase the film density of the reaction product.6. The deposition method according to claim 4 , wherein the amount of the adsorption inhibiting radicals to be adsorbed is set such that the amount of the raw ...

Подробнее
22-01-2015 дата публикации

MIXED MODE PULSING ETCHING IN PLASMA PROCESSING SYSTEMS

Номер: US20150020971A1
Автор: Kanarik Keren Jacobs
Принадлежит:

A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times. 1. A plasma processing system for processing a substrate using mixed-mode pulsing , the plasma processing system comprising:a substrate;a plasma processing chamber for processing the substrate;a work piece holder within an interior region of the plasma processing chamber;at least one plasma generating source;at least one reactive gas source for providing at least a first reactive gas into the interior region of the plasma processing chamber;at least one non-reactive gas source for providing at least a first non-reactive gas into the interior region of the plasma processing chamber; anda tangible computer-readable medium storing computer-readable instructions for:(a) disposing the substrate on the work piece holder within the interior region; exciting the first reactive gas with a first RF signal having a first RF frequency, the first RF signal representing an RF signal having chirped frequencies, and', 'forming a first plasma with at least the first reactive gas to process the substrate with the first plasma;, '(b) performing a mixed-mode pulsing (MMP) preparation phase, including ...

Подробнее
22-01-2015 дата публикации

THIN FILM FORMING APPARATUS

Номер: US20150021172A1
Принадлежит: NITTO DENKO CORPORATION

A thin film forming apparatus includes: a gas supply device for supplying a gas for film deposition configured to include a plurality of gas supply sections arranged side by side in a width direction of a film substrate in a vacuum chamber, and a supply amount adjustment section for adjusting the supply amount of the gas for each of the gas supply sections; and a gas partial pressure measurement device for measuring partial pressure of each kind of gas in the vacuum chamber configured to include measurement sections disposed so as to correspond to a position where each of the gas supply sections is disposed in the width direction of the film substrate, and measure the partial pressure of the gas at a position where each of the measurement sections is disposed. 1. A thin film forming apparatus configured to continuously deposit a thin film on a surface of a long film substrate that is delivered from an unwinding roll formed by winding the long film substrate and is running in a lengthwise direction using a sputtering method , the thin film forming apparatus , comprising:a vacuum chamber;a film depositing roll stored in the vacuum chamber and wound with a part of the running film substrate on an outer circumferential surface;a target material disposed so as to face the film depositing roll on an outside in a radial direction of the film depositing roll at a winding position of the long film substrate;a gas supply device for supplying a gas for the film deposition into the vacuum chamber; anda gas partial pressure measurement device for measuring a partial pressure of each kind of gas in the vacuum chamber,wherein the gas supply device includes a plurality of gas supply sections arranged side by side in a width direction of the long film substrate in the vacuum chamber and a supply amount adjustment section capable of adjusting a supply amount of the gas for each of the gas supply sections, andthe gas partial pressure measurement device includes a plurality of ...

Подробнее
17-01-2019 дата публикации

THERMAL SPRAYING METHOD OF COMPONENT FOR PLASMA PROCESSING APPARATUS AND COMPONENT FOR PLASMA PROCESSING APPARATUS

Номер: US20190019654A1
Автор: KOBAYASHI Yoshiyuki
Принадлежит:

There is provision of a thermal spraying method of a component for a plasma processing apparatus performed by a plasma spraying apparatus including a nozzle and a plasma generating unit having a common axis with the nozzle. The method includes a step of injecting, with a plasma generating gas, feedstock powder having a particle diameter of 15 μm or less from a tip of the nozzle to the plasma generating unit, a step of generating a plasma from the plasma generating gas in the plasma generating unit, by using electric power not more than 50 kW, and a step of thermal spraying the feedstock powder liquefied by the plasma at the component through a mask, such that a surface of a resin layer of the component is covered with the feedstock powder. 1. A method of thermal spraying a component for a plasma processing apparatus performed by a plasma spraying apparatus including a nozzle and a plasma generating unit having a common axis with the nozzle , the method comprising:injecting, with a plasma generating gas, feedstock powder having a particle diameter of 15 μm or less from a tip of the nozzle to the plasma generating unit;generating a plasma from the plasma generating gas in the plasma generating unit, by using electric power not more than 50 kW; andthermal spraying the feedstock powder liquefied by the plasma at the component through a mask, such that a surface of a resin layer of the component is covered with the feedstock powder.2. The thermal spraying method according to claim 1 , wherein claim 1 , in the thermal spraying claim 1 , the liquefied feedstock powder is deposited at a width of 100 μm to 1000 μm.3. The thermal spraying method according to claim 1 , wherein claim 1 , in the thermal spraying claim 1 , the liquefied feedstock powder is deposited at a thickness of 5 μm to 20 μm.4. The thermal spraying method according to claim 1 , wherein the mask is a physical mask disposed to form an opening corresponding to a part or an entirety of the surface of the resin ...

Подробнее
17-01-2019 дата публикации

PLASMA TREATMENT DEVICE AND STRUCTURE OF REACTION VESSEL FOR PLASMA TREATMENT

Номер: US20190019656A1
Принадлежит:

The present invention improves the in-plane uniformity of films formed via a plasma treatment. It is provided a plasma treatment device comprising: an electrode plate arranged in a reaction vessel; a counter electrode arranged parallel so as to opposite to the electrode plate in the reaction vessel; a transmission plate to supply frequency power to the electrode plate from outside of the reaction vessel, the transmission plate being connected from non-opposite side not opposing to the counter electrode of the electrode plate; and an insulator with a container shape, the insulator being arranged in the reaction vessel and storing the electrode plate therein; wherein the non-opposite side of the electrode plate closely contacts to an inner bottom surface of the insulator with the container shape, wherein a side surface of the electrode plate closely contacts to an inner side surface of the insulator with the container shape, and wherein a hole edge portion of the insulator with the container shape is formed so as to protrude toward a counter electrode side. 1. A plasma treatment device comprising:an electrode plate arranged in a reaction vessel;a counter electrode arranged parallel so as to opposite to the electrode plate in the reaction vessel;a transmission plate to supply frequency power to the electrode plate from outside of the reaction vessel, the transmission plate being connected from non-opposite side not opposing to the counter electrode of the electrode plate; andan insulator with a container shape, the insulator being arranged in the reaction vessel and storing the electrode plate therein;wherein the non-opposite side of the electrode plate closely contacts to an inner bottom surface of the insulator with the container shape,wherein a side surface of the electrode plate closely contacts to an inner side surface of the insulator with the container shape, andwherein a hole edge portion of the insulator with the container shape is formed so as to protrude ...

Подробнее
21-01-2021 дата публикации

Methods for tuning plasma potential using variable mode plasma chamber

Номер: US20210020404A1

Plasma processing apparatus and associated methods are provided. In one example, a method can include admitting a process gas into a plasma chamber. The method can include exciting with RF energy an inductive coupling element to initiate ignition of a plasma induced in the process gas. The method can include adjusting an RF voltage of an electrostatic shield located between the inductive coupling element and the plasma chamber. The electrostatic shield can have a stray capacitance to a ground reference. The method can include conducting an ion-assisted etching process on the workpiece based at least in part on the RF voltage of the electrostatic shield.

Подробнее
21-01-2021 дата публикации

PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20210020409A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing method includes: placing a substrate on a substrate support provided in a chamber of a capacitively coupled plasma processing apparatus where the substrate includes a silicon-containing film and a mask provided on the silicon-containing film and having an opening having a longitudinal direction; and supplying an inert gas into the chamber; and selectively performing one of supplying a first radio-frequency power to an upper electrode of the plasma processing apparatus to generate plasma from the inert gas and supplying a second radio-frequency power to a lower electrode of the plasma processing apparatus included in the substrate support, and applying a negative bias voltage to the upper electrode to cause positive ions from the plasma to collide with the upper electrode and release a silicon-containing material from the upper electrode, thereby depositing the silicon-containing material on the substrate. 1. A method for a plasma processing , comprising:placing a substrate on a substrate support provided in a chamber of a capacitively coupled plasma processing apparatus including a lower electrode where the substrate support is provided, an upper electrode, a first radio-frequency power supply, a second radio-frequency power supply, and a bias power supply, the substrate including a silicon-containing film and a mask provided on the silicon-containing film and having an opening having a longitudinal direction;supplying an inert gas into the chamber; andselectively performing one of supplying a first radio-frequency power from the first radio-frequency power supply to the upper electrode of the plasma processing apparatus to generate plasma from the inert gas and supplying a second radio-frequency power having a frequency lower than a frequency of the first radio-frequency power from the second radio-frequency power supply to the lower electrode of the substrate support, and applying a negative bias voltage to the upper electrode from the bias ...

Подробнее
21-01-2021 дата публикации

METHOD AND APPARATUS FOR CONTROLLING A PROCESSING REACTOR

Номер: US20210020410A1
Принадлежит:

Methods and systems for processing substrates are provided. The system can include: a processing chamber configured to process a substrate based on a recipe; a plurality of sub-systems in operable communication with the processing chamber for controlling corresponding parameters associated with processing the substrate; and a controller in operable communication with the processing chamber and each of the plurality of sub-systems and configured to control each of the plurality of sub-systems and the processing chamber using information included in the recipe and feedback provided by at least one of the plurality of sub-systems. The controller is configured to compare information included in the recipe and feedback provided by at least one of the plurality of sub-systems with stored empirical information relating to the recipe and each of the plurality of sub-systems, and adjust at least one of the corresponding parameters associated with processing the substrate based on a determined comparison. 1. A system for processing a substrate , comprising:a processing chamber configured to process a substrate based on a recipe;a plurality of sub-systems in operable communication with the processing chamber for controlling a corresponding parameter associated with processing the substrate; anda controller in operable communication with the processing chamber and each of the plurality of sub-systems and configured to control each of the plurality of sub-systems and the processing chamber using information included in the recipe and feedback provided by at least one of the plurality of sub-systems,wherein the controller is configured to compare the information included in the recipe and the feedback provided by the at least one of the plurality of sub-systems with stored empirical information relating to the recipe and each of the plurality of sub-systems, and adjust at least one of the corresponding parameters associated with processing the substrate based on a determined ...

Подробнее
21-01-2021 дата публикации

PROCESSING OF WORKPIECES USING HYDROGEN RADICALS AND OZONE GAS

Номер: US20210020413A1
Принадлежит:

Processes for removing photoresist layer(s) from a workpiece, such as a semiconductor are provided. In one example implementation, a method for processing a workpiece can include supporting a workpiece on a workpiece support. The workpiece can have a photoresist layer and a low-k dielectric material layer. The method can include performing a hydrogen radical etch process on the workpiece to remove at least a portion of the photoresist layer. The method can also include exposing the workpiece to an ozone process gas to remove at least a portion of the photoresist layer. 1. A method for processing a workpiece , the method comprising:placing the workpiece in a processing chamber, the workpiece comprising a photoresist layer and a low-k dielectric material layer;generating one or more species from a process gas using a plasma induced in a plasma chamber, the process gas comprising a hydrogen gas;filtering the one or more species to create a filtered mixture, the filtered mixture comprising one or more hydrogen radicals; andexposing the photoresist layer to the hydrogen radicals in the processing chamber such that the hydrogen radicals at least partially etch the photoresist layer.2. The method of claim 1 , wherein the process gas further comprises an oxygen containing gas.3. The method of claim 1 , wherein a concentration of hydrogen gas in the process gas is from about 30% to about 100% by volume.4. The method of claim 1 , further comprising admitting an ozone process gas into the processing chamber; and exposing the workpiece to the ozone process gas.5. The method of claim 4 , wherein the processing chamber and plasma chamber are separated by a separation grid claim 4 , further wherein the ozone process gas is admitted through one or more gas injection ports in the separation grid.6. The method of claim 4 , wherein the processing chamber and plasma chamber are separated by a separation grid claim 4 , further wherein admitting an ozone process gas into the processing ...

Подробнее
21-01-2021 дата публикации

ETCHING METHOD, SEMICONDUCTOR MANUFACTURING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20210020450A1
Принадлежит: Kioxia Corporation

An etching method according to one embodiment, includes alternately switching a first step and a second step. The first step introduces a first gas containing a fluorine atom without supplying radiofrequency voltage to form a surface layer on a surface of a target cooled at a temperature equal to or lower than a liquefaction temperature of the first gas. The second step introduces a second gas gaseous at the first temperature and different from the first gas, and supplies the radiofrequency voltage, to generate plasma from the second gas to etch the target by sputtering using the plasma. 1. An etching method comprisingalternately switching a first step and a second step,the first step introducing a first gas containing a fluorine atom without supplying a radiofrequency voltage to form a surface layer on a surface of a target cooled at a temperature equal to or lower than the liquefaction temperature of the first gas; andthe second step introducing a second gas gaseous at the first temperature and different from the first gas, and supplying the radiofrequency voltage to generate plasma from the second gas and thus etch the target by sputtering using the plasma.2. The etching method according to claim 1 , further comprisingirradiating light toward the target to vaporize a residue of the surface layer after the alternate switch between the first and second steps.3. The etching method according to claim 1 ,wherein the first gas contains fluoride gas represented by{'sub': x', 'y', 'z, 'a composition formula CHF,'}where C denotes carbon, H denotes hydrogen, F denotes fluorine, x denotes an integer equal to or larger than 1, y denotes an integer equal to or larger than 0, and z denotes an integer equal to or larger than 2.4. The etching method according to claim 1 , further comprisingalternately switching a third step and a fourth step,the third step introducing the first gas without supplying a radiofrequency voltage, andthe fourth step introducing a third gas containing ...

Подробнее
22-01-2015 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20150024609A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
10-02-2022 дата публикации

CARBON HARD MASK, FILM FORMING APPARATUS, AND FILM FORMING METHOD

Номер: US20220042173A1
Принадлежит:

According to one embodiment, there is provided a carbon hard mask laminated on an etching target film, in which the concentration ratio of a methylene group CHand a methyl group CHcontained in the carbon hard mask satisfies the expression CH/(CH+CH)≥0.5. 1. A carbon hard mask laminated on an etching target film , wherein a concentration ratio of a methylene group (CH) and a methyl group (CH) contained in the carbon hard mask satisfies a following expression (1):{'br': None, 'sub': 2', '2', '3, 'CH/(CH+CH)≥0.5 \u2003\u2003(1).'}2. A film forming apparatus comprising:a chamber;a stage provided in the chamber an having an object placed thereon;a gas supply configured to supply a hydrogen gas and a carbon-containing gas into the chamber;a power supply configured to supply power having a predetermined frequency into the chamber to generate plasma of the hydrogen gas and the carbon-containing gas to form a carbon hard mask on the object by active species contained in the plasma; anda pressure adjustment part configured to adjust an internal pressure of the chamber,{'sub': e', 'e, 'sup': '−3', 'claim-text': {'br': None, 'i': 'N', 'sub': e', 'e, 'sup': 2', '8, '0<(×T×τ)≤4×10\u2003\u2003(2).'}, 'wherein the gas supply, the power supply, and the pressure adjustment part are controlled so that a value of a product of electron density (N[cm]) in the plasma, an excitation temperature (T[eV]) of hydrogen atoms in the plasma, and a residence time (τ[ms]) of a gas in the plasma satisfies a following expression (2)3. The film forming apparatus of claim 2 , further comprising:a volume adjustment part configured to adjust a volume of a space in which the plasma is generated,wherein the residence time (τ) of the hydrogen gas and the carbon-containing gas in the plasma is controlled by changing at least one of flow rates of the hydrogen gas and the carbon-containing gas, an internal pressure of the chamber, and the volume of the space in which the plasma is generated.4. A method of ...

Подробнее
28-01-2016 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20160027618A1
Принадлежит:

A plasma processing apparatus includes a sample stage in a processing chamber in a vacuum container having a placement surface on which a wafer to be processed by using the plasma is placed, a discharge pump connected to a discharge port disposed below the sample stage, and an adjuster that adjusts the amount of discharged gas, in which a first process step of supplying a first processing gas from above the placement surface into the processing chamber and supplying a second processing gas from below the placement surface into the processing chamber to process the wafer by using the first processing gas and a second process step where the first processing gas and the second processing gas are reversed are repeatedly switched over therebetween, and the adjuster adjusts a pressure in the processing chamber to a predetermined value during the processing. 1. A plasma processing apparatus comprising:a vacuum container;a processing chamber that is disposed in the vacuum container and that has an inner space in which a plasma is formed;a sample stage that is disposed in the processing chamber and that has a placement surface on which a wafer to be processed by using the plasma is placed;a discharge port disposed below the sample stage in the processing chamber;a discharge pump disposed such that the discharge pump is connected to the discharge port; andan adjuster that adjusts an amount of gas discharged through the discharge port,wherein a first process step of supplying a first processing gas from above the placement surface into the processing chamber and supplying a second processing gas from below the placement surface into the processing chamber to process the wafer by using the first processing gas and a second process step of supplying the second processing gas from above the placement surface into the processing chamber and supplying the first processing gas from below the placement surface into the processing chamber to process the wafer by using the second ...

Подробнее
28-01-2016 дата публикации

SUBSTRATE MANUFACTURING METHOD AND SUBSTRATE MANUFACTURING APPARATUS

Номер: US20160027652A1
Принадлежит:

Provided are a substrate manufacturing method and a substrate manufacturing apparatus used therefor. The substrate manufacturing method includes providing a substrate having a mask film into a chamber. A plasma reaction is induced in the chamber. A first gas and a second gas are alternately provided into the chamber to etch the substrate. Each of the first and second gases is provided into the chamber at a stabilized feed pressure including a pressure fluctuation profile comprising a square wave shape. 1. A substrate manufacturing method , comprising:providing a substrate;inducing a plasma reaction in a chamber; and 'wherein each of the first and second gases is alternately provided into the chamber at a stabilized feed pressure, and wherein the stabilized feed pressures of the first and second gases have a substantially square wave transition profile.', 'alternately providing a first gas and a second gas into the chamber to etch the substrate,'}2. The substrate manufacturing method of claim 1 , wherein providing the first gas and the second gas further comprises:providing the first gas at a first pressure to deposit a polymer on the substrate; and 'wherein the first gas and the second gas are provided according to a cross-feed feed pressure pulse having a square-wave shape corresponding to a difference between the first and second pressures.', 'providing the second gas at a second pressure which is different from the first pressure to etch the polymer and the substrate,'}3. The substrate manufacturing method of claim 2 , wherein the cross-feed feed pressure pulse comprises an initial feed pressure value and a final feed pressure value of each of the first and second gases claim 2 ,wherein when the cross-feed feed pressure pulse has the square-wave shape, and wherein the initial feed pressure value is equal to the final feed pressure value.4. The substrate manufacturing method of claim 1 , wherein the first gas is provided into the chamber at a flow rate of from ...

Подробнее
10-02-2022 дата публикации

GASIFICATION DEVICE AND PLASMA SHUTTER WITH A MICROWAVE PLAZMA SLOWING SYSTEM OF THE GASIFICATION DEVICE

Номер: US20220044910A1
Принадлежит: INING S.R.O.

Microwave plasma slowing system for a plasma shutter comprises a waveguide-band transmission for interconnection of the system with a generator, and for letting waves from the generator into the plasma shutter, a bridge band interconnected with the waveguide-band transmission, two parallel band waistlines, interconnected by its one end with the bridge band, where the band waistlines are flat plates, where one of its sides is provided with tenons arranged side by side along the axis of the band waistlines with orientation in a such way, that the tenons arranged on the one side of the first band waistline placed in turns between the tenons arranged on the one side of the second band waistline, where the band waistlines are provided at the other end by mutually separated lockable electromagnetic oscillators. 1. Microwave plasma slowing system for a plasma shutter , comprising:a waveguide-band transmission configured to interconnect the system with a generator, and configured to let waves from the generator into the plasma shutter,a bridge band interconnected with the waveguide-band transmission,two parallel band waistlines, interconnected by its one end with the bridge band,where the band waistlines are flat plates, where one of its sides is provided withtenons arranged side by side along the axis of the band waistlines with orientation in a such way, that the tenons arranged on the one side of the first band waistline are placed in turns between the tenons arranged on the one side of the second band waistline,whereinthe band waistlines are provided at the other end by mutually separated lockable electromagnetic oscillators, where the position of the lockable electromagnetic oscillators defines an exact point of reflection of a waves to perform its maximal amplitude by count up of a direct and a reflected waves, 'and intended for leading the direct waves Y from the bridge band to the lockable electromagnetic oscillators,', 'the band waistlines are broadened in the ...

Подробнее
10-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220044914A1
Принадлежит:

A plasma processing apparatus includes a processing vessel; a placing table, serving as a lower electrode, disposed within the processing vessel; an upper electrode serving as a facing electrode of the placing table; a plasma processor configured to form a gas within the processing vessel into plasma by supplying a high frequency power and to process a processing target object on the placing table with the plasma; a cover member configured to cover the upper electrode from thereabove; a cooler provided within the cover member and configured to cool the upper electrode with a coolant having a temperature lower than a dew point temperature of exterior air outside the processing vessel; and a gas supply configured to supply a low-dew point gas having a dew point temperature lower than the dew point temperature of the exterior air into a space surrounded by the cover member and the upper electrode. 1. A plasma processing apparatus comprising:a plasma processing chamber;a substrate support disposed in the plasma processing chamber and including a lower electrode;a cover disposed on the plasma processing chamber and having an internal space;a showerhead assembly disposed above the substrate support and including a conductive member and an upper electrode, the conductive member having a coolant passage and an upper surface exposed to the internal space of the cover;a plasma generator configured to generate a plasma in a space between the substrate support and the showerhead assembly;a gas supply configured to supply a gas into the internal space of the cover, the gas comprising an inert gas or dry air, the gas supply including a valve disposed between a gas source and the internal space of the cover;a pressure gauge configured to measure a pressure in the internal space of the cover; anda controller configured to control the valve based on measurements of the pressure gauge.2. The plasma processing apparatus of claim 1 ,wherein the controller is configured to control the ...

Подробнее
10-02-2022 дата публикации

GAS ANALYZER APPARATUS

Номер: US20220044919A1
Принадлежит: ATONARP INC.

There is provided a gas analyzer apparatus including: a sample chamber which is equipped with a dielectric wall structure and into which only sample gas to be measured is introduced; a plasma generation mechanism that generates plasma inside the sample chamber, which has been depressurized, using an electric field and/or a magnetic field applied through the dielectric wall structure; and an analyzer unit that analyzes the sample gas via the generated plasma. By doing so, it is possible to provide a gas analyzer apparatus capable of accurately analyzing sample gases, even those including corrosive gas, over a long period of time. 1. A gas analyzer apparatus comprising:a sample chamber which is equipped with a dielectric wall structure and into which only a sample gas to be measured is introduced;a plasma generation mechanism that generates plasma in the sample chamber that has been depressurized, using an electric field and/or a magnetic field applied through the dielectric wall structure; andan analyzer unit that analyzes the sample gas via the generated plasma.2. The gas analyzer apparatus according to claim 1 ,further comprising a gas input unit configured to introduce only the sample gas from a process into the sample chamber.3. The gas analyzer apparatus according to claim 1 ,wherein the dielectric wall structure includes at least one of quartz, aluminum oxide, and silicon nitride.4. The gas analyzer apparatus according to claim 1 ,wherein the plasma generation mechanism includes a mechanism for generating plasma using at least one of inductively coupled plasma, dielectric barrier discharge, and electron cyclotron resonance.5. The gas analyzer apparatus according to claim 1 ,wherein the sample chamber has a total length of 1 to 100 mm and a diameter of 1 to 100 mm.6. The gas analyzer apparatus according to claim 1 ,wherein the analyzer unit includes:a filter unit that filters ionized gas present in the plasma; anda detector unit that detects filtered ions.7. The ...

Подробнее
24-01-2019 дата публикации

PROCESSING APPARATUS FOR TARGET OBJECT AND INSPECTION METHOD FOR PROCESSING APPARATUS

Номер: US20190027345A1
Принадлежит:

A processing apparatus includes a chamber main body; a stage having therein a first passage for coolant and a space communicating with the first passage; a first pipeline having a first end portion inserted into the space to be connected to the first passage and a second end portion connected to a coolant supply mechanism; and a first sealing member provided at a gap between a wall surface confining the space and the first end portion. A second passage having one end and the other end is formed within the stage. The one end of the second passage is connected to the gap. The first sealing member is contacted with the wall surface at a side of the first passage with respect to the second passage. The processing apparatus comprises a second pipeline connected to the other end thereof; and a detecting device connected to the second pipeline. 1. A processing apparatus for a target object , comprising:a chamber main body in which a chamber is provided;a stage, configured to support the target object placed thereon and provided within the chamber, having therein a first passage for a coolant and a space communicating with the first passage;a first pipeline having a first end portion inserted into the space to be connected to the first passage and a second end portion connected to a coolant supply mechanism; anda first sealing member provided at a gap between a wall surface confining the space and the first end portion and configured to seal the gap,wherein a second passage having one end and the other end is formed within the stage, and the one end of the second passage is connected to the gap, andthe first sealing member is in contact with the wall surface at a side of the first passage with respect to the second passage,wherein the processing apparatus further comprises:a second pipeline connected to the other end of the second passage; anda detecting device connected to the second pipeline and configured to detect an amount of the coolant flowing in the second pipeline. ...

Подробнее
24-01-2019 дата публикации

Lift pin assembly

Номер: US20190027394A1
Принадлежит: Applied Materials Inc

Apparatuses for substrate transfer are provided. A lift pin assembly can include a lift pin, a purge cylinder, and a lift pin guide. The lift pin guide is disposed adjacent the purge cylinder. The lift pin guide and the purge cylinder have a passage formed therethough in which the lift pin is disposed. The purge cylinder includes one or more nozzles that direct the flow of gas radially inward into a portion of the passage disposed in the purge cylinder. The one or more nozzles are disposed radially outward from the lift pin. The purge cylinder reduces particle deposition on the substrate by preventing contact between the lift pin and the support assembly as the lift pin is in motion.

Подробнее
23-01-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20200027688A1
Принадлежит:

A substrate processing apparatus includes a chamber, a pedestal provided in the chamber and having a substrate holding region to hold a substrate thereon, and a gas supply part to supply a gas into the chamber. A plurality of electron gun arrays two-dimensionally arranged so as to cover the substrate holding region is provided and configured to emit electrons toward the gas to cause interactions between the emitted electrons and the gas. A plurality of electron energy control parts is correspondingly provided at each of the electron gun arrays and configured to control energy of the electrons emitted from each of the electron gun arrays independently of each other. 1. A substrate processing apparatus , comprising:a chamber;a pedestal provided in the chamber and having a substrate holding region to hold a substrate thereon;a gas supply part to supply a gas into the chamber;a plurality of electron gun arrays two-dimensionally arranged so as to cover the substrate holding region and configured to emit electrons toward the gas to cause interactions between the emitted electrons and the gas; anda plurality of electron energy control parts correspondingly provided at each of the electron gun arrays and configured to control energy of the electrons emitted from each of the electron gun arrays independently of each other.2. The substrate processing apparatus according to claim 1 , wherein the chamber includes a gas excitation section that contains the gas supply part claim 1 , the gas electron gun arrays and the electron energy control parts claim 1 , and a substrate processing section that contains the pedestal.3. The substrate processing apparatus according to claim 2 , wherein the gas supply part is provided in a side wall of the chamber.4. The substrate processing apparatus according to claim 2 , wherein the gas supply part is provided between the electron gun arrays adjacent to each other.5. The substrate processing apparatus according to claim 1 , wherein the electron ...

Подробнее
23-01-2020 дата публикации

GAS DISTRIBUTOR AND FLOW VERIFIER

Номер: US20200027702A1
Принадлежит:

Apparatus and methods for distributing and mixing gas are provided. In one example, a gas distributor comprises a body, a gas inlet for admitting gas to the body, an orbital array of gas outlets for distributing the gas to an external component, and a central gas distribution point disposed within the body at a center of the orbital array of gas outlets and in fluid communication with the orbital array of gas outlets. 1. A gas distributor comprising:a body;a gas inlet for admitting gas to the body;an orbital array of gas outlets for distributing the gas to an external component; anda central gas distribution point disposed within the body at a center of the orbital array of gas outlets and in fluid communication with the orbital array of gas outlets.2. The gas distributor of claim 1 , wherein the orbital array of gas outlets are radially spaced equidistantly around the central gas distribution point.3. The gas distributor of claim 1 , wherein the body includes internal gas conduits connecting the central gas distribution point to the orbital array of gas outlets.4. The gas distributor of claim 3 , wherein respective gas flow paths of the internal gas conduits from the central gas distribution point to the orbital array of gas outlets are equal in length.5. The gas distributor of claim 1 , wherein each gas outlet includes an orifice sized to permit or regulate a predetermined flow of gas going through that gas outlet.6. The gas distributor of claim 5 , wherein the body includes mounting locations for respective control valves or nozzles to permit or regulate predetermined flows of gas exiting the gas outlets.7. The gas distributor of claim 6 , wherein each of the control valves or nozzles includes an orifice.8. The gas distributor of claim 6 , further comprising the control valves or nozzles.9. The gas distributor of claim 8 , wherein the control valves or nozzles are replaceable.10. The gas distributor of claim 8 , wherein the control valves or nozzles are ...

Подробнее
23-01-2020 дата публикации

DEVICE AND SYSTEM FOR ENERGY GENERATION BY CONTROLLED PLASMA IGNITION AND INCINERATION

Номер: US20200027703A1
Автор: Dhyllon Amen
Принадлежит:

A device and system for energy generation using plasma incineration and further, for producing electricity by hydrogen gas generation and combustion. 1. A system for the generation of electricity by the incineration of solid waste having a significant moisture content , the system comprising: (a) a fuel input for delivering solid fuel into a first plasma chamber , (b) a first plasma chamber designed to contain a plasma and combust fuel therein , (c) a first heating means within the combustion chamber , (d) a thermoelectric generator contained within the combustion chamber for generating electricity from heat , (d) a second plasma chamber containing a second heating means , (e) a vent attached to the second plasma chamber in communication with a separating membrane adapted to separate hydrogen from as mixture of hydrogen and carbon dioxide , (f) a collection chamber for collecting hydrogen gas , and (g) a generator attached to the collection chamber adapted to generate electricity from the combustion of hydrogen.2. The system of further comprising claim 1 , within the second plasma chamber claim 1 , a second thermoelectric generator.3. The system of further comprising gas input vents in communication with both atmospheric air at one end and with the first plasma chamber at the other end claim 1 , and having disposed about the exterior surface of the vent a plurality of magnets adapted to induce a dipole in oxygen molecules.4. The system of wherein the first plasma chamber is composed of a substantially closed container having an outer surface and an inner surface claim 1 , defined by walls claim 1 , and enclosing an interior space claim 1 ,the interior space enclosing at least one electron-donation element,further comprising one or more gas inlets traversing the walls and adapted to introduce gas from outside the plasma chamber into the interior space of the plasma chamber,further comprising one or more magnetic field generators positioned in proximity to one or more ...

Подробнее
23-01-2020 дата публикации

REMOTE PLASMA BASED DEPOSITION OF BORON NITRIDE, BORON CARBIDE, AND BORON CARBONITRIDE FILMS

Номер: US20200027725A1
Принадлежит:

A boron nitride, boron carbide, or boron carbonitride film can be deposited using a remote plasma chemical vapor deposition (CVD) technique. A boron-containing precursor is provided to a reaction chamber, where the boron-containing precursors has at least one boron atom bonded to a hydrogen atom. Radical species, such as hydrogen radical species, are provided from a remote plasma source and into the reaction chamber at a substantially low energy state or ground state. A hydrocarbon precursor may be flowed along with the boron-containing precursor, and a nitrogen-containing plasma species may be introduced along with the radical species from the remote plasma source and into the reaction chamber. The boron-containing precursor may interact with the radical species along with one or both of the hydrocarbon precursor and the nitrogen-containing precursor to deposit the boron nitride, boron carbide, or boron carbonitride film. 1. A method of depositing a boron-carbon-containing film on a substrate , the method comprising:providing a substrate in a reaction chamber;flowing a boron-containing precursor into the reaction chamber towards the substrate, wherein the boron-containing precursor has one or more B—H bonds;co-flowing a carbon-containing precursor with the boron-containing precursor into the reaction chamber;{'sub': '2', 'generating, from a hydrogen source gas of molecular hydrogen (H), radicals of hydrogen in a remote plasma source that are generated upstream of the boron-containing precursor and the carbon-containing precursor; and'}introducing the radicals of hydrogen into the reaction chamber and towards the substrate prior to deposition, wherein the radicals of hydrogen are in a ground state to react with the boron-containing precursor and the carbon-containing precursor to form a boron-carbon-containing film on the substrate.2. The method of claim 1 , wherein all or substantially all of the radicals of hydrogen in an environment adjacent to the substrate are ...

Подробнее
28-01-2021 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20210027980A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma etching apparatus includes a chamber, a susceptor in the chamber, an electrostatic chuck provided on the susceptor, and a high frequency power supply for supplying a high frequency power for generating a plasma in the chamber. The plasma etching apparatus also includes a gas inlet port provided in the chamber and configured to supply an etching gas, and a ring disposed in an outer periphery of a substrate supported by the electrostatic chuck that is positioned over the susceptor. An inner diameter of the ring is larger than an outer diameter of the substrate. The ring is separately positioned at a separation distance over the susceptor. The substrate is etched by using the plasma generated by the high frequency power that is supplied by the high frequency power supply. The separation distance between the ring and the susceptor is adjustable when the substrate is etched. 1. A plasma etching apparatus comprising:a chamber;a susceptor in the chamber;an electrostatic chuck provided on the susceptor;a high frequency power supply for supplying a high frequency power for generating a plasma in the chamber;a gas inlet port provided in the chamber and configured to supply an etching gas; and the ring is separately positioned at a separation distance over the susceptor,', 'the substrate is etched by using the plasma generated by the high frequency power that is supplied by the high frequency power supply, and', 'the separation distance between the ring and the susceptor is adjustable when the substrate is etched., 'a ring disposed in an outer periphery of a substrate supported by the electrostatic chuck that is positioned over the susceptor, an inner diameter of the ring being larger than an outer diameter of the substrate, wherein2. The plasma etching apparatus of claim 1 , wherein the separation distance is adjusted to maintain verticality of an etching process in a vicinity of an edge of the substrate.3. The plasma etching apparatus of claim 1 , wherein the ...

Подробнее
28-01-2021 дата публикации

MODIFYING FERROELECTRIC PROPERTIES OF HAFNIUM OXIDE WITH HAFNIUM NITRIDE LAYERS

Номер: US20210028273A1
Принадлежит:

A method of forming ferroelectric hafnium oxide (HfO) in a substrate processing system includes depositing an HfOlayer on a substrate, depositing a hafnium nitride (HfN) layer on the HfOlayer; and annealing the HfOlayer and the HfN layer to form ferroelectric hafnium HfO. 1. A method of forming ferroelectric hafnium oxide (HfO) in a substrate processing system , the method comprising:{'sub': '2', 'depositing an HfOlayer on a substrate;'}{'sub': '2', 'depositing a hafnium nitride (HfN) layer on the HfOlayer; and'}{'sub': 2', '2, 'annealing the HfOlayer and the HfN layer to form ferroelectric hafnium HfO.'}2. The method of claim 1 , further comprising depositing a second HfOlayer on the HfN layer.3. The method of claim 1 , further comprising performing oxidation on the HfN layer.4. The method of claim 3 , wherein performing the oxidation on the HfN layer includes performing the oxidation using at least one of molecular oxygen and ozone.5. The method of claim 1 , further comprising nitridating the HfOlayer prior to depositing the HfN layer.6. The method of claim 5 , wherein nitridating the HfOlayer includes generating plasma using a nitrogen gas species.7. The method of claim 1 , further comprising depositing a top electrode on the HfN layer prior to the annealing.8. The method of claim 7 , wherein the top electrode comprises a material selected from a group consisting of titanium claim 7 , tantalum claim 7 , and tungsten.9. The method of claim 1 , further comprising depositing a second HfOlayer on the HfN layer and depositing a top electrode on the HfN layer.10. The method of claim 1 , further comprising depositing a bottom electrode on the substrate and depositing the HfOlayer on the bottom electrode.11. The method of claim 1 , wherein the HfOlayer is doped using a dopant species selected from a group consisting of silicon (Si) claim 1 , aluminum (Al) claim 1 , yttrium (Y) claim 1 , zirconium (Zr) claim 1 , and/or lanthanum (La).12. The method of claim 1 , wherein ...

Подробнее
04-02-2016 дата публикации

Plasma processing devices having multi-port valve assemblies

Номер: US20160033977A1
Принадлежит: Lam Research Corp

A plasma processing device may include a plasma processing chamber, a plasma electrode assembly, a wafer stage, a plasma producing gas inlet, a plurality of vacuum ports, at least one vacuum pump, and a multi-port valve assembly. The multi-port valve assembly may comprise a movable seal plate positioned in the plasma processing chamber. The movable seal plate may comprise a transverse port sealing surface that is shaped and sized to completely overlap the plurality of vacuum ports in a closed state, to partially overlap the plurality of vacuum ports in a partially open state, and to avoid substantial overlap of the plurality of vacuum ports in an open state. The multi-port valve assembly may comprise a transverse actuator coupled to the movable seal plate and a sealing actuator coupled to the movable seal plate.

Подробнее
30-01-2020 дата публикации

GAS DISTRIBUTION PLATE FOR THERMAL DEPOSITION

Номер: US20200030766A1
Принадлежит:

Apparatus and methods for providing high velocity gas flow showerheads for deposition chambers are described. The showerhead has a faceplate in contact with a backing plate that has a concave portion to provide a plenum between the backing plate and the faceplate. A plurality of thermal elements is within the concave portion of the backing plate and extends to contact the faceplate. 1. A gas distribution plate comprising:a faceplate having a front surface and a back surface defining a thickness and a plurality of apertures extending through the thickness; anda backing plate having a front surface and a back surface defining a thickness, the front surface in contact with the back surface of the faceplate, the front surface of the backing plate including a concave portion to form a plenum bounded by the concave portion and the back surface of the faceplate, and a plurality of thermal elements extending from a concave surface the concave portion and contacting the back surface of the faceplate.2. The gas distribution plate of claim 1 , wherein each of the thermal elements independently extends a length from the concave surface of the concave portion to a front surface of the thermal element.3. The gas distribution plate of claim 2 , wherein the front surfaces of the thermal elements are substantially coplanar.4. The gas distribution plate of claim 2 , wherein each of the thermal elements has a tapered profile with a flared base at the concave surface of the concave portion and a narrower end at the front surface.5. The gas distribution plate of claim 2 , wherein the backing plate has an outer peripheral edge and the concave portion has an outer peripheral edge.6. The gas distribution plate of claim 5 , wherein an outer front face ring defined as the front surface of the backing plate between the outer peripheral edge of the concave portion and the outer peripheral edge of the backing plate is substantially flat.7. The gas distribution plate of claim 6 , wherein the ...

Подробнее
02-02-2017 дата публикации

CHEMICAL VAPOR DEPOSITION TOOL AND OPERATING METHOD THEREOF

Номер: US20170032940A1
Принадлежит:

A chemical vapor deposition (CVD) tool includes a processing chamber, a remote plasma system, a first gas source, a second gas source, a first gas passage and a second gas passage. The remote plasma system is connected to the processing chamber. The first gas passage connects the first gas source, the remote plasma system and the processing chamber. The second gas passage connects the second gas source and the processing chamber, and bypasses the remote plasma system. 1. A chemical vapor deposition (CVD) tool comprising:a processing chamber;a remote plasma system connected to the processing chamber;a first gas source;a second gas source;a first gas passage connecting the first gas source, the remote plasma system, and the processing chamber; anda second gas passage connecting the second gas source and the processing chamber, and bypassing the remote plasma system.2. The CVD tool of claim 1 , wherein the first gas source is a cleaning gas source configured to provide cleaning gas to the remote plasma system through the first gas passage; andwherein the remote plasma system is configured to covert the cleaning gas into a cleaning plasma and then supply the cleaning plasma to the processing chamber through the first gas passage.3. The CVD tool of claim 1 , wherein the second gas source is a processing gas source configured to provide processing gas to the processing chamber through the second gas passage.4. The CVD tool of claim 3 , further comprising:a radio frequency generating device disposed in the processing chamber to energize the processing gas.5. The CVD tool of claim 1 , further comprising:a first valve configured to control a gas flow through the first gas passage.6. The CVD tool of claim 1 , further comprising:a second valve configured to control a gas flow through the second gas passage.7. The CVD tool of claim 1 , further comprising:a gas panel connecting the first gas source and the second gas source, wherein the first gas passage and the second gas ...

Подробнее
31-01-2019 дата публикации

METHOD AND DEVICE FOR PLASMA TREATMENT OF CONTAINERS

Номер: US20190032200A1
Принадлежит:

A method and a device for plasma treatment of containers by means of a plurality of treatment segments each having at least one plasma station on a plasma module comprising a plasma wheel, wherein, during an operational malfunction and/or a cut-out in at least one of the plasma stations, the process gas, before being supplied to the plasma station in question, is carried off into the respective plasma chamber and/or the container held therein, by means of at least one bypass line. 1513231740. Method for the plasma treatment of containers () by means of a plasma module () comprising a plurality of plasma stations () on a plasma wheel () , with each plasma station () comprising at least one plasma chamber () having at least one treatment place () , with these steps:{'b': 5', '5', '1', '17', '40', '3, 'inserting and positioning of at least one container () having a container interior (.) in the plasma chamber () on the treatment place () of a corresponding plasma station (),'}{'b': 17', '5', '1, 'at least partial evacuation of the respective plasma chamber () and of the at least one container interior (.),'}{'b': 5', '1', '17', '3, 'at least partial application of a process gas to the container interior (.) inside the at least partially evacuated plasma chamber (), with the at least partial application being simultaneously effected at at least one plasma station () by a central process gas supply,'}{'b': 5', '1, 'providing at least the container interior (.) with an internal coating by means of plasma treatment, and'}{'b': 17', '5', '1', '5, 'after the plasma treatment, carrying out a venting operation in which both the plasma chamber () and the at least one container interior (.) of the container () are at least partially vented, wherein'}{'b': 40', '3', '40', '5', '84, 'in the event of an operational malfunction and/or cut-out in at least one treatment place () of one of the plasma stations (), before the process gas is supplied to the treatment place () and/or to ...

Подробнее
04-02-2016 дата публикации

METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS

Номер: US20160035542A1
Принадлежит:

A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus includes forming a layer of an organic polymeric film on plasma or process gas exposed surfaces thereof. The method includes: (a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber; (b) purging the vacuum chamber after a flow of the first reactant has ceased; (c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and (d) purging the vacuum chamber to purge excess second reactant and reaction byproducts from the vacuum chamber. 1. A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus in which semiconductor substrates are processed by forming an organic polymeric film on plasma or process gas exposed surfaces of the vacuum chamber , the method comprising:(a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber and allowing the first reactant to adsorb onto plasma or process gas exposed surfaces of the vacuum chamber;(b) purging the vacuum chamber with a purge gas after a flow of the first reactant has ceased to purge excess first reactant from the vacuum chamber;(c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound wherein the first and second reactants react to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and(d) purging the vacuum chamber with the purge gas after a flow of the second reactant has ceased to purge excess second reactant and reaction byproducts from the vacuum chamber.2. The method of claim 1 , wherein the first reactant of diacyl chloride is selected from the group ...

Подробнее