Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1318. Отображено 191.
30-09-2014 дата публикации

Method of depositing diamond vapor and equipment for carrying out said method.

Номер: CH0000707800A2
Принадлежит:

La présente invention concerne un procédé de dépôt de diamant nanocristallin, caractérisé en ce quil est effectué à une température comprise entre 100 et 500 °C, à une pression comprise entre 0.1 et 1 mbar et en ce quil est sur un substrat présentant une surface tridimensionnelle. Un autre aspect de linvention concerne un équipement pour réaliser ce procédé.

Подробнее
31-07-2017 дата публикации

Method of depositing diamond vapor and equipment for carrying out said method.

Номер: CH0000707800B1
Принадлежит: NEOCOAT SA, NeoCoat SA

La présente invention concerne un procédé de dépôt de diamant nanocristallin, caractérisé en ce qu’il est effectué à une température comprise entre 100 et 500 °C, à une pression comprise entre 0.1 et 1 mbar et en ce qu’il est sur un substrat présentant une surface non plane. Un autre aspect de l’invention concerne un équipement pour réaliser ce procédé.

Подробнее
02-03-2016 дата публикации

Differentially pumped reactive gas injector

Номер: CN0105374713A
Принадлежит:

Подробнее
13-11-2018 дата публикации

For symmetric conduction and with RF transmission of the vertical support rod chamber

Номер: CN0106057624B
Автор:
Принадлежит:

Подробнее
09-04-2014 дата публикации

APPARATUS FOR PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION

Номер: KR1020140043261A
Автор:
Принадлежит:

Подробнее
12-11-2019 дата публикации

SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS AND METHOD

Номер: KR1020190126726A
Автор:
Принадлежит:

Подробнее
13-08-2014 дата публикации

PRESSURE CONTROL VALVE ASSEMBLY OF PLASMA PROCESSING CHAMBER AND RAPID ALTERNATING PROCESS

Номер: KR1020140099896A
Автор:
Принадлежит:

Подробнее
27-03-2023 дата публикации

멸균장치 및 플라즈마 처리 장치

Номер: KR20230041952A
Автор: 임유봉, 김준영
Принадлежит:

... 본 발명의 일 실시예는 내부에 피처리물이 수납되며, 내부가 외부 환경에 대해 밀폐된 밀폐부, 상기 밀폐부의 내부에 멸균제를 공급하는 멸균제공급부, 상기 밀폐부의 내부 압력을 조정하는 압력 조정부 및 사이에 위치한 피처리물 주변에 플라즈마를 발생하는 전극부를 포함하는 멸균장치와 피처리물이 수납되는 공간부, 상기 공간부의 내부에 기화된 처리제를 공급하는 처리제공급부 및 일정 분압으로 형성된 기화된 처리제를 방전하는 전극부를 포함하는 플라즈마 처리 장치를 제공한다.

Подробнее
01-06-2012 дата публикации

Plasma processing method

Номер: TW0201222658A
Принадлежит:

In the present invention, provided is a plasma processing method which reduces or eliminates the emission of contaminating matters caused by a quality-altered layer on the surface of yttria of a processing chamber's inner wall and parts inside the processing chamber. It is the plasma processing method including an etching step of setting a sample inside the processing chamber, and etching the sample, a deposition-product removing step of removing a deposition product by using a plasma, the deposition product being deposited inside the processing chamber by the etching step, the plasma being generated using a gas which contains fluorine or chlorine, and a step of exposing, to a rare-gas-based plasma, the inside of the processing chamber after the deposition-product removing step.

Подробнее
09-02-2012 дата публикации

SYSTEMS, METHODS AND APPARATUS FOR SEPARATE PLASMA SOURCE CONTROL

Номер: WO2012018366A1
Принадлежит:

A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.

Подробнее
16-02-2017 дата публикации

Plasma Diffuser

Номер: US20170047201A1
Принадлежит:

The present invention concerns a method for at least partially preventing discolouration of a substrate by a plasma coating process, by diffusing a plasma prior to and/or during depositing of said plasma on said substrate to form a coating. The present invention also concerns a plasma coating apparatus comprising a plasma diffuser for homogenizing a plasma density nearby a substrate to be coated. 1. Method for at least partially preventing discolouration of a substrate by a plasma coating process , by diffusing a plasma with a plasma diffuser prior to and/or during depositing of said plasma on said substrate to form a coating.2. Method according to claim 1 , wherein said substrate is pre-treated by a pre-treatment plasma claim 1 , wherein said pre-treatment plasma is diffused with a plasma diffuser prior to and/or during reaction of said pre-treatment plasma with said substrate claim 1 , thereby preferably cleaning claim 1 , activating and/or etching said substrate.3. Method according to claim 1 , wherein said plasma comprises monomers and preferably wherein said coating is a polymer coating.45. Method according to claim 1 , wherein said plasma is provided at low pressure claim 1 , preferably at a pressure lower than atmospheric pressure claim 1 , more preferably lower than 1000 mTorr and/or preferably higher than mTorr.5. Method according to claim 1 , wherein the coating performance in terms of oil repellency claim 1 , spray test and wash-ability is not negatively influenced.6. Method according to claim 1 , whereby said substrate is coated in a plasma coating apparatus comprising a plasma chamber which comprises a grounded (M) electrode claim 1 , a radiofrequency (RF) electrode and said plasma diffuser claim 1 , preferably comprising one or more plasma diffuser materials positioned between said electrodes claim 1 , for homogenizing a plasma density nearby said substrate to reduce discolouration of said substrate after processing claim 1 , the plasma diffuser ...

Подробнее
23-03-2017 дата публикации

MULTIPLE CONTROL MODES

Номер: US20170084432A1
Принадлежит:

Systems and methods for using variables based on multiple states associated with a plasma system are described. A method includes determining whether the state associated with the plasma system is a first, second, or third state and determining a first variable upon determining that the state is the first state. The method further includes determining a second variable upon determining that the state is the second state and determining a third variable upon determining that the state is the third state. The method includes determining whether each of the first variable, the second variable, and the third variable is within a corresponding range from a corresponding threshold. The method includes providing an instruction to change power supplied to a plasma chamber upon determining that the first, second, or third variable is outside the corresponding range from the corresponding threshold. 1. A method for controlling different variables during different states , comprising:determining whether a state associated with a plasma system is a first state, a second state, or a third state;determining a first variable in response to determining that the state associated with the plasma system is the first state;determining a second variable in response to determining that the state associated with the plasma system is the second state;determining a third variable in response to determining that the state associated with the plasma system is the third state;determining whether the first variable is within a range from a threshold value of the first variable;determining whether the second variable is within a range from a threshold value of the second variable;determining whether the third variable is within a range from a threshold value of the third variable;providing an instruction to maintain power supplied by a radiofrequency (RF) generator of the plasma system upon determining that the first variable is within the range from the threshold value of the first variable; ...

Подробнее
02-02-2017 дата публикации

TIME VARYING SEGMENTED PRESSURE CONTROL

Номер: US20170032943A1
Принадлежит:

An apparatus for processing a substrate is provided. A processing chamber is provided. A substrate support for supporting the substrate is within the processing chamber. A gas inlet provides gas into the processing chamber. An exhaust pressure system exhausts gas around a periphery of the substrate, wherein the periphery around the substrate is divided into at least three parts, wherein the exhaust pressure system controls exhaust pressure to control a velocity of the gas over the substrate, wherein the exhaust pressure system provides at independent exhaust pressure control for each part of the periphery for the at least three parts.

Подробнее
27-07-2023 дата публикации

DIFFUSION BONDING OF PURE METAL BODIES

Номер: US20230234160A1
Принадлежит:

A method includes applying a bond layer of a first chemical composition to a first surface of a first metal body. The metal body is of a second chemical composition. The method further includes disposing a second metal body of the second chemical composition against the first metal body such that the bond layer is between the first surface of the first metal body and a second surface of the second metal body. The metal bodies are resistant to diffusion bonding. The bond layer facilitates diffusion bonding of the metal bodies. The method further includes heating the first metal body and the second metal body. The method further includes applying pressure to press the second metal body against the first metal body. The method further includes generating a diffusion bond between the metal bodies, responsive to the heating and the applying of pressure for a duration.

Подробнее
19-01-2023 дата публикации

Pressure Control System for a Multi-Head Processing Chamber of a Plasma Processing Apparatus

Номер: US20230012873A1
Принадлежит:

A pressure control system is provided. The pressure control system includes a member at least partially positioned within a pumping port fluidly coupled between a multi-head processing chamber and a pump configured to evacuate gases from the multi-head processing chamber. The member is rotatable relative to the pumping port. The pressure control system includes a plurality of pressure sensors. Each of the pressure sensors is configured to obtain data indicative of a pressure of a flow of gas entering the multi-head processing chamber at a corresponding head of the multi-head processing chamber. The pressure control system includes an actuator configured to rotate the member to control a pressure of a flow of gas at a first processing head of the multi-head processing chamber.

Подробнее
25-06-2024 дата публикации

Flim forming method of carbon-containing film by microwave plasma

Номер: US0012018375B2

There is provided a film forming method of forming a carbon-containing film by a microwave plasma from a microwave source, the film forming method including: a dummy step of performing a dummy process by generating plasma of a first carbon-containing gas within a processing container; a placement step of placing a substrate on a stage within the processing container; and a film forming step of forming the carbon-containing film on the substrate using plasma of a second carbon-containing gas.

Подробнее
02-02-2022 дата публикации

GAS FLOW ACCELERATOR TO PREVENT BUILDUP OF PROCESSING BYPRODUCT IN A MAIN PUMPING LINE OF A SEMICONDUCTOR PROCESSING TOOL

Номер: EP3945143A1
Принадлежит:

A gas flow accelerator may include a body portion, and a tapered body portion including a first end integrally formed with the body portion. The gas flow accelerator may include an inlet port connected to the body portion and to receive a process gas to be removed from a semiconductor processing tool by a main pumping line. The semiconductor processing tool may include a chuck and a chuck vacuum line to apply a vacuum to the chuck to retain a semiconductor device. The tapered body portion may be configured to generate a rotational flow of the process gas to prevent buildup of processing byproduct on interior walls of the main pumping line. The gas flow accelerator may include an outlet port integrally formed with a second end of the tapered body portion. An end portion of the chuck vacuum line may be provided through the outlet port.

Подробнее
05-10-2016 дата публикации

Virtual cathode deposition (VCD) for thin film manufacturing

Номер: GB0002528141B
Принадлежит: PLASMA APP LTD, Plasma App Ltd.

Подробнее
28-08-2019 дата публикации

Semiconductor etching methods

Номер: GB0201910234D0
Автор:
Принадлежит:

Подробнее
08-06-2018 дата публикации

For atomic level resolution with plasma processing control method

Номер: CN0108140573A
Автор:
Принадлежит:

Подробнее
25-11-2015 дата публикации

Method and system to the clamping

Номер: CN0103748260B
Автор:
Принадлежит:

Подробнее
18-09-2020 дата публикации

Plasma vessel processing machine and method of controlling the processing machine

Номер: FR0003093665A1
Принадлежит:

Подробнее
12-10-2012 дата публикации

PLASMA PROCESSING METHOD

Номер: KR0101190804B1
Автор:
Принадлежит:

Подробнее
26-11-2014 дата публикации

Номер: KR1020140135725A
Автор:
Принадлежит:

Подробнее
01-08-2021 дата публикации

Plasma processing apparatus and method of operating plasma processing apparatus

Номер: TW202129687A
Принадлежит:

To provide a plasma processing apparatus with improved yield or a method of operating the plasma processing apparatus, the present invention comprises: a sample stand disposed in a processing chamber inside a vacuum vessel; a plasma forming space in which plasma for processing a wafer thereabove is formed, and a lower space that is provided below and communicates with the plasma forming space; an exhaust port disposed in the bottom portion of the lower space; a heater for heating the lower portion of the vacuum vessel surrounding the lower space; a first vacuum gauge for detecting the internal pressure of the processing chamber when processing the wafer; a second vacuum gauge for calibration that communicates with an opening disposed in the inner wall of the processing chamber surrounding the outer periphery of the lower space thereunder; and a correction unit for correcting the output of the first vacuum gauge by using the outputs of the first and second vacuum gauges at a pressure value ...

Подробнее
30-03-2017 дата публикации

SPUTTERING APPARATUS AND PROCESSING APPARATUS

Номер: SG11201700850QA
Принадлежит:

Подробнее
25-03-2021 дата публикации

ETCHING METHOD, DAMAGE LAYER REMOVAL METHOD, AND STORAGE MEDIUM

Номер: US20210090896A1
Принадлежит:

An etching method includes preparing a substrate having an etching target portion formed on a silicon-containing portion, plasma-etching the etching target portion of the substrate into a predetermined pattern by plasma of a processing gas containing a CF-based gas, and removing a damage layer formed due to implantation of C and F into the silicon-containing portion exposed at a bottom of the predetermined pattern by the plasma etching. The removing of the damage layer includes forming an oxide of the damage layer by supplying oxygen-containing radicals and fluorine-containing radicals and oxidizing the damage layer with the oxygen-containing radicals while etching the damage layer with the fluorine-containing radicals, and removing the oxide by a radical treatment or a chemical treatment with a gas.

Подробнее
06-07-2017 дата публикации

Processing System For Small Substrates

Номер: US20170194129A1
Принадлежит:

A substrate processing system that is optimized for the production of smaller volumes of semiconductor components is disclosed. To minimize cost, the substrate processing system is designed to accommodate smaller substrates, such as substrates having a diameter of roughly one inch. Additionally, the components of the substrate processing system are designed to be interchangeable, thereby further reducing cost and complexity. In certain embodiments, the substrate processing system comprises a lower assembly, which may be used with one or more upper assemblies. The lower assembly is used to support the substrate and provide many of the fluid, electrical, and sensor connections, while the upper assemblies include the apparatus required to perform a certain fabrication function. For example, different upper assemblies may exist for deposition, etching, sputtering and ion implantation. 120-. (canceled)21. A method of processing a substrate , comprising:disposing the substrate on a chuck assembly located within a lower assembly of a processing chamber;attaching a first upper assembly to the lower assembly;performing a first process on the substrate, where the first upper assembly is adapted to perform the first process;removing the first upper assembly from the lower assembly;attaching a second upper assembly to the lower assembly; andperforming a second process on the substrate, different than the first process, where the second upper assembly is adapted to perform the second process.22. The method of claim 21 , wherein the first and second processes are selected from the group consisting of etching claim 21 , amorphizing claim 21 , deposition claim 21 , sputtering and ion implantation.23. The method of claim 21 , wherein the lower assembly comprises a first predefined interface for connection to the chuck assembly and a second predefined interface for connection to one of a plurality of upper assemblies.24. The method of claim 21 , wherein the substrate remains disposed ...

Подробнее
13-02-2014 дата публикации

METHOD AND SYSTEM FOR GRAPHENE FORMATION

Номер: US2014044885A1
Принадлежит:

A method for forming graphene includes providing a substrate and subjecting the substrate to a reduced pressure environment. The method also includes providing a carrier gas and a carbon source and exposing at least a portion of the substrate to the carrier gas and the carbon source. The method further includes performing a surface treatment process on the at least a portion of the substrate and converting a portion of the carbon source to graphene disposed on the at least a portion of the substrate.

Подробнее
09-01-2020 дата публикации

RESONANT STRUCTURE FOR ELECTRON CYCLOTRON RESONANT (ECR) PLASMA IONIZATION

Номер: US20200013594A1
Принадлежит:

Described herein is a technology related to a method for generating a high density plasma ionization on a plasma processing system. Particularly, the high density plasma ionization may include an electron cyclotron resonant (ECR) plasma that is utilized for semiconductor fabrication such as an etching of a substrate. The ECR plasma may be generated by a combination of electromagnetic fields from a resonant structure, radiated microwave energy from a radio frequency (RF) microwave source, and presence of a low-pressure plasma region (e.g., about 1 mTorr or less) on the plasma processing system. 1. A method of semiconductor fabrication comprising:receiving a semiconductor substrate on a substrate chuck disposed within a plasma processing chamber;flowing gas into the plasma processing chamber;radiating microwave energy from a transmission element coupled to the plasma chamber towards a source component disposed between the substrate chuck and the transmission element, the source component comprising an array of resonant elements and an array of magnets proximate to the array of resonant elements;forming a resonant circuit between the transmission element and the resonant elements based, at least in part, on an impedance of the resonant elements and frequency of the microwave energy provided by the transmission element;generating an electromagnetic field from the array of resonant elements and a magnetic field from the array of magnets based, at least in part, on forming the resonant circuit;forming plasma proximate to the source component, the plasma is formed based, at least in part, on the electromagnetic field and the magnetic field interacting with the gas in the plasma processing chamber; andtreating the substrate using the plasma.2. The method of claim 1 , wherein the plasma is formed based claim 1 , at least in part claim 1 , on the microwave energy from the transmission element.3. The method of claim 1 , wherein array of magnets comprise groups of two or more ...

Подробнее
08-11-2022 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US0011495444B2
Автор: Masato Kon

In a processing chamber, a processing target substrate is placed and a substrate processing is performed. A holder is configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber.

Подробнее
20-04-2023 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20230124597A1
Принадлежит:

A method of processing a substrate in which a silicon layer and a silicon germanium layer are alternately stacked one above another, includes: forming an oxide film by selectively oxidizing a surface layer of an exposed surface of the silicon germanium layer using a gas containing fluorine and oxygen radicalized with a remote plasma; and removing the oxide film.

Подробнее
16-05-2024 дата публикации

METHOD OF FABRICATING A CAPACITOR

Номер: US20240162279A1
Принадлежит:

The present disclosure relates to a method of fabricating a capacitor, comprising the following successive steps of: a) forming a stack including, in order from the top face of a first conductive layer, a first electrode, a dielectric layer, a second electrode, and a second conductive layer; b) forming by photolithography, a masking layer on a face of the second conductive layer opposite to the second electrode; c) etching by a chlorinated physicochemical plasma etching, through said masking layer, a top part of the stack, said chlorinated physicochemical plasma etching being stopped within the dielectric layer; d) etching by a fluorinated physicochemical plasma etching, through said masking layer, a bottom part of the stack, said fluorinated physicochemical plasma etching being stopped on the top face of the first conductive layer; and e) removing the masking layer.

Подробнее
05-02-2020 дата публикации

Semiconductor etching methods

Номер: GB0002576108A
Принадлежит:

A method of etching into one or more epitaxial layers of III-V, III-N or II-VI semiconductor material(s) in a semiconductor structure 30 is disclosed. The semiconductor structure is a vertical cavity surface emitting laser (VCSEL, Figure 2), light-emitting diode (LED, Figure 4) or photodiode (Figure 5). The method comprises process steps of establishing a flow of an etch gas mixture through a plasma processing chamber (2, Figure 1) and generating a plasma within the chamber. A radio frequency (RF) bias voltage is simultaneously applied to a support table (14, Figure 1) within the chamber on which the semiconductor structure, carrying a patterned mask 39, is placed. More than 90% of the etch gas mixture consists of a mixture of silicon tetrachloride (SiCl4) and nitrogen (N2). The etch gas mixture may comprise one or more inert gases such as helium, and preferably does not contain more than a trace level of boron trichloride (BCl3). The semiconductor material(s) may be any of GaN, GaAs, AlGaAs ...

Подробнее
15-01-2009 дата публикации

EVEN GAS DISTRIBUTION INTO WIDE PLASMA TREATMENT DEVICE

Номер: AT0000420453T
Принадлежит:

Подробнее
06-11-2015 дата публикации

METHOD AND SYSTEM FOR CONTROLLING THE FLOW OF IONS IN A PLASMA RF.

Номер: FR0003020718A1
Принадлежит: ECOLE POLYTECHNIQUE

Un procéde de génération d'une asymétrie de flux ionique dans un réacteur plasma radiofréquence capacitivement couplé (4) comprend une étape d'excitation d'une première électrode (24) par une forme d'onde de tension radio-fréquence. La forme d'onde de tension normalisée est une forme d'onde approchée avec un degré d'approximation d'une fonction radiofréquence en dent de scie normalisée ayant une pente de montée et de descente différentes. Le degré d'approximation de la forme d'onde approchée et la pression P du gaz (6) sont suffisamment élevés pour faire apparaitre une asymétrie des flux ioniques entre le flux ionique au niveau de la première électrode et le flux ionique au niveau d'une deuxième électrode (26).

Подробнее
02-11-2012 дата публикации

METHOD FOR CLEANING A SUBSTRATE, AND SEMICONDUCTOR MANUFACTURING DEVICE

Номер: KR1020120120975A
Автор:
Принадлежит:

Подробнее
24-04-2017 дата публикации

플라즈마 처리 장치 및 플라즈마 처리 방법

Номер: KR0101729625B1

... 처리의 수율을 향상시킨 플라즈마 처리 장치 또는 플라즈마 처리 방법을 제공한다. 진공 용기 내부에 배치되어 플라즈마가 형성되는 처리실 내에 배치되고 상기 플라즈마를 이용하여 처리되는 웨이퍼를 실을 수 있는 탑재면을 가진 시료대와, 상기 처리실 내의 시료대의 하방에 배치된 배기구에 연결시켜 배치된 배기 펌프와, 상기 배기구로부터의 배기량을 조절하는 조절기를 구비한 플라즈마 처리 장치에 있어서, 상기 처리실 내에 상기 탑재면의 상방으로부터 제 1 처리용 가스를 공급하면서 상기 탑재면의 하방으로부터 제 2 처리용 가스를 공급하고 상기 제 1 처리용 가스를 이용하여 상기 웨이퍼가 처리되는 제 1 처리 단계와, 상기 처리실 내에 상기 탑재면의 상방으로부터 제 2 처리용 가스를 공급하면서 상기 탑재면의 하방으로부터 제 1 처리용 가스를 공급하고 상기 제 2 처리용 가스를 이용하여 상기 웨이퍼가 처리되는 제 2 처리 단계를 전환하여 이들을 반복해서 실시하는 상기 웨이퍼의 처리 중에 상기 조절기가 상기 처리실 내의 압력을 소정의 값이 되도록 조절한다.

Подробнее
16-05-2018 дата публикации

원자 레벨 레졸루션 및 플라즈마 프로세싱 제어를 위한 방법들

Номер: KR1020180051663A
Автор: 아가왈, 안쿠르
Принадлежит:

... 기판들을 프로세싱하기 위한 방법들 및 장치가 제공된다. 몇몇 실시예들에서, 기판들을 프로세싱하는 방법들은: (a) 프로세싱 볼륨 내에서 제1 전극과 제2 전극 사이에 폴리머 형성 가스 및 에칭 가스를 포함하는 프로세스 가스를 제공하는 단계 ― 제1 전극은 제2 전극과 대향함 ― ; (b) 프로세스 가스로부터 플라즈마를 형성하기 위해 제1 RF 전원으로부터 제2 전극으로 제1 전압 파형을 인가하는 단계 ― 플라즈마는 기판의 유전체 층 바로 위에 폴리머 층을 증착하기 위해 제1 이온 에너지를 가짐 ― ; 및 (c) 플라즈마의 이온 에너지를 제1 이온 에너지로부터 제2 이온 에너지로 증가시키기 위해 제1 전압 파형을 제2 전압 파형으로 조정하는 단계 ― 제2 이온 에너지에서의 플라즈마는 폴리머 층을 증착하는 것을 중단하고, 폴리머 층 및 유전체 층을 에칭하는 것을 진행함 ― 를 포함한다.

Подробнее
29-04-2021 дата публикации

PROCESSING METHOD OF WORKPIECE

Номер: SG10202008689SA
Принадлежит:

Подробнее
03-04-2014 дата публикации

CHAMBER CLEAN WITH IN GAS HEATING SOURCE

Номер: WO2014051909A1
Автор: YE, Zhiyuan
Принадлежит:

Methods for conditioning interior surfaces of a process chamber are provided herein. In one embodiment a method of conditioning interior surfaces of a process chamber is provided. The method comprises maintaining a process chamber at a first pressure and at a first temperature of less than about 800 degrees Celsius, providing a process gas to the process chamber at the first pressure and the first temperature, wherein the process gas comprises chlorine (Cl2) and high IR absorption gas, and exposing the process gas to radiant energy to remove residue disposed on interior surfaces of the process chamber.

Подробнее
10-06-2021 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210175049A1
Принадлежит:

A temperature changing method includes changing a pressure of a gas supplied from a gas supply to a gap between the substrate and an electrostatic chuck from a first pressure to a second pressure being lower than the first pressure, changing a voltage applied to the electrostatic chuck from a first voltage to a second voltage being lower than the first voltage, changing a temperature of the electrostatic chuck from a first temperature to a second temperature, electrostatically attracting the substrate by the electrostatic chuck for a time in a state where the gas pressure is the second pressure and the voltage is the second voltage, changing the gas pressure from the second pressure to a third pressure being lower than the first pressure and higher than the second pressure, and changing the voltage from the second voltage to a third voltage being higher than the second voltage. 1. A substrate processing method by a substrate processing apparatus havinga processing chamber;a susceptor that is disposed in the processing chamber, and includes an electrostatic chuck that is capable of changing a temperature, and electrostatically attracts a substrate according to an applied voltage; anda gas supply unit that supplies a gas for heat transfer to a gap between the substrate disposed on the electrostatic chuck and the electrostatic chuck,the substrate processing method comprising:changing a pressure of the gas supplied from the gas supply unit to the gap from a first pressure to a second pressure that is lower than the first pressure;changing the applied voltage applied to the electrostatic chuck from a first voltage to a second voltage that is lower than the first voltage;changing the temperature of the electrostatic chuck from a first temperature to a second temperature;electrostatically attracting and holding the substrate by the electrostatic chuck for a first time in a state where the pressure of the gas supplied from the gas supply unit is set to the second pressure ...

Подробнее
18-10-2018 дата публикации

WAFER UNLOADING METHOD

Номер: US20180301363A1
Принадлежит:

Disclosed herein is a wafer unloading method for unloading a wafer from an electrostatic chuck table electrostatically holding the wafer by applying a voltage to the electrostatic chuck table. The wafer unloading method includes a voltage application stopping step of stopping the application of the voltage to the electrostatic chuck table electrostatically holding the wafer, a static eliminating voltage applying step of passing a current reverse in direction to a current passed to the electrostatic chuck table for electrostatically holding the wafer after performing the voltage application stopping step, thereby applying a static eliminating voltage for canceling peeling electrification to the electrostatic chuck table, and an unholding step of unholding the wafer from the electrostatic chuck table in the condition where the static eliminating voltage is kept applied to the electrostatic chuck table, after performing the static eliminating voltage applying step.

Подробнее
31-01-2019 дата публикации

ION BEAM ETCHING APPARATUS

Номер: US20190035610A1

An ion-beam etching apparatus includes: a plasma chamber configured to generate plasma from process gas in the plasma chamber; at least one plasma valve coupled to the plasma chamber; an ion-beam source in communication with the plasma chamber, wherein the ion-beam source is configured to extract ions from the plasma and generate ion-beams when a bias is applied to the ion-beam source; an etching chamber in communication with the ion-beam source, and configured to accommodate an object to be etched; at least one etching valve coupled to the etching chamber; and at least one exhausting pump connected to either one or both of the plasma chamber and the etching chamber by the plasma valve and the etching valve, respectively, wherein the at least one exhausting pump is configured to receive and exhaust radicals in either one or both of the plasma chamber and the etching chamber by the plasma valve and the etching valve, respectively.

Подробнее
22-12-2016 дата публикации

MULTI-PASS VACUUM COATING SYSTEMS

Номер: US20160369403A1
Принадлежит:

A method for coating substrates with multiple coating layers can comprise: establishing a sub-atmospheric pressure within a coating system; transferring each substrate from outside the coating system to inside the coating system though a transfer lock; heating each substrate in a heating zone before entering a coating zone; traversing the coating zone in a first direction of movement and applying a first coating layer to each substrate in the coating zone using expanding thermal plasma type of plasma enhanced chemical vapor deposition; traversing the coating zone a second time and applying a second coating layer to each substrate in the coating zone using expanding thermal plasma type of plasma enhanced chemical vapor deposition; determining if the coating zone is occupied or vacant; if the coating zone is vacant, purging a heater zone module with inert gas; and pumping the inert gas out of the coating zone through ports located in the coating zone.

Подробнее
18-09-2014 дата публикации

METHOD AND APPARATUS FOR MEASURING PRESSURE IN A PHYSICAL VAPOR DEPOSITION CHAMBER

Номер: US2014260544A1
Принадлежит:

A method and apparatus for physical vapor deposition are provided herein. In some embodiments, an apparatus for measuring pressure of a substrate processing chamber may include a shield having an annular one-piece body having an inner volume, a top opening and a bottom opening, wherein a bottom of the annular one-piece body includes an inner upwardly extending u-shaped portion, a gas injection adapter disposed about an outer wall of the shield, a pressure measuring conduit formed within the gas injection adapter, wherein the pressure measuring conduit is fluidly coupled the inner volume via a gap formed between an outer wall of the shield and substrate processing chamber components disposed proximate the shield, and wherein the gap has substantially the same pressure as the inner volume, and a pressure detector coupled to the pressure measuring conduit.

Подробнее
20-02-2020 дата публикации

PLASMAABSTREIFWERKZEUG MIT EINHEITLICHKEITSSTEUERUNG

Номер: DE112018002924T5

Plasmaabstreifwerkzeuge mit Prozesseinheitlichkeitssteuerung werden bereitgestellt. In einer beispielhaften Implementierung beinhaltet eine Plasmabearbeitungsvorrichtung eine Bearbeitungskammer. Die Vorrichtung beinhaltet einen ersten Sockel in der Verarbeitungskammer, der zum Tragen eines Werkstücks betreibbar ist. Der erste Sockel kann eine erste Bearbeitungsstation definieren. Die Plasmabearbeitungsvorrichtung kann einen zweiten Sockel in der Bearbeitungskammer beinhalten, der zum Tragen eines Werkstücks betreibbar ist. Der zweite Sockel kann eine zweite Bearbeitungsstation definieren. Die Vorrichtung kann eine erste Plasmakammer beinhalten, die über der ersten Bearbeitungsstation angeordnet ist. Die erste Plasmakammer kann einer ersten induktiven Plasmaquelle zugeordnet sein. Die erste Plasmakammer kann durch ein erstes Trenngitter von der Bearbeitungskammer getrennt sein. Die Vorrichtung kann eine zweite Plasmakammer beinhalten, die über der zweiten Bearbeitungsstation angeordnet ist ...

Подробнее
05-11-2014 дата публикации

Virtual cathode deposition (VCD) for thin film manufacturing

Номер: GB0201416497D0
Автор:
Принадлежит:

Подробнее
28-01-2015 дата публикации

Номер: KR1020150010595A
Автор:
Принадлежит:

Подробнее
24-03-2023 дата публикации

플라즈마 표면처리 장치 및 이를 이용한 플라즈마 표면처리 방법

Номер: KR20230041562A
Принадлежит:

... 본 발명은 플라즈마를 이용하여 대상체의 표면처리를 수행하는 플라즈마 표면처리 방법에 관한 것으로서, 특히, 피처리물이 수납된 용기의 내부에 플라즈마 표면처리를 수행함으로써, 밀봉된 상태를 유지하며 피처리물의 표면을 개질시킬 수 있는 플라즈마 플라즈마 표면처리 장치 및 이를 이용한 플라즈마 표면처리 방법에 관한 것이다.

Подробнее
01-05-2018 дата публикации

Method for processing subject to be processed

Номер: TW0201816884A
Принадлежит:

According to one embodiment of the present invention, a method is provided with: (a) a first step for etching, using plasma generated in a processing container, a subject to be processed, in a state wherein first direction and second direction are maintained to form a first angle, said subject being held by a holding structure; and (b) a second step for etching, using the plasma generated in the processing container, the subject held by the holding structure, said second step being performed after performing the first step, and in a state wherein the first direction and the second direction are maintained to form a second angle.

Подробнее
30-03-2016 дата публикации

DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR

Номер: SG10201506242SA
Принадлежит:

Подробнее
01-02-2007 дата публикации

Inductive coupling plasma igniting method

Номер: TWI272879B
Автор:
Принадлежит:

To provide an inductive coupling plasma igniting method by which leakage by dielectric breakdown is not caused, and an article to be treated does not incur charge-up damage by plasma. Low-energy and low-density plasma is ignited in a state low in all of high-frequency output, flow rate of a reactive gas and pressure within a chamber, and all the high-frequency output, flow rate of the reactive gas and pressure within the chamber are gradually increased after the ignition of plasma is confirmed, thereby finally generating low-energy and high-density plasma capable of treating an article to be treated.

Подробнее
11-03-2021 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD FOR VENTING A PROCESSING CHAMBER TO ATMOSPHERE

Номер: US20210074515A1
Принадлежит:

A plasma processing apparatus includes a processing chamber in which plasma processing is performed on a wafer, a DP that reduces a pressure in the processing chamber via an evacuating pipe connected to the processing chamber, a TMP that performs evacuation such that a degree of vacuum of the processing chamber becomes a high degree of vacuum, and a stage on which the wafer is placed. Further, the plasma processing apparatus includes a He evacuating pipe that is a flow channel of a heat-transfer gas that transfers heat of the stage subjected to temperature adjustment to the wafer, a first gas supplying mechanism that supplies a gas to a portion of the evacuating pipe which is exposed to atmosphere, during venting a processing chamber to atmosphere, and a control device that controls the first gas supplying mechanism. The control device is provided to communicate with the evacuating pipe. 19-. (canceled)10. A method of venting a processing chamber to atmosphere , the method comprising:continuously supplying a first gas to a portion of an evacuating pipe which is exposed to atmosphere, during a time from after the venting of the processing chamber to the atmosphere to a start of evacuation of the processing chamber,wherein the evacuating pipe is a pipe for reducing a pressure in the processing chamber having an atmospheric pressure and is a pipe to which a pipe for evacuating a heat-transfer gas, which is supplied to a back surface of the processing target object in order to transfer heat of the stage subjected to temperature adjustment, is connected, andwherein the first gas is a gas for purging a portion of the evacuating pipe which is exposed to the atmosphere.11. A method of venting a processing chamber to atmosphere , the method comprising:continuously supplying a first gas to a portion of an evacuating pipe which is exposed to atmosphere, during a time from before the venting a processing chamber to atmosphere to a start of evacuation of the processing chamber, ...

Подробнее
16-07-2019 дата публикации

Pneumatic exhaust system

Номер: US0010354842B2
Принадлежит: Lam Research Corporation, LAM RES CORP

An apparatus, for use in a processing chamber is provided. A pneumatic cylinder is provided. A manifold with a supply and an exhaust is controllably connected to the pneumatic cylinder. A dry gas supply is in fluid connection with and provides positive pressure to the exhaust of the manifold.

Подробнее
26-05-2020 дата публикации

Chamber with vertical support stem for symmetric conductance and RF delivery

Номер: US0010665435B2
Принадлежит: Lam Research Corporation, LAM RES CORP

A plasma chamber is provided to increase conductance within the plasma chamber and to increase uniformity of the conductance. A radio frequency (RF) path for supplying power to the plasma chamber is symmetric with respect to a center axis of the plasma chamber. Moreover, pumps used to remove materials from the plasma chamber are located symmetric with respect to the center axis. The symmetric arrangements of the RF paths and the pumps facilitate an increase in conductance uniformity within the plasma chamber.

Подробнее
06-11-2018 дата публикации

Method of manufacturing semiconductor device

Номер: US0010121650B1

A technique capable of forming a film at the bottom of a deep hole having a high aspect ratio. A method of manufacturing a semiconductor device, including: (a) loading a substrate having a hole into a transfer space via a substrate loading/unloading port; (b) moving the substrate to a processing space; (c) forming a precursor in the hole by simultaneously supplying a first process gas to the substrate in the processing space and an inert gas into the transfer space with the processing space spatially connected to the transfer space and maintaining a difference between a first inner pressure of the processing space and a first inner pressure of the transfer space within a predetermined range; and (d) forming a thin film in the hole after performing (b).

Подробнее
05-01-2021 дата публикации

Processing system for small substrates

Номер: US0010883168B2

A substrate processing system that is optimized for the production of smaller volumes of semiconductor components is disclosed. To minimize cost, the substrate processing system is designed to accommodate smaller substrates, such as substrates having a diameter of roughly one inch. Additionally, the components of the substrate processing system are designed to be interchangeable, thereby further reducing cost and complexity. In certain embodiments, the substrate processing system comprises a lower assembly, which may be used with one or more upper assemblies. The lower assembly is used to support the substrate and provide many of the fluid, electrical, and sensor connections, while the upper assemblies include the apparatus required to perform a certain fabrication function. For example, different upper assemblies may exist for deposition, etching, sputtering and ion implantation.

Подробнее
14-06-2018 дата публикации

ELECTROSTATICALLY CLAMPED EDGE RING

Номер: US20180166312A1
Принадлежит:

An edge ring for use in a plasma processing chamber with a chuck is provided. An edge ring body has a first surface to be placed over and facing the chuck, wherein the first surface forms a ring around an aperture. A first elastomer ring is integrated to the first surface and extending around the aperture. 1. An edge ring for use in a plasma processing chamber with a chuck , comprising:an edge ring body with a first surface to be placed over and facing the chuck, wherein the first surface forms a ring around an aperture; anda first elastomer ring integrated to the first surface and extending around the aperture.2. The edge ring claim 1 , as recited in claim 1 , further comprising a second elastomeric ring integrated to the first surface and extending around the aperture.3. The edge ring claim 2 , as recited in claim 2 , wherein the first elastomeric ring is concentric with the second elastomeric ring.4. The edge ring claim 2 , as recited in claim 2 , wherein the edge ring body claim 2 , first elastomer ring and second elastomer ring are all concentric.5. The edge ring claim 4 , as recited in claim 4 , wherein the edge ring body has an outer diameter between 200 mm to 400 mm.6. The edge ring claim 5 , as recited in claim 5 , wherein the first surface of the edge ring body has an inner edge and an outer edge claim 5 , wherein the first elastomer ring is within a distance of 10 mm from the inner edge of the first surface and the second elastomer ring is within a distance of 30 mm from the outer edge of the first surface.7. The edge ring claim 1 , as recited in claim 1 , wherein the first elastomer ring has a height of between 0.25 mm and 2 mm.8. The edge ring claim 1 , as recited in claim 1 , wherein a tolerance of the height of the first elastomer ring is 50 microns or better.9. The edge ring claim 1 , as recited in claim 1 , wherein the first elastomer ring is formed from a material comprising silicone.10. The edge ring claim 1 , as recited in claim 1 , wherein the ...

Подробнее
07-10-2021 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20210313201A1
Принадлежит:

An upper member is disposed at an upper portion within a processing chamber. A ceiling member forms a ceiling of the processing chamber, and is provided with a through hole at a facing surface thereof which faces the upper member. A supporting member supports the upper member with a first end thereof located inside the processing chamber by being inserted through the through hole and slid within the through hole. An accommodation member accommodates therein a second end of the supporting member located outside the processing chamber, and is partitioned into a first space at a first end side and a second space at a second end side in a moving direction with respect to the second end. A pressure controller generates a pressure difference between the first space and the second space. The pressure difference allows the supporting member to be moved. 1. A substrate processing apparatus , comprising:an upper member disposed at an upper portion within a processing chamber in which a substrate processing upon a substrate is performed;a ceiling member, forming a ceiling of the processing chamber, provided with a through hole at a facing surface thereof which faces the upper member;a supporting member configured to support the upper member with a first end thereof which is located inside the processing chamber by being inserted through the through hole and slid within the through hole;an accommodation member, accommodating therein a second end of the supporting member located outside the processing chamber, partitioned into a first space at a first end side in a moving direction of the supporting member with respect to the second end of the supporting member and a second space at a second end side in the moving direction with respect to the second end; anda pressure controller configured to generate a pressure difference between the first space and the second space, the pressure difference allowing the supporting member to be moved.2. The substrate processing apparatus of ...

Подробнее
01-11-2016 дата публикации

Pulsed remote plasma method and system

Номер: US0009484191B2
Принадлежит: ASM IP Holding B.V., ASM IP HOLDING BV

A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber.

Подробнее
22-10-1986 дата публикации

FABRICATING SEMICONDUCTOR DEVICES

Номер: GB0002141386B

Подробнее
17-04-2013 дата публикации

Method and apparatus

Номер: GB0201303809D0
Автор:
Принадлежит:

Подробнее
06-04-2016 дата публикации

Sealing groove methods for semiconductor equipment

Номер: CN0105474373A
Принадлежит:

Подробнее
10-03-2017 дата публикации

METHOD FOR PLASMA TREATMENT OF CONTAINERS, COMPRISING A PHASE THERMAL IMAGING

Номер: FR0003032975B1
Автор: DEAU THIERRY
Принадлежит: SIDEL PARTICIPATIONS

Подробнее
29-12-2014 дата публикации

Номер: KR1020140147094A
Автор:
Принадлежит:

Подробнее
01-08-2014 дата публикации

Substrate cooling member, substrate processing device, and substrate processing method

Номер: TW0201430943A
Принадлежит:

An object of the invention is to simplify the configuration of a processing chamber for cooling a substrate in a substrate processing device. In a plasma processing device 10 which performs plasma processing of a wafer W, a wafer W which has been subjected to plasma processing is transported into a load lock chamber 13, and the wafer W is cooled by spraying a gas from a gas spraying member 25 onto the surface of the wafer W. The gas spraying member 25 has a construction in which a plurality of gas spraying nozzles 35 are formed on one of the flat surfaces of a flat member 31, and the gas spraying nozzles 35 each comprise a cylindrical eddy generation chamber 41, and a nozzle opening 42 which is open at the base wall 52 of the eddy generation chamber 41 and from which gas is sprayed. The flat surface of the wafer W and the flat surface of the flat member 31 in which the spraying nozzles 35 are formed are disposed in parallel with a predetermined spacing therebetween, and a purge gas is sprayed ...

Подробнее
21-06-2021 дата публикации

Номер: TWI731126B
Принадлежит: TOKYO ELECTRON LTD, TOKYO ELECTRON LIMITED

Подробнее
15-02-2018 дата публикации

CONTAINER PLASMA TREATMENT PROCESS COMPRISING A THERMAL IMAGING PHASE

Номер: US20180044793A1
Автор: Thierry DEAU
Принадлежит:

Disclosed is a process for treating a container with plasma, for depositing a barrier layer on an internal face of the container. This process includes: after the plasma has been extinguished, obtaining a thermal image of the container; comparing the thermal image of the container with a reference thermal image stored in memory; and, if the thermal image of the container differs from the reference thermal image, modifying at least one of the following parameters: internal pressure, external pressure, precursor gas flow rate, microwave frequency, microwave power, duration of the treatment. 288. Method according to claim 1 , in which claim 1 , with the inner partial vacuum being produced by means of a primary vacuum pump () claim 1 , the modification of the inner partial vacuum consists in modifying the flow rate of this primary vacuum pump ().31554212227228. Method according to claim 1 , wherein claim 1 , with the generator () being a magnetron and the chamber () being housed in a cavity () that is equipped with movable plates ( claim 1 , ) made of an electrically conductive material claim 1 , the position of the plates is part of the parameters that can be modified if the thermal image () of the container () differs from the reference thermal image ().41010. Method according to claim 1 , in which with the outer partial vacuum being produced by means of a secondary vacuum pump () claim 1 , the modification of the outer partial vacuum consists in modifying the flow rate of this secondary vacuum pump ().521212. Method according to claim 1 , in which claim 1 , with the precursor gas being injected into the container () by means of an injector () claim 1 , the modification of the flow rate of precursor gas consists in adjusting the opening of the injector ().6. Method according to claim 1 , in which the comparison is made by image correlation.7. Method according to claim 6 , in which the comparison is made by local image correlation.91010. Method according to claim 2 , ...

Подробнее
26-04-2022 дата публикации

Shaped electrodes for improved plasma exposure from vertical plasma source

Номер: US0011315763B2

Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode. The RF hot electrode can include a leg and optional triangular portion near the leg that extends at an angle to the body of the RF hot electrode. A cladding material on one or more of the RF hot electrode and the return electrode can be variably spaced or have variable properties along the length of the plasma gap.

Подробнее
25-06-2018 дата публикации

Номер: RU2016133224A3
Автор:
Принадлежит:

Подробнее
03-11-1989 дата публикации

Dry MANUFACTORING PROCESS Of a SEMICONDUCTOR DEVICE BY PHOTOCHEMICAL REACTION AND APPARATUS FOR the IMPLEMENTATION OF THIS PROCESS

Номер: FR0002545984B1
Автор:
Принадлежит:

Подробнее
06-03-2017 дата публикации

진공 코팅 설비에서 에너지를 절감하는 동시에 이송 속도를 증가시키기 위한 방법 및 장치

Номер: KR1020170024035A
Принадлежит:

... 일련의 스퍼터링 세그먼트(3)와 가스 분리 세그먼트(2)로 구성되고 연속 기판 평면(1)을 갖는 진공 코팅 설비에서 에너지를 절감하는 동시에 이송 속도를 증가시키기 위한 장치 및 방법이 제공된다. 상기 장치는 하기 특징을 갖는다: (a) 스퍼터링 세그먼트(3)의 각각은 그 내부에 이송 장치(11)가 설치되는 탱크 통(12)으로 구성되고, 탱크의 플랜지(6)는 기판 평면(1) 위의 바로 근처에 배치되며, 타겟(8) 및 가스 유입 덕트(10)를 갖는 캐소드 베어링 블록(5)은 탱크 커버(4)에서 스플래시 가드(9)를 갖는 기판의 바로 근처에 배치되고; (b) 기판 평면(1)의 구역에서, 상기 가스 분리 세그먼트(2)에는 가스 분리 세그먼트(2)의 전체 길이를 따라서 연장되는 터널 커버(14)가 제공되며; (c) 스퍼터링 세그먼트(3) 및/또는 가스 분리 세그먼트(2)는 하나 이상의 진공 펌프(15)에 의해 소개되고, 상기 공정에서 펌핑되는 공기는 조절 가능한 체적을 갖는 공기 저장조(25)에 수집된다.

Подробнее
20-01-2016 дата публикации

METHOD FOR HIGH PRECISION PLASMA ETCHING OF SUBSTRATE

Номер: KR1020160007441A
Принадлежит:

The present invention relates to a plasma processing system and a method for high precision etching of a microelectronic substrate. The system may include a combination of microwave and radio frequency (RF) power sources that may generate plasma conditions to remove monolayer(s). The system may generate a first plasma to form a thin absorption layer on the surface of the microelectronic substrate. The absorption layer may be removed when the system transitions to a second plasma. The difference between the first and second plasma may be ion energy proximate to the substrate. For example, the first plasma may have an ion energy of 20 eV or less and the second plasma may have an ion energy of 20 eV or greater. COPYRIGHT KIPO 2016 ...

Подробнее
25-02-2015 дата публикации

Номер: KR1020150020120A
Автор:
Принадлежит:

Подробнее
22-11-2022 дата публикации

Plasma processor

Номер: US0011508561B2
Автор: Takahiro Jindo
Принадлежит: FUJI CORPORATION

A plasma processing apparatus, for releasing plasma-converted gas from plasma head for performing process, detects the pressures of a gas prior to application of a voltage to electrodes of the plasma head, the gas being supplied from gas supply section to a plasma head, and allow initiation of process by the plasma processing apparatus based on the detected pressures.

Подробнее
30-03-2023 дата публикации

WATER VAPOR PLASMA TO ENHANCE SURFACE HYDROPHILICITY

Номер: US20230100863A1
Принадлежит:

Methods and apparatus for processing a substrate area provided herein. For example, methods for enhancing surface hydrophilicity on a substrate comprise a) supplying, using a remote plasma source, water vapor plasma to a processing volume of a plasma processing chamber to treat a bonding surface of the substrate, b) supplying at least one of microwave power or RF power at a frequency from about 1 kHz to 10 GHz and a power from about 1 kW to 10 kW to the plasma processing chamber to maintain the water vapor plasma within the processing volume during operation, and c) continuing a) and b) until the bonding surface of the substrate has a hydrophilic contact angle of less than 10°.

Подробнее
25-10-2018 дата публикации

Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat

Номер: DE102017206612A1
Принадлежит:

Verfahren zum Ausbilden einer Schicht auf Halbleitersubstraten in einer Prozesskammer, wobei das Verfahren die folgenden Schritte aufweist:a. Einleiten eines ersten Prekursorgases in die Prozesskammer und ggf. Erzeugen eines Plasmas aus dem ersten Prekursorgas, um eine Abscheidung einer Komponente des Prekursors auf der Oberfläche des Substrats zu erzeugen;b. Spülen der Prozesskammer um das erste Prekursorgas aus der Prozesskammer zu Entfernen;c. Einleiten eines zweiten Prekursorgases in die Prozesskammer bei einer vorbestimmten Temperatur um eine Reaktion mit der im Schritt a. abgeschiedenen Komponenten zu bewirken und dadurch eine Abscheidung auf der Oberfläche des Substrats zu erzeugen, wobei die Abscheidungen jeweils selbstbegrenzend sind und eine Atomlage der abgeschiedenen Komponente erzeugen.d. Spülen der Prozesskammer um das zweite Prekursorgas aus der Prozesskammer zu Entfernen;e. Wiederholen des Zyklus der Schritte a. bis d., bis eine Vorbestimmte Schichtdicke erreicht ist;f.

Подробнее
28-05-2020 дата публикации

Vorrichtung und Verfahren zur Plasmabehandlung von Behältern

Номер: DE102018129694A1
Принадлежит:

Die Erfindung betrifft eine Vorrichtung zur Plasmabehandlung von Behältern (5), aufweisend einen Prozessgaserzeuger (100) zum Erzeugen einer Prozessgasmischung, und zumindest eine Beschichtungsstation (3), die mindestens eine Plasmakammer (17) mit einem Behandlungsplatz (40) umfasst, in welcher mindestens ein Behälter (5) mit einem Behälterinnenraum (5.1) an dem Behandlungsplatz (40) einsetzbar und positionierbar ist, wobei die jeweilige Plasmakammer (17) zumindest teilweise evakuierbar ausgebildet ist, um das vom Prozessgaserzeuger (100) bereitgestellte Prozessgas durch den Behälter (5) zu saugen, das dessen Innenraum mittels Plasmabehandlung mit einer Innenbeschichtung versieht, und wobei zur Gewährleistung der Prozessstabilität an vorbestimmten Stellen Vorrichtung (1) Druckmesseinrichtungen (78, 96-98) vorgesehen sind. Erfindungsgemäß ist vorgesehen, dass die Druckmesseinrichtungen (96-98) zumindest an einem Teil der vorbestimmten Stellen der Vorrichtung (1) gasartabhängige Druckaufnehmer ...

Подробнее
30-04-2014 дата публикации

Method for plasma processing article surfaces

Номер: GB0002501803B
Принадлежит: P2I LTD [GB], P2I LTD

Подробнее
23-12-2020 дата публикации

Multiple vacuum chamber exhaust system and method of evacuating multiple chambers

Номер: GB0002584881A
Принадлежит:

A vacuum exhaust system for evacuating a plurality of chambers 10 located within a clean room comprises a plurality of branch process gas channels 14 configured to connect to each chamber, and a shared process channel 16 formed from a confluence of the branch process channels. The system further comprises a plurality of branch pumpdown channels 30 configured to connect to each chamber, and a shared pumpdown channel 32 formed from a confluence of the branch pumpdown channels. The process channel may comprise high vacuum molecular flow pumps 12, and a lower vacuum viscous flow pump 20. A plurality of valves 18, 38 may be provided. The system preferably comprises a control module 50 to generate control signals for controlling a pressure in the process channel and reducing fluctuations in the pressure. The control signals may be in response to current or future activity in a chamber, and may control a valve, a vacuum pump speed, or the flow of purge gas.

Подробнее
29-03-2017 дата публикации

Cleaning method

Номер: GB0201702337D0
Автор:
Принадлежит:

Подробнее
26-08-2016 дата публикации

PROCESS FOR PLASMA TREATMENT OF CONTAINERS, COMPRISING A PHASE THERMAL IMAGING

Номер: FR0003032975A1
Автор: DEAU THIERRY
Принадлежит: SIDEL PARTICIPATIONS

Procédé de traitement d'un récipient (2) par plasma pour le dépôt, sur une face interne du récipient (2), d'une couche barrière, ce procédé comprenant les opérations consistant à : - après l'extinction du plasma, réaliser une image thermique du récipient (2) ; - comparer l'image thermique du récipient avec une image thermique de référence mémorisée ; - si l'image thermique du récipient (2) diffère de l'image thermique de référence, modifier au moins l'un des paramètres suivants : dépression interne, dépression externe, débit de gaz précurseur, fréquence des micro-ondes, puissance des micro-ondes, durée du traitement.

Подробнее
28-04-2020 дата публикации

System, Method and Apparatus for Coordinating Pressure Pulses and RF Modulation in a Small Volume Confined Process Reactor

Номер: KR0102105214B1
Автор:
Принадлежит:

Подробнее
11-03-2015 дата публикации

Номер: KR1020150026946A
Автор:
Принадлежит:

Подробнее
17-11-2016 дата публикации

ELECTRON BEAM EMITTING DEVICE FOR ATMOSPHERE

Номер: KR1020160132269A
Принадлежит:

According to an aspect of the present invention, disclosed is an electron beam emitting device for the atmosphere which comprises: a cathode configured to emit an electron beam; an anode located to be separated from the cathode to another side and configured to accelerate the electron beam emitted from the cathode; a low pressure housing to which constant pressure lower than atmospheric pressure is applied and into which a gas is inputted to form a plasma environment wherein the cathode and the anode are installed in the low pressure housing to form a space wherein the electron beam is accelerated; and a high pressure housing connected to the low pressure housing wherein constant pressure higher than the pressure applied to the low pressure housing is applied to the high pressure housing and an emission hole through which the electron beam is emitted to the atmosphere is formed in the high pressure housing. COPYRIGHT KIPO 2016 ...

Подробнее
04-09-2009 дата публикации

METHODS AND APPARATUSES FOR CONTROLLING GAS FLOW CONDUCTANCE IN A CAPACITIVELY-COUPLED PLASMA PROCESSING CHAMBER

Номер: KR1020090094376A
Принадлежит:

Apparatuses are provided for controlling flow conductance of plasma formed in a plasma processing apparatus that includes an upper electrode opposite a lower electrode to form a gap therebetween. The lower electrode is adapted to support a substrate and coupled to a RF power supply. Process gas injected into the gap is excited into the plasma state during operation. The apparatus includes a ground ring that concentrically surrounds the lower electrode and has a set of slots formed therein, and a mechanism for controlling gas flow through the slots. COPYRIGHT KIPO & WIPO 2010 ...

Подробнее
16-03-2018 дата публикации

Pressure purge etch method for etching complex 3-D structures

Номер: TW0201810395A
Принадлежит:

A method for etching a substrate and removing byproducts includes (a) setting process parameters of a processing chamber for a selective dry etch process; (b) setting process pressure of the processing chamber to a first predetermined pressure in a range from 1 Torr to 10 Torr for the selective dry etch process; (c) selectively etching a first film material of a substrate relative to a second film material of the substrate in the processing chamber during a first period; (d) lowering pressure in the processing chamber to a second predetermined pressure that is less than the first predetermined pressure by a factor greater than or equal to 4; and (e) purging the processing chamber at the second predetermined pressure for a second period.

Подробнее
16-09-2013 дата публикации

Distributed, non-concentric multi-zone plasma source systems, methods and apparatus

Номер: TW0201338008A
Принадлежит:

A processing chamber including multiple plasma sources in a process chamber top. Each one of the plasma sources is a ring plasma source including a primary winding and multiple ferrites. A plasma processing system is also described. A method of plasma processing is also described.

Подробнее
01-06-2012 дата публикации

Systems, methods and apparatus for separate plasma source control

Номер: TW0201222660A
Принадлежит:

A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior of each one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.

Подробнее
21-11-2013 дата публикации

HYBRID PLASMA REACTOR

Номер: US20130307414A1
Автор: CHOI Dae-Kyu
Принадлежит:

A hybrid plasma reactor includes a reactor body having a plasma discharge space, a gas inlet, and a gas outlet; a hybrid plasma source including an inductive antenna inductively coupled to plasma formed in the plasma discharge space and a primary winding coil transformer coupled to the plasma and wound in a magnetic core; and an alternating switching power supply for supplying plasma generation power to the inductive antenna and the primary winding coil. The hybrid plasma reactor induces a plasma discharge using the inductively coupled plasma source and the transformer coupled plasma source, so that it has a wide operational area from a low pressure area to a high pressure area. 1. A hybrid plasma reactor comprising:a reactor body having a plasma discharge space, a gas inlet, and a gas outlet;a hybrid plasma source including an inductive antenna inductively coupled to plasma formed in the plasma discharge space and a primary winding coil transformer coupled to the plasma and wounded in a magnetic core; andan alternating switching power supply for supplying plasma generation power to the inductive antenna and the primary winding coil.2. The hybrid plasma reactor as claimed in claim 1 , wherein the reactor body comprises a dielectric window formed between the inductive antenna and the plasma discharge space.3. The hybrid plasma reactor as claimed in claim 2 , comprising a vacuum insulation member formed between the dielectric window and the reactor body.4. The hybrid plasma reactor as claimed in claim 2 , wherein the plasma discharge space has a ring-shaped plasma discharge path by the reactor body and the dielectric window.5. The hybrid plasma reactor as claimed in claim 1 , wherein the plasma is initially ignited through inductively coupled discharge by the inductive antenna.6. The hybrid plasma reactor as claimed in claim 1 , wherein when the plasma discharge space is in a first pressure state claim 1 , the plasma is maintained by plasma discharge inductively ...

Подробнее
13-02-2014 дата публикации

Method and system for graphene formation

Номер: US20140044885A1
Автор: David A. Boyd

A method for forming graphene includes providing a substrate and subjecting the substrate to a reduced pressure environment. The method also includes providing a carrier gas and a carbon source and exposing at least a portion of the substrate to the carrier gas and the carbon source. The method further includes performing a surface treatment process on the at least a portion of the substrate and converting a portion of the carbon source to graphene disposed on the at least a portion of the substrate.

Подробнее
02-01-2020 дата публикации

ELECTROSTATIC CHUCK SIDEWALL GAS CURTAIN

Номер: US20200006109A1

The present disclosure describes an apparatus. The apparatus includes a chuck for placing an object thereon, a gas passage extending along a periphery of an outer sidewall of the chuck and separating the chuck into an inner portion and a sidewall portion, and a plurality of gas holes through the sidewall portion and configured to connect a gas external to the chuck to the gas passage. 1. An apparatus , comprising:a chuck for placing an object thereon;a gas passage extending along a periphery of an outer sidewall of the chuck and dividing the chuck into an inner portion and a sidewall portion; anda plurality of gas holes through the sidewall portion and configured to connect the gas passage to a gas external to the chuck.2. The apparatus of claim 1 , wherein the gas passage surrounds the inner portion from a plurality of directions.3. The apparatus of claim 1 , further comprising an inlet connected to the gas passage and configured to receive an inert gas source.4. The apparatus of claim 1 , wherein a height of the gas passage is substantially equal to or less than a height of the chuck.5. The apparatus of claim 1 , wherein a diameter of the plurality of gas holes is in a range of about 0.05 mm to about 0.5 mm.6. The apparatus of claim 5 , wherein the diameter is about 0.1 mm.7. The apparatus of claim 1 , wherein the plurality of gas holes are distributed uniformly on the sidewall portion.8. The apparatus of claim 1 , wherein the sidewall portion comprises a vertical sidewall portion claim 1 , and wherein a first one or more of the plurality of gas holes in the vertical sidewall portion have a first exit direction.9. The apparatus of claim 8 , wherein the sidewall portion of the chuck further comprises a horizontal sidewall portion claim 8 , and wherein a second one or more of the plurality of gas holes in the horizontal sidewall portion have a second exit direction different from the first exit direction.10. The apparatus of claim 9 , wherein the first and second ...

Подробнее
20-01-2022 дата публикации

METHOD FOR COATING METAL

Номер: US20220018021A1
Принадлежит: AGC Glass Europe

A metal substrate with a silicon oxide based layer having a thickness between 80 and 400 nm and having between 5 and 30 atom % of carbon. Also included is a process for depositing by PECVD a silicon oxide based layer, having a thickness comprised between 80 and 400 nm and comprising between 5 and 30 atom % of carbon, on a metal substrate. 1. A metal substrate comprising:a silicon oxide based layer having a thickness comprised between 80 and 400 nm and comprising between 5 and 30 atom % of carbon.2. The metal substrate according to claim 1 , further comprising an anodized metal layer in between a bulk metal and the silicon oxide based layer.3. The metal substrate according to claim 1 , wherein the silicon oxide based layer comprises at least 80% by weight of SiO2.4. The metal substrate according to claim 1 , wherein the silicon oxide based layer comprises up to 15% by weight of titanium oxide claim 1 , zirconium oxide or a mixture of titanium oxide and zirconium oxide.5. A method for the production of a silicon oxide based layer claim 1 , having a thickness comprised between 80 and 400 nm claim 1 , comprising between 5 and 30 atom % of carbon claim 1 , on a metal substrate claim 1 , comprising:a. taking a low-pressure PECVD device comprising at least one linear dual-beam plasma source, wherein the linear dual-beam plasma source comprises at least two electrodes connected to an AC or pulsed DC generator, for the deposition of said layers on the substrate;b. applying an electrical power between the two electrodes, so that the power density of the plasma is between 3 and 17 W per cm2 of plasma; andc. applying, to the substrate, a gaseous carbon-comprising precursor of silicon oxide at a flow rate of between 125 and 750 sccm per linear meter of the plasma source and a reactive gas based on oxygen or on oxygen-comprising derivatives at a flow rate of between 500 and 2500 sccm per linear meter of the plasma source.6. A method for the production of a silicon oxide based ...

Подробнее
08-01-2015 дата публикации

METHODS AND APPARATUS FOR DEPOSITING AND/OR ETCHING MATERIAL ON A SUBSTRATE

Номер: US20150011088A1
Принадлежит:

Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (T) of the processing chamber for the step. 2. A method according to claim 1 , wherein the corresponding gas residence time of the processing chamber for the at least one of the control steps is between 0.1 and 5 seconds claim 1 , preferably between 0.5 and 2 seconds claim 1 , still preferably approximately 1 second.3. A method according to claim 1 , wherein the or each control step comprising instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step claim 1 , which duration is less than the corresponding gas residence time (T) of the processing chamber for the step claim 1 , has a duration of less than 1 second claim 1 , preferably less than or equal to 750 milliseconds claim 1 , more preferably less than or equal to 500 milliseconds claim 1 , further preferably less than or equal to 100 milliseconds claim 1 , still preferably less than or equal to 50 milliseconds claim 1 , most preferably between 5 and 50 milliseconds.4. A method according to claim 1 , wherein a first sequential subset of one or more of the series of control steps constitutes a passivation process phase during which the processing ...

Подробнее
12-01-2017 дата публикации

PULSED REMOTE PLASMA METHOD AND SYSTEM

Номер: US20170011889A1
Автор: Winkler Jereld Lee
Принадлежит:

A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber. 1. A method for providing excited species to a reaction chamber of a reactor , the method comprising the steps of:providing a first gas to a remote plasma unit;controlling a pressure of the remote plasma unit;forming a plasma in a remote plasma unit; andpulsing first excited species to the reaction chamber, while maintaining steady-state conditions for the remote plasma unit.2. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising the steps of:providing a second reactant to the remote plasma unit to form a second excited species; andpulsing the second excited species to the reaction chamber.3. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of controlling a pressure of the remote plasma unit comprises using a closed-loop upstream pressure controller.4. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of forming a plasma in a remote plasma unit comprises forming a plasma using a unit selected from the group consisting of inductively couple plasma unit and microwave unit.5. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of pulsing the first excited species to the reaction chamber comprises controlling a valve between the remote plasma unit and the reaction chamber.6. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of depositing material onto a surface of a substrate.7. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of ...

Подробнее
14-01-2016 дата публикации

METHODS FOR HIGH PRECISION ETCHING OF SUBSTRATES

Номер: US20160013063A1
Принадлежит:

This disclosure relates to a plasma processing system and methods for high precision etching of microelectronic substrates. The system may include a combination of microwave and radio frequency (RF) power sources that may generate plasma conditions to remove monolayer(s). The system may generation a first plasma to form a thin adsorption layer on the surface of the microelectronic substrate. The adsorbed layer may be removed when the system transition to a second plasma. The differences between the first and second plasma may be include the ion energy proximate to the substrate. For example, the first plasma may have an ion energy of less than 20 eV and the second plasma may have an ion energy greater than 20 eV. 1. A method , comprising:receiving a microelectronic substrate into a plasma process chamber;receiving a gas mixture in the plasma process chamber, the gas mixture comprising a dilution gas and a reactant gas;achieving a process pressure of greater than or equal to 40 mTorr in the plasma process chamber;applying microwave power to the gas mixture;applying an alternating bias power to the gas mixture that alternates on and off in an asymmetrical manner over a period of time, the bias power comprising a magnitude of no more than 150 W at a driving frequency; andvarying concentration of the gas mixture over the period of time, the variation ranging between 0% and 100% by volume of the reactant gas.2. The method of claim 1 , wherein the microwave power generates a plasma potential proximate to the substrate of less than or equal to 20 eV when the biasing is off.3. The method of claim 2 , wherein the dilution gas comprises one or more of the following: argon claim 2 , helium claim 2 , or nitrogen claim 2 , and the reactant gas comprises an oxygen-containing gas or a halogen-containing gas.4. The method of claim 1 , wherein the driving frequency comprises less a frequency less than 60 MhZ.5. The method of claim 1 , wherein the reactant gas concentration varies ...

Подробнее
21-01-2021 дата публикации

METHOD AND APPARATUS FOR CONTROLLING A PROCESSING REACTOR

Номер: US20210020410A1
Принадлежит:

Methods and systems for processing substrates are provided. The system can include: a processing chamber configured to process a substrate based on a recipe; a plurality of sub-systems in operable communication with the processing chamber for controlling corresponding parameters associated with processing the substrate; and a controller in operable communication with the processing chamber and each of the plurality of sub-systems and configured to control each of the plurality of sub-systems and the processing chamber using information included in the recipe and feedback provided by at least one of the plurality of sub-systems. The controller is configured to compare information included in the recipe and feedback provided by at least one of the plurality of sub-systems with stored empirical information relating to the recipe and each of the plurality of sub-systems, and adjust at least one of the corresponding parameters associated with processing the substrate based on a determined comparison. 1. A system for processing a substrate , comprising:a processing chamber configured to process a substrate based on a recipe;a plurality of sub-systems in operable communication with the processing chamber for controlling a corresponding parameter associated with processing the substrate; anda controller in operable communication with the processing chamber and each of the plurality of sub-systems and configured to control each of the plurality of sub-systems and the processing chamber using information included in the recipe and feedback provided by at least one of the plurality of sub-systems,wherein the controller is configured to compare the information included in the recipe and the feedback provided by the at least one of the plurality of sub-systems with stored empirical information relating to the recipe and each of the plurality of sub-systems, and adjust at least one of the corresponding parameters associated with processing the substrate based on a determined ...

Подробнее
10-02-2022 дата публикации

CARBON HARD MASK, FILM FORMING APPARATUS, AND FILM FORMING METHOD

Номер: US20220042173A1
Принадлежит:

According to one embodiment, there is provided a carbon hard mask laminated on an etching target film, in which the concentration ratio of a methylene group CHand a methyl group CHcontained in the carbon hard mask satisfies the expression CH/(CH+CH)≥0.5. 1. A carbon hard mask laminated on an etching target film , wherein a concentration ratio of a methylene group (CH) and a methyl group (CH) contained in the carbon hard mask satisfies a following expression (1):{'br': None, 'sub': 2', '2', '3, 'CH/(CH+CH)≥0.5 \u2003\u2003(1).'}2. A film forming apparatus comprising:a chamber;a stage provided in the chamber an having an object placed thereon;a gas supply configured to supply a hydrogen gas and a carbon-containing gas into the chamber;a power supply configured to supply power having a predetermined frequency into the chamber to generate plasma of the hydrogen gas and the carbon-containing gas to form a carbon hard mask on the object by active species contained in the plasma; anda pressure adjustment part configured to adjust an internal pressure of the chamber,{'sub': e', 'e, 'sup': '−3', 'claim-text': {'br': None, 'i': 'N', 'sub': e', 'e, 'sup': 2', '8, '0<(×T×τ)≤4×10\u2003\u2003(2).'}, 'wherein the gas supply, the power supply, and the pressure adjustment part are controlled so that a value of a product of electron density (N[cm]) in the plasma, an excitation temperature (T[eV]) of hydrogen atoms in the plasma, and a residence time (τ[ms]) of a gas in the plasma satisfies a following expression (2)3. The film forming apparatus of claim 2 , further comprising:a volume adjustment part configured to adjust a volume of a space in which the plasma is generated,wherein the residence time (τ) of the hydrogen gas and the carbon-containing gas in the plasma is controlled by changing at least one of flow rates of the hydrogen gas and the carbon-containing gas, an internal pressure of the chamber, and the volume of the space in which the plasma is generated.4. A method of ...

Подробнее
10-02-2022 дата публикации

Silicon dry etching method

Номер: US20220044938A1
Принадлежит: Ulvac Inc

A silicon dry etching method of the invention, includes: preparing a silicon substrate; forming a mask pattern having an opening on the silicon substrate; forming a deposition layer on the silicon substrate in accordance with the mask pattern while introducing a first gas; carrying out a dry etching process with respect to the silicon substrate in accordance with the mask pattern while introducing a second gas, and thereby forming a recess pattern on a surface of the silicon substrate; and carrying out an ashing process with respect to the silicon substrate while introducing a third gas.

Подробнее
23-01-2020 дата публикации

Low Temperature High-Quality Dielectric Films

Номер: US20200027726A1
Принадлежит:

Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3. 1. A method of processing a substrate , the method comprising:flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck,maintaining the substrate at a pressure in a range of about 0.1 mTorr and about 10 Torr and at a temperature in a range of about −50° C. to about 150° C.; andgenerating a plasma at a substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate, the dielectric film having a refractive index in a range of about 1.5 to about 3.2. The method of claim 1 , further comprising applying a second RF bias to the electrostatic chuck to generate the plasma at the substrate level.3. The method of claim 2 , wherein the second RF bias is provided at a power in a range of about 10 Watts to about 3000 Watts and at a frequency in a range of about 350 KHz to about 100 MHz.4. The method of claim 3 , wherein the second RF bias is provided at a power in a range of about 800 Watts to about 1200 Watts at a frequency of about 2 MHz.5. The method of claim 1 , wherein the first RF bias is provided at a power in a range of about 10 Watts to about 3000 Watts and at a frequency in a range of about 350 KHz to about 100 MHz.6. The method of claim 5 , wherein the first RF bias is provided at a power in ...

Подробнее
04-02-2016 дата публикации

Plasma processing devices having multi-port valve assemblies

Номер: US20160033977A1
Принадлежит: Lam Research Corp

A plasma processing device may include a plasma processing chamber, a plasma electrode assembly, a wafer stage, a plasma producing gas inlet, a plurality of vacuum ports, at least one vacuum pump, and a multi-port valve assembly. The multi-port valve assembly may comprise a movable seal plate positioned in the plasma processing chamber. The movable seal plate may comprise a transverse port sealing surface that is shaped and sized to completely overlap the plurality of vacuum ports in a closed state, to partially overlap the plurality of vacuum ports in a partially open state, and to avoid substantial overlap of the plurality of vacuum ports in an open state. The multi-port valve assembly may comprise a transverse actuator coupled to the movable seal plate and a sealing actuator coupled to the movable seal plate.

Подробнее
17-02-2022 дата публикации

Gas flow control during semiconductor fabrication

Номер: US20220051912A1

A method is provided. The method includes introducing a process gas into an interior space of a processing chamber through a gas inlet port, wherein a substrate is supported within the interior space. The process gas is evacuated from the interior space by a vacuum source through an exhaust port in fluid communication with the interior space of the process chamber. A flow of the process gas is controlled by supporting an exhaust baffle within a flow path of the process gas being evacuated from the interior space through the exhaust port.

Подробнее
08-02-2018 дата публикации

ENDBLOCK FOR ROTATABLE TARGET WITH ELECTRICAL CONNECTION BETWEEN COLLECTOR AND ROTOR AT PRESSURE LESS THAN ATMOSPHERIC PRESSURE

Номер: US20180037984A1
Принадлежит:

An endblock for a rotatable sputtering target, such as a rotatable magnetron sputtering target, is provided. A sputtering apparatus, including one or more such endblock(s), includes locating the electrical contact(s) (e.g., brush(es)) between the collector and rotor in the endblock(s) in an area under vacuum (as opposed to in an area at atmospheric pressure). 118-. (canceled)19. A method of making a coated article , the method comprising:sputtering a rotating target in a chamber at pressure less than atmospheric pressure to sputter-deposit a layer on a substrate, wherein the target is supported by an endblock, the endblock including a fixed conductive collector, a rotatable conductive rotor rotating with the sputtering target during said sputtering, an electrical power transfer structure located between the fixed conductive collector and the rotatable rotor for transferring electrical power from the collector to the rotor,providing the endblock in a position, so that during said sputtering the electrical power transfer structure, the rotor, and the collector are each located in the area under vacuum having pressure less than atmospheric pressure. Example embodiments of this invention relate to an endblock for a rotatable sputtering target such as a rotatable magnetron sputtering target. A sputtering apparatus design, including an endblock design, includes locating the electrical contact(s) (e.g., brush(es)) between the collector and rotor in an area under vacuum (as opposed to in an area at atmospheric pressure) which has been found to provide for significant advantages.Sputtering is known in the art as a technique for depositing layers or coatings onto substrates such as glass substrates. For example, a low-emissivity (low-E) coating can be deposited onto a glass substrate by successively sputter-depositing a plurality of different layers onto the substrate. As an example, a low-E coating may include the following layers in this order: glass substrate/SnO/ZnO/Ag/ ...

Подробнее
09-02-2017 дата публикации

RADIO FREQUENCY PLASMA METHOD FOR UNIFORM SURFACE PROCESSING OF RF CAVITIES AND OTHER THREE-DIMENSIONAL STRUCTURES

Номер: US20170040144A1
Принадлежит: Old Dominion University

A method for efficient plasma etching of surfaces inside three-dimensional structures can include positioning an inner electrode within the chamber cavity; evacuating the chamber cavity; adding a first inert gas to the chamber cavity; regulating the pressure in the chamber; generating a plasma sheath along the inner wall of the chamber cavity; adjusting a positive D.C. bias on the inner electrode to establish an effective plasma sheath voltage; adding a first electronegative gas to the chamber cavity; optionally readjusting the positive D.C. bias on the inner electrode reestablish the effective plasma sheath voltage at the chamber cavity; etching the inner wall of the chamber cavity; and polishing the inner wall to a desired surface roughness. 1. A method for efficient plasma etching the inner wall of a chamber cavity , wherein the inner wall comprises one selected from the group consisting of a metal , a semiconductor , a dielectric material , and combinations thereof , the method comprising:positioning an inner electrode within the chamber cavity;evacuating the chamber cavity;wherein the chamber cavity has an internal surface area of from 50 to 10000 cm2;adding 60 to 100 weight percent of a first inert gas selected from the group consisting of Argon (Ar), Krypton (Kr), Helium (He), Xenon (Xe), and Nitrogen (N2), and combinations thereof to the chamber cavity at a pressure of from 0.01 to 1 Torr;regulating the pressure in the chamber; a radiofrequency (RF) discharge at a frequency of from 1 to 150 MHz,', 'a microwave discharge at power density of 0.1 to 3 W/cm 3 and frequency of 1.3 to 3 GHz,', 'a pulsed d.c. high voltage (HV) discharge at average power density of 0.1 to 3 W/cm 3, and combinations thereof;, 'generating a plasma sheath along the inner wall of the chamber cavity by applying one selected from the group consisting ofadjusting a positive D.C. bias on the inner electrode to establish the effective plasma sheath voltage of from 10 to 500 V;adding 1 to 15 ...

Подробнее
16-02-2017 дата публикации

METHOD AND SYSTEM FOR GRAPHENE FORMATION

Номер: US20170044018A1
Автор: Boyd David A.
Принадлежит: California Institute of Technology

A method for forming graphene includes providing a substrate, subjecting the substrate to a reduced pressure environment, and providing a carrier gas and a carbon source. The method also includes exposing at least a portion of the substrate to the carrier gas, the carbon source, and at least one atmospheric gas and performing a CMOS compatible etching process on the at least a portion of the substrate. The method further includes performing, concurrently with the performing the CMOS compatible etching process, a CMOS compatible graphene growth process to convert a portion of the carbon source to graphene on the at least a portion of the substrate. 1. A method for forming graphene , the method comprising:providing a substrate;subjecting the substrate to a reduced pressure environment;providing a carrier gas;providing a carbon source;exposing at least a portion of the substrate to the carrier gas, the carbon source, and at least one atmospheric gas;performing a CMOS compatible etching process on the at least a portion of the substrate; andperforming, concurrently with the performing the CMOS compatible etching process, a CMOS compatible graphene growth process to convert a portion of the carbon source to graphene on the at least a portion of the substrate.2. The method of wherein the substrate comprises a copper foil and the CMOS compatible etching process comprises removal of copper from the copper foil.3. The method of wherein the reduced pressure environment comprises a vacuum environment between 25 mTorr and 0.5 Torr.4. The method of wherein the carrier gas comprises hydrogen and the carbon source comprises methane.5. The method of wherein providing the carrier gas and providing the carbon source are performed concurrently.6. The method of wherein the carbon source makes up less than 0.6% of a flow containing the carrier gas claim 5 , the carbon source claim 5 , and the at least one atmospheric gas.7. The method of wherein the carbon source makes up less than 0.1% ...

Подробнее
15-02-2018 дата публикации

DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR

Номер: US20180047548A1
Принадлежит:

One process used to remove material from a surface is ion etching. In certain cases, ion etching involves delivery of both ions and a reactive gas to a substrate. The disclosed embodiments permit local high pressure delivery of reactive gas to a substrate while maintaining a much lower pressure on portions of the substrate that are outside of the local high pressure delivery area. In many cases, the low pressure is achieved by providing an injection head that confines the high pressure reactant delivery to a small area and vacuums away excess reactants and byproducts as they leave this small area and before they enter the larger substrate processing region. The disclosed injection head may be used to increase throughput while minimizing deleterious collisions between ions and other species present in the substrate processing region. The disclosed injection head may also be used in other types of semiconductor wafer processing. 1. An injection head for providing reactants to a surface of a substrate , the injection head comprising: (i) a first reactant outlet region of a first reactant delivery conduit, and', '(ii) a first suction region configured to be coupled to a first vacuum conduit., 'a substrate-facing region comprising2. The injection head of claim 1 , further comprising an upper surface positioned opposite the substrate-facing region claim 1 , wherein the upper surface is coated with a sputter-resistant material.3. The injection head of claim 2 , wherein the sputter-resistant material comprises amorphous carbon.4. The injection head of claim 2 , wherein the sputter-resistant material comprises silicon.5. The injection head of claim 2 , wherein the sputter-resistant material comprises silicon oxide.6. The injection head of claim 2 , wherein the sputter-resistant material comprises aluminum.7. The injection head of claim 2 , wherein the sputter-resistant material comprises aluminum oxide.8. The injection head of claim 1 , the substrate-facing region further ...

Подробнее
25-02-2016 дата публикации

Systems and methods for treating material surfaces

Номер: US20160056020A1
Принадлежит: Washington State University WSU

A system for treating at least one surface of a material may include a reaction vessel containing a first electrode and a second electrode separated by a gap. A power source may generate an electrical potential across the first electrode and the second electrode. A mixture of a non-reactive fluid and a reactive fluid exposed to the electrical potential may produce a back coronal plasma discharge from the second electrode to the first electrode. The reactive gas may further form a treatment material within the plasma. Depending on the reactive fluid introduced in the reaction vessel, a substrate disposed distally with respect to the second electrode may be coated with the treatment material, thereby increasing the hydrophobic character of the substrate. The treated substrate may be incorporated into a composite composition composed of a hydrophobic matrix.

Подробнее
05-03-2015 дата публикации

System, Method and Apparatus for Coordinating Pressure Pulses and RF Modulation in a Small Volume Confined Process Reactor

Номер: US20150060404A1
Принадлежит: Lam Research Corp

A plasma processing system and method includes a processing chamber, and a plasma processing volume included therein. The plasma processing volume having a volume less than the processing chamber. The plasma processing volume being defined by a top electrode, a substrate support surface opposing the surface of the top electrode and a plasma confinement structure including at least one outlet port. A conductance control structure is movably disposed proximate to the at least one outlet port and capable of controlling an outlet flow through the at least one outlet port between a first flow rate and a second flow rate, wherein the conductance control structure controls the outlet flow rate and an at least one RF source is modulated and at least one process gas flow rate is modulated corresponding to a selected processing state set by the controller during a plasma process.

Подробнее
05-03-2015 дата публикации

System, Method and Apparatus for Generating Pressure Pulses in Small Volume Confined Process Reactor

Номер: US20150064920A1
Принадлежит: LAM RESEARCH CORPORATION

A plasma processing system and method includes a processing chamber, and a plasma processing volume included therein. The plasma processing volume having a volume less than the processing chamber. The plasma processing volume being defined by a top electrode, a substrate support surface opposing the surface of the top electrode and a plasma confinement structure including at least one outlet port. A conductance control structure is movably disposed proximate to the at least one outlet port and capable of restricting an outlet flow through the at least one outlet port to a first flow rate and capable of increasing the outlet flow through the at least one outlet port to a second flow rate, wherein the conductance control structure restricts the outlet flow rate moves between the first flow rate and the second flow rate corresponding to a selected processing state set by the controller during a plasma process.

Подробнее
05-03-2015 дата публикации

METHOD FOR ETCHING ORGANIC FILM AND PLASMA ETCHING DEVICE

Номер: US20150064924A1
Принадлежит: TOKYO ELECTRON LIMITED

In a method for etching an organic film according to an embodiment, a target object that has an organic film is set in a processing chamber. Then, a processing gas containing COS gas and Ogas is supplied to the processing chamber and a microwave for plasma excitation is supplied to the inside of the processing chamber to etch the organic film. 1. A method for etching an organic film , comprising:preparing in a processing chamber a target object having an organic film; and{'sub': '2', 'etching the organic film by supplying a processing gas including COS gas and Ogas into the processing chamber and supplying a microwave for plasma excitation into the processing chamber.'}2. The method of claim 1 , wherein the target object includes a substrate and a multilayer film formed on the substrate claim 1 , the multilayer film having a patterned resist film and the organic film formed between the resist film and the substrate.3. The method of claim 2 , wherein in the etching the organic film claim 2 , the processing gas further includes Ngas.4. The method of claim 2 , wherein in the etching the organic film claim 2 , a pressure in the processing chamber is controlled to a range from 20 mTorr to 100 mTorr.5. The method of claim 2 , wherein the multilayer film has a Si anti-reflection coating film provided between the resist film and the organic film claim 2 , andthe method further comprises, before the etching the organic film, etching the Si anti-reflection coating film by supplying a gas including a fluorocarbon-based gas and COS gas into the processing chamber and supplying a microwave into the processing chamber.6. A plasma etching device comprising:a processing chamber;a gas supply unit configured to supply a processing gas into the processing chamber;a microwave generating unit; andan antenna, connected to the microwave generating unit, configured to supply a microwave for plasma excitation into the processing chamber,{'sub': '2', 'wherein the gas supply unit is ...

Подробнее
17-03-2022 дата публикации

METHOD FOR EFFICIENTLY ELIMINATING GRAPHENE WRINKLES FORMED BY CHEMICAL VAPOR DEPOSITION

Номер: US20220081300A1
Автор: Gao Libo, Xu Jie, YUAN Guowen
Принадлежит: NANJING UNIVERSITY

A method for efficiently eliminating graphene wrinkles formed by chemical vapor deposition includes: directly growing super smooth wrinkle-free graphene films on metal substrates such as copper, nickel and alloys thereof and non-metal substrates such as silicon oxide and silicon carbide, or eliminating the wrinkles of wrinkled graphene through controlled proton injection at a high temperature by precisely controlling the temperature and hydrogen plasma power and time for generating protons; where the plasma-assisted chemical vapor deposition system includes a plasma generator, a vacuum system and a heating system; where the power of the plasma generator is 5 to 1000 W, the pressure of the vacuum system is 10to 10Pa, and the heating temperature of the system is controllable between 25 to 1000° C.; directly growing a super smooth wrinkle-free graphene by injecting protons on various substrates during growth. 1. A method for efficiently eliminating graphene wrinkles formed by chemical vapor deposition , comprising: directly growing super smooth wrinkle-free graphene films on metal substrates or non-metal substrates , or eliminating the wrinkles of wrinkled graphene through controlled proton injection at a high temperature by precisely controlling the temperature and hydrogen plasma power and time for generating protons , wherein the metal substrates comprises copper , nickel , or alloys thereof , and the non-metal substrates comprises silicon oxide or silicon carbide;wherein the specific steps are as follows:{'sup': ['−5', '5'], '#text': 'step 1: placing a growth substrate in a plasma-assisted chemical vapor deposition system, adjusting an appropriate reaction atmosphere and pressure, simultaneously adjusting an appropriate coupled matching power, and keeping starting the plasma to react when the substrate is heated to a certain temperature; wherein the plasma-assisted chemical vapor deposition system comprises a plasma generator, a vacuum system and a heating system; ...

Подробнее
27-02-2020 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20200066492A1
Принадлежит:

Provided is a plasma processing apparatus including a processing chamber which is disposed in a vacuum vessel and able to be decompressed, a sample stage on a top surface of which a wafer to be processed is mounted, an opening which is configured to supply a heat-transfer gas to a gap between the wafer and the top surface of the sample stage, a regulator which regulates a flow rate of the heat-transfer gas, and a controller which regulates an operation of the regulator based on a pressure of the gap detected using an amount of the heat-transfer gas leaking from the regulator to the processing chamber through the gap while the wafer is mounted on the sample stage and an amount of the heat-transfer gas supplied from the opening to the processing chamber while the wafer is not mounted on the sample stage. 116-. (canceled)17. A plasma processing method comprising steps of:locating and holding a wafer to be processed on a top surface of a sample stage disposed in a processing chamber an inside of which is decompressed;supplying a heat-transfer gas into a gap between the wafer and the top surface of the sample stage; andgenerating a plasma in a space above the sample stage inside the processing chamber and starting processing of the wafer,wherein the heat-transfer gas which is supplied from a gas source flows through a supply path disposed inside the sample stage into the gap via an opening which is arranged on the top surface of the sample stage, andwherein a supply amount of the heat-transfer gas is adjusted to maintain a pressure of the heat-transfer gas in the gap in a predetermined allowable range based upon a pressure value of the heat-transfer gas which is detected at a certain position on the supply path and a value of the pressure drop of the heat-transfer gas between the certain position of the supply path and the gap between the wafer and the top surface of the sample stage via the supply path.18. The plasma processing method according to claim 17 , whereinthe ...

Подробнее
27-02-2020 дата публикации

Buffer unit, and apparatus and method for treating substrate with the unit

Номер: US20200066562A1
Автор: Dukhyun Son, Sang-Kee Lee
Принадлежит: Semes Co Ltd

Embodiments of the inventive concept provide an apparatus and method for storing a substrate. A buffer unit for storing a substrate includes a housing having an entrance formed at one side and a buffer space inside, a substrate support unit that supports one or more substrates in the buffer space, a pressure adjustment unit that adjusts pressure in the buffer space, and a controller that controls the pressure adjustment unit. The pressure adjustment unit includes a gas supply line that supplies a gas for pressurizing the buffer space and a gas exhaust line that reduces the pressure in the buffer space. At least one of the gas supply line and the gas exhaust line includes a plurality of lines.

Подробнее
11-03-2021 дата публикации

DYNAMIC PRESSURE CONTROL FOR PROCESSING CHAMBERS IMPLEMENTING REAL-TIME LEARNING

Номер: US20210074526A1
Принадлежит: Applied Materials, Inc.

System and methods of improving dynamic pressure response during recipe step transitions. An exemplary method may include changing at least one of a plurality of recipe parameters in accordance with a processing recipe while running the processing recipe on a semiconductor substrate in a processing chamber. The method may further include measuring a pressure response in the processing chamber responsive to the changing of the at least one of the plurality of recipe parameters, and determining a response error based on the pressure response and a model pressure response calculated based on the processing recipe. The method may further include, in response to determining that the response error may be greater than a threshold value, calculating an adjustment to an operation of a valve downstream of the processing chamber when changing the at least one of the plurality of recipe parameters in accordance with the processing recipe in subsequent runs. 1. A method comprising:changing at least one of a plurality of recipe parameters in accordance with a processing recipe while running the processing recipe on a first semiconductor substrate in a processing chamber;measuring a first pressure response in the processing chamber responsive to the changing of the at least one of the plurality of recipe parameters in accordance with the processing recipe;determining a first response error based on the first pressure response and a model pressure response calculated based on the processing recipe; andin response to determining that the first response error is greater than a threshold value, calculating an adjustment to an operation of a valve downstream of the processing chamber to be applied when changing the at least one of the plurality of recipe parameters in accordance with the processing recipe in a subsequent run of the processing recipe.2. The method of claim 1 , further comprising:generating a plasma in the processing chamber in accordance with the processing recipe, and ...

Подробнее
14-03-2019 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD FOR VENTING A PROCESSING CHAMBER TO ATMOSPHERE

Номер: US20190080888A1
Принадлежит:

A plasma processing apparatus includes a processing chamber in which plasma processing is performed on a wafer, a DP that reduces a pressure in the processing chamber via an evacuating pipe connected to the processing chamber, a TMP that performs evacuation such that a degree of vacuum of the processing chamber becomes a high degree of vacuum, and a stage on which the wafer is placed. Further, the plasma processing apparatus includes a He evacuating pipe that is a flow channel of a heat-transfer gas that transfers heat of the stage subjected to temperature adjustment to the wafer, a first gas supplying mechanism that supplies a gas to a portion of the evacuating pipe which is exposed to atmosphere, during venting a processing chamber to atmosphere, and a control device that controls the first gas supplying mechanism. The control device is provided to communicate with the evacuating pipe. 1. A plasma processing apparatus comprising:a processing chamber in which a processing target object is subjected to plasma processing;an evacuating pipe for reducing a pressure in the processing chamber having an atmospheric pressure;a stage on which the processing target object is placed;a heat-transfer gas evacuating unit that evacuates, via the evacuating pipe, a heat-transfer gas which is supplied to a back surface of the processing target object in order to transfer heat of the stage subjected to temperature adjustment;a first gas supplying mechanism that supplies a first gas for purging a portion of the evacuating pipe which is exposed to atmosphere; anda control device configured to control the first gas supplying mechanism such that the first gas is continuously supplied to the portion of the evacuating pipe which is exposed to the atmosphere, during a time from after venting the processing chamber to the atmosphere to a start of evacuation of the processing chamber in a case where the processing chamber is open to the atmosphere.2. The plasma processing apparatus according ...

Подробнее
14-03-2019 дата публикации

Plasma processing device

Номер: US20190080935A1
Автор: Takashi Ohashi
Принадлежит: Toshiba Memory Corp

A plasma processing device includes a stage, a cluster generation machine, and a plasma generation machine. The stage is disposed in a processing chamber. The stage may support a substrate. The cluster generation machine generates cluster gas by clustering process gas. The plasma generation machine generates plasma of at least one of the process gas and the cluster gas in the processing chamber. The plasma generation machine processes the substrate using the generated plasma.

Подробнее
23-03-2017 дата публикации

METHOD AND SYSTEM FOR CONTROLLING ION FLUX IN AN RF PLASMA

Номер: US20170084428A1
Принадлежит: ECOLE POLYTECHNIQUE

A method for generating an ion flow asymmetry in a capactively coupled radiofrequency plasma reactor comprising a step for energization of a first electrode by a radiofrequency voltage waveform. 1. A method for generating an ion flow asymmetry in a capacitively coupled radiofrequency plasma reactor , the plasma reactor containing one or several mixed gases under a total pressure P , and including a first electrode , supplied with radiofrequency energy , and a second electrode , wherein the method comprises:a step for energizing the first electrode with a periodic radiofrequency voltage waveform V(t) having a lower frequency corresponding to a fundamental harmonic of a fundamental period T of the waveform;wherein{'sub': 'SAW', 'the voltage waveform standardized in time and in amplitude over an interval [−1; 1], f(u) is an approximate waveform with a degree of approximation of a standardized sawtooth radiofrequency function f(u), u being the standardized time defined as a ratio of time t over the fundamental period T, and'}the standardized sawtooth radiofrequency function has a periodic pattern of the fundamental period T consisting in a first linear portion of a time development of the standardized voltage with a first slope p1, immediately followed by a second linear portion of the time development of the standardized voltage with a second slope p2, the first and second slopes having different amplitudes and opposite signs, a minimum and a maximum of the pattern having the same unit amplitude; andthe degree of approximation of the approximate waveform and the total pressure P of the gas or the mixed gases are sufficiently high so as to cause appearance of an asymmetry of the ion flows between the ion flow at the first electrode and the ion flow at the second electrode.2. The method of claim 1 , wherein the smallest absolute value from among an absolute value of the first slope p1 and an absolute value of the second slope p2 is less than or equal to 3.8 claim 1 , and ...

Подробнее
25-03-2021 дата публикации

Processing System For Small Substrates

Номер: US20210087671A1
Принадлежит:

A substrate processing system that is optimized for the production of smaller volumes of semiconductor components is disclosed. To minimize cost, the substrate processing system is designed to accommodate smaller substrates, such as substrates having a diameter of roughly one inch. Additionally, the components of the substrate processing system are designed to be interchangeable, thereby further reducing cost and complexity. In certain embodiments, the substrate processing system comprises a lower assembly, which may be used with one or more upper assemblies. The lower assembly is used to support the substrate and provide many of the fluid, electrical, and sensor connections, while the upper assemblies include the apparatus required to perform a certain fabrication function. For example, different upper assemblies may exist for deposition, etching, sputtering and ion implantation. 1. A system for processing of micro- and nanoscale devices , comprising: a flange;', 'a vacuum feedthrough;', 'a chuck assembly, adapted to hold a substrate and adapted to connect to the vacuum feedthrough; and, 'a lower assembly, comprising a hollow tube;', 'a chamber head comprising a top flange sealed to a first end of the hollow tube; and', 'a bottom flange, having a central opening, disposed at a second end of the hollow tube;', 'wherein each of the plurality of upper assemblies comprises a different processing apparatus,', 'wherein the bottom flange of any one of the plurality of upper assemblies may be connected to the flange of the lower assembly to provide an air-tight seal, so as to form a respective processing chamber surrounding the substrate, so that the processing apparatus associated with the connected upper assembly may be used to process the substrate., 'a plurality of upper assemblies, each adapted to connect to the first predefined interface, wherein each of the plurality of upper assemblies comprises2. The system of claim 1 , wherein fasteners claim 1 , disposed outside ...

Подробнее
12-03-2020 дата публикации

Lift pin assembly, an electrostatic chuck and a processing apparatus where the electrostatic chuck is located

Номер: US20200083087A1

Disclosed are a lift pin assembly, an electrostatic chuck with the lift pin assembly, and a processing apparatus where the electrostatic chuck is located. The lift pin assembly comprises: a lift pin, a lift pin receiving channel connected to a pressure control device, one end of the lift pin receiving channel proximal to a wafer being provided with a sealing ring, an upper surface of the sealing ring being in contact with a back face of the wafer during processing to avoid a gas at the back face of the wafer from entering the lift pin receiving channel, thereby enabling the pressure control device to independently control the pressure in the lift pin receiving channel.

Подробнее
25-03-2021 дата публикации

PROCESSING METHOD OF WORKPIECE

Номер: US20210090926A1
Принадлежит:

A processing method of a workpiece in which the workpiece with a plate shape is processed by using a vacuum chamber is provided. In the processing method of a workpiece, a negative pressure is caused to act on a holding surface from a suction path, and suction holding of the workpiece is executed by a chuck table. Then, the gas pressure in the vacuum chamber is reduced to at least 50 Pa and at most 5000 Pa. Then, while the suction holding of the workpiece is executed, an inert gas in a plasma state is supplied to the workpiece, and voltages are applied to electrodes disposed in the chuck table to execute electrostatic adhesion of the workpiece by the chuck table. Then, a processing gas in a plasma state is supplied, and dry etching of the workpiece is executed. 1. A processing method of a workpiece in which the workpiece with a plate shape is processed by a gas in a plasma state by using a vacuum chamber that partitions inside from atmospheric air , the processing method comprising:a carrying-in step of carrying in the workpiece from a door for carrying-in/out on the vacuum chamber and placing the workpiece over a holding surface of a chuck table in the vacuum chamber;a vacuum holding step of causing a negative pressure to act from a suction path that connects to the holding surface of the chuck table and executing suction holding of the workpiece by the chuck table, after execution of the carrying-in step;a pressure reduction step of closing the door and evacuating an atmosphere in the vacuum chamber to reduce a gas pressure in the vacuum chamber to at least 50 Pa and at most 5000 Pa at which low-pressure plasma is allowed to be realized and the suction holding of the workpiece by the chuck table is possible, after execution of the vacuum holding step;an electrostatic adhesion step of, while sucking the workpiece by the chuck table, supplying an inert gas in a plasma state to the workpiece and applying voltages to electrodes disposed in the chuck table, to execute ...

Подробнее
21-03-2019 дата публикации

HIGH-K DIELECTRIC LAYER, FABRICATING METHOD THEREOF AND MULTI-FUNCTION EQUIPMENT IMPLEMENTING SUCH FABRICATING METHOD

Номер: US20190088467A1
Принадлежит:

The invention discloses a high-k dielectric layer, a fabricating method thereof and a multi-function equipment implementing such fabricating method. The high-k dielectric layer of the invention includes M atomic-layer-deposited films formed in sequence on a material layer of a semiconductor device, where M is an integer larger than 1. The material layer can be a semiconductor layer, a metal layer or another dielectric layer. Each atomic-layer-deposited film is formed of an oxide and formed by an atomic layer deposition (ALD) process. N assigned films among the M atomic-layer-deposited films are bombarded by a non-reactive gas plasma during or after the cycles of the ALD process, where N is a natural number and less than or equal to M. 1. A high-k dielectric layer formed in a semiconductor device , comprising:M atomic-layer-deposited films, formed in sequence on a material layer of the semiconductor device, each atomic-layer-deposited film being formed of an oxide and formed by an atomic layer deposition (ALD) process, M being an integer larger than 1, wherein N assigned films among the M atomic-layer-deposited films are bombarded by a non-reactive gas plasma during or after cycles of the ALD process to result in an annealing effect such that a defect density of the N assigned films is reduced, N is a natural number and less than or equal to M.2. The high-k dielectric layer of claim 1 , wherein the oxide is one selected from the group consisting of HfO claim 1 , ZrO claim 1 , AlO claim 1 , LaO claim 1 , SiO claim 1 , TiO claim 1 , and YO.3. The high-k dielectric layer of claim 1 , wherein said high-k dielectric layer has a leakage current density less than 1×10A/cmwhen a capacitance equivalent thickness of said high-k dielectric layer is less than 2 nm.4. A method of fabricating a high-k dielectric layer in a semiconductor device claim 1 , comprising the steps of:by an ALD process, forming M atomic-layer-deposited films of an oxide in sequence on a material layer of ...

Подробнее
05-05-2022 дата публикации

Method of Manufacturing Semiconductor Device, Substrate Processing Method, Non-transitory Computer-readable Recording Medium and Substrate Processing Apparatus

Номер: US20220139675A1
Принадлежит:

The present disclosure provides a method of manufacturing a semiconductor device, including: (a) loading a substrate with a film formed on a surface thereof into a process vessel; (b) generating a reactive species containing oxygen and a reactive species of a rare gas by converting a mixed gas containing the rare gas and an oxygen-containing gas into a plasma state; and (c) oxidizing the film by supplying the reactive species containing oxygen to the substrate together with the reactive species of the rare gas. In (b), a partial pressure ratio P/P, which is a ratio of a partial pressure Pof the rare gas in the process vessel to a total pressure Pof the mixed gas in the process vessel, is set to a value of 0.4 or less.

Подробнее
28-03-2019 дата публикации

SYSTEM AND METHOD FOR PLASMA IGNITION

Номер: US20190096633A1
Автор: Linn Craig, Pankratz Josh
Принадлежит:

This disclosure is generally directed to controlling energy distribution to a load, especially when anomalous events are detected. Benefits of the present disclosure include minimizing the length of a discharge event, mitigating the effects of an electrical discharge, and to improvements in inducing the ignition of a plasma. Methods and systems consistent with the present disclosure improve the control of operating conditions within a chamber and improve the ability for more rapidly initiating plasma ignition in a chamber. 1. A method for igniting plasma in a direct current (DC) plasma system , the method comprising:providing an applied voltage to a plasma chamber of a direct current (DC) powered plasma system at a first voltage level, the first voltage level different from an operating direct current (DC) voltage of the plasma chamber;changing the applied voltage provided to the plasma chamber to a second voltage level, wherein the second voltage is different from the operating voltage of the plasma chamber and the operating voltage is between the first voltage level and the second voltage level; andreturning the applied voltage to the plasma chamber to the first voltage level, wherein the voltage applied to the plasma chamber is changed between the first voltage and the second voltage until a plasma is ignited in the plasma chamber.2. The method of claim 1 , wherein the first voltage level corresponds to a negative voltage level and the second voltage level corresponds to a voltage level that is more negative than the negative voltage level.3. The method of claim 1 , wherein:the plasma chamber is electrically connected to an upper rail and a lower rail that connect, respectively to a first and a second output of a power supply, the upper rail at the first voltage level;the second voltage level is provided by closing a first switch biasing a first diode to conduct, the conduction of the first diode forming an electrical conductive path between the lower rail and ...

Подробнее
26-03-2020 дата публикации

CLEANING METHOD

Номер: US20200094297A1
Принадлежит:

The present invention provides a method for cleaning a component for use in an ultra-high vacuum. The method may comprise the steps of placing the component to be cleaned in a vacuum furnace chamber; plasma cleaning the component at a temperature of greater than about 80° C.; and evacuating the chamber to a pressure of less than about 10E-5 mbar. Apparatus for performing such methods and kits comprising said components are also provided. 1. A method for cleaning a component for use in an ultra-high vacuum , the method comprising the steps of:a) placing the component to be cleaned in a vacuum furnace chamber;b) plasma cleaning the component at a temperature greater than about 80° C., preferably from about 80° C. to about 125° C.; andc) evacuating the vacuum furnace chamber to a pressure of less than about 10E-5 mbar, preferably less than about 10E-06 mbar.2. The method according to claim 1 , further comprising the step of evacuating the vacuum furnace chamber to a pressure of less than about 10E-5 mbar claim 1 , preferably less than about 10E-6 mbar claim 1 , after step a) but before step b).3. The method according to claim 1 , further comprising the step of repeating steps b) to c) claim 1 , preferably from about 2 to about 12 times.4. The method according to wherein the gas for forming the plasma is selected from the group consisting of oxygen claim 1 , air claim 1 , nitrogen claim 1 , helium and argon.5. The method according to wherein the gas is oxygen.6. The method according to wherein the gas for forming the plasma is introduced to a pressure of from about 0.1E+00 mbar to about 1E+00 mbar claim 1 , and/or at flow rate of less than about 50 sccm claim 1 , preferably from about 5 sccm to about 20 sccm.7. The method according to wherein the vacuum furnace chamber has a volume of greater than 200 litres claim 1 , preferably from about 200 litres to about 1000 litres claim 1 , preferably from about 250 litres to about 350 litres.8. The method according to wherein ...

Подробнее
28-03-2019 дата публикации

Methods for high precision plasma etching of substrates

Номер: US20190096694A1
Принадлежит: Tokyo Electron Ltd

A plasma processing system and methods for high precision etching of microelectronic substrates. The system may include a combination of microwave and radio frequency (RF) power sources that may generate plasma conditions to remove monolayer(s). The system may generation a first plasma to form a thin adsorption layer on the surface of the microelectronic substrate. The adsorbed layer may be removed when the system transition to a second plasma. The differences between the first and second plasma may be include the ion energy proximate to the substrate. For example, the first plasma may have an ion energy of less than 20 eV and the second plasma may have an ion energy greater than 20 eV.

Подробнее
04-04-2019 дата публикации

SYSTEM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20190103293A1
Принадлежит:

A system for manufacturing a semiconductor device includes a main system controller, a sub-system controller, and a process module. The main system controller provides a process recipe for manufacturing the semiconductor device and step identification information indicating one of a plurality of operations in the process recipe. The sub-system controller sets a process control variable based on the process recipe and the step identification information received from the main system controller. The process module perform the process recipe based on an input value determined by the process control variable 1. A system for manufacturing a semiconductor device , comprising:a main system controller to provide a process recipe for manufacturing a semiconductor device and identification information indicating one of a plurality of operations in the process recipe;a sub-system controller to set a process control variable based on the process recipe and the identification information received from the main system controller; anda process module to perform the process recipe based on an input value determined by the process control variable, wherein the sub-system controller includes:a memory to store information indicative of a history of process control variables determined in the operations;a basic controller to generate a basic process control variable based on a current value of the input value measured using a sensor and process control variables of an immediately previous operation; andan iterative learning controller to generate a learning process control variable based on the basic process control variable and the information indicative of the history of the process control variables stored in the memory, wherein process control variables of a current operation are to be determined based on basic process control variables and learning process control variables.2. The system as claimed in claim 1 , wherein:the sub-system controller includes an impedance matcher, ...

Подробнее
20-04-2017 дата публикации

PROTEIN FILM PRODUCTION METHOD

Номер: US20170107342A1
Принадлежит:

This technique provides a protein film production method which can form a protein film, with denaturation of protein being prevented. The protein film production method includes mixing a protein with an aqueous solvent, to thereby form an aqueous protein solution PAS, and treating the aqueous protein solution PAS with plasma generated by a plasma generator The plasma generated by the plasma generator has a plasma density of 1×10cmto 1×10cm. 1. A protein film production method , comprising:mixing a protein with an aqueous solvent, to thereby form an aqueous protein solution, and treating the aqueous protein solution with plasma generated by a plasma generator.2. A protein film production method according to claim 1 , wherein the plasma generated by the plasma generator has a plasma density of 1×10cmto 1×10cm.3. A protein film production method according to claim 1 , wherein the plasma generator comprises:a tubular first electrode,a second electrode, andan insulating tube, wherein the first electrode comprises a first end disposed inside the insulating tube;the second electrode is disposed outside the insulating tube;The first end of the first electrode comprises a protruded part; andthe protruded part comprises a microhollow.4. A protein film production method according to claim 3 , wherein:the plasma generator comprises a third electrode disposed outside the insulating tube, andthe third electrode is disposed at a position from the insulating tube more distal to the second electrode,5. A protein film production method according to claim 4 , wherein:each of the second electrode and the third electrode of the plasma generator is a tubular electrode, andthe second electrode is disposed inside the tube of the third electrode.6. A protein film production method according to claim 3 , wherein claim 3 , in the plasma generator claim 3 ,the first electrode comprises, at a second end side, a gas-supplying part for supplying a electric discharge gas, andthe gas-supplying part ...

Подробнее
02-04-2020 дата публикации

Plasma processing apparatus

Номер: US20200105506A1
Автор: Hidehito Azumano
Принадлежит: Shibaura Mechatronics Corp

According to one embodiment, a plasma processing apparatus includes a chamber being possible to maintain an atmosphere more depressurized than atmospheric pressure, a plasma generator generating a plasma inside the chamber, a gas supplier supplying a gas into the chamber, a placement part positioned below a plasma generation region and placing a processed product thereon, a depressurization part depressurizing the chamber, and a power supply electrically connected to an electrode provided on the placement part via a bus bar. The bus bar is formed of an alloy of copper and gold. Gold is more included than copper on a surface side of the bus bar. The bus bar includes a first layer formed of copper and a second layer covering the first layer and formed of an alloy of copper and gold. Gold is more included than copper on a surface side of the second layer.

Подробнее
02-04-2020 дата публикации

METHOD AND DEVICE FOR FORMING A LAYER ON A SEMICONDUCTOR SUBSTRATE, AND SEMICONDUCTOR SUBSTRATE

Номер: US20200105516A1
Принадлежит:

A method of forming a layer on a plurality of semiconductor substrates is described, wherein the semiconductor substrates are accommodated in a wafer boat such that the semiconductor substrates are arranged in opposed pairs having their surfaces to be coated facing each other, and such that an alternating voltage can be applied between the semiconductor substrates of each pair to generate a plasma between the wafers of a pair, and wherein the wafer boat with the plurality of semiconductor substrates is accommodated in a process chamber. The method comprises the following steps: heating the process chamber to a predetermined temperature and creating a predetermined vacuum in the process chamber; introducing a first precursor gas into the process chamber at the predetermined temperature to create a deposition of a component of the first precursor gas on the surface of the substrate, wherein the deposition is self-limiting and in substance produces a single atomic layer of the deposited component; introducing a second precursor gas into the process chamber at the predetermined temperature to effect reaction with the previously deposited components and to thereby cause the deposition of a component of the second precursor gas on the surface of the substrate, wherein the reaction and thus the deposition is self-limiting and produces one atomic layer of the deposited component. The successive cycles of introducing first and second precursor gases is repeated until a first layer with a predetermined layer thickness or a predetermined number of cycles is reached. Then at least two different precursor gases are introduced into the process chamber and a plasma is generated from the mixture of the precursor gases between the adjacent semiconductor substrates of each pair to deposit a second layer on the first layer, the second layer having substantially the same composition as the first layer. 1. A method for forming a layer on a plurality of semiconductor substrates , wherein ...

Подробнее
12-05-2016 дата публикации

SYSTEM AND METHOD FOR REDUCING GERMS BY MEANS OF PLASMA

Номер: US20160129142A1
Автор: Nettesheim Stefan
Принадлежит:

Disclosed is a system for reducing germs by means of plasma. To this end, a piezoelectric transformer is associated with a dielectric film. The peripheral edge of the dielectric film encloses an area to be sterilized, a cavity being formed thereby. A high-voltage end of the piezoelectric transformer is facing a side of the dielectric film facing away from the cavity. The plasma is ignited within the cavity. 1. A system for reducing germs by means of plasma comprising:a housing;a piezoelectric transformer arranged in said housing;an opening form in said housing, wherein a high-voltage end of said piezoelectric transformer points to said opening form;a dielectric film with a peripheral edge forms a cavity, wherein said dielectric film encloses or surrounds an area to be sterilized; andsaid high-voltage end of said piezoelectric transformer is facing toward a side of the dielectric film which faces away from said cavity, and a plasma is ignitable within said cavity.2. The system of claim 1 , wherein a conductive layer is applied on a portion of said side of said dielectric film facing said piezoelectric transformer.3. The of claim 2 , wherein said high-voltage end of said piezoelectric transformer is in mechanical and form-locked contact with said conductive layer.4. The system of claim 1 , wherein a conductive layer is applied on a portion of a second side of said dielectric film facing away from said piezoelectric transformer.5. The system of claim 4 , wherein said high-voltage end of said piezoelectric transformer is in mechanical and form-locked contact with said dielectric film.6. The system of claim 1 , wherein said high-voltage end of said piezoelectric transformer is firmly connected with a planar electrode which is composed of dielectric and electrically conductive material.7. The system of claim 1 , wherein said piezoelectric transformer and a printed circuit board with a control circuit for exciting said piezoelectric transformer are arranged within said ...

Подробнее
16-04-2020 дата публикации

SYSTEMS AND METHODS FOR IMPROVED SEMICONDUCTOR ETCHING AND COMPONENT PROTECTION

Номер: US20200118845A1
Принадлежит: Applied Materials, Inc.

Semiconductor systems and methods may include a semiconductor processing chamber having a gas box defining an access to the semiconductor processing chamber. The chamber may include a spacer characterized by a first surface with which the gas box is coupled, and the spacer may define a recessed ledge on an interior portion of the first surface. The chamber may include a support bracket seated on the recessed ledge that extends along a second surface of the spacer. The chamber may also include a gas distribution plate seated on the support bracket. 1. A semiconductor processing system comprising: a first gasbox plate characterized by a first surface and a second surface opposite the first surface, and', 'a second gasbox plate coupled with the first gasbox plate along the second surface of the first gasbox plate, wherein the second gasbox plate is characterized by a first surface and a second surface opposite the first surface, wherein the second gasbox plate defines a plurality of channels within the first surface of the second gasbox plate, and wherein the second surface of the first gasbox plate and the first surface of the second gasbox plate define a flow path through the plurality of channels., 'a gasbox comprising2. The semiconductor processing system of claim 1 , wherein the gasbox defines a central aperture configured to receive a delivery tube.3. The semiconductor processing system of claim 1 , wherein the plurality of channels are in fluid communication with one another to define a compound channel extending radially outward along the gasbox.4. The semiconductor processing system of claim 1 , wherein the first gasbox plate defines a port extending through the first gasbox plate.5. The semiconductor processing system of claim 4 , wherein the port provides fluid access to the plurality of channels defined in the first surface of the second gasbox plate.6. The semiconductor processing system of claim 4 , wherein the port is configured to provide fluid access ...

Подробнее
10-05-2018 дата публикации

METHOD AND SYSTEM FOR IN SITU FORMATION OF GAS-PHASE COMPOUNDS

Номер: US20180127876A1
Принадлежит:

A system and method for providing intermediate reactive species to a reaction chamber are disclosed. The system includes an intermediate reactive species formation chamber fluidly coupled to the reaction chamber to provide intermediate reactive species to the reaction chamber. A pressure control device can be used to control an operating pressure of the intermediate reactive species formation chamber, and a heater can be used to heat the intermediate reactive species formation chamber to a desired temperature. 1. A method of forming intermediate reactive species for use in a reaction chamber of a reactor , the method comprising the steps of:providing a first gas to an intermediate reactive species formation chamber;controlling a pressure within the intermediate reactive species formation chamber; andforming intermediate reactive species within the intermediate reactive species formation chamber.2. The method of forming intermediate reactive species for use in a reaction chamber of a reactor of claim 1 , further comprising providing a remote plasma unit coupled to the intermediate reactive species formation chamber.3. The method of forming intermediate reactive species for use in a reaction chamber of a reactor of claim 2 , further comprising the steps of:providing a second gas to the remote plasma unit; andproviding an excited species to the intermediate reactive species formation chamber.4. The method of forming intermediate reactive species for use in a reaction chamber of a reactor of claim 2 , wherein the step of providing a remote plasma unit comprises providing a plasma unit selected from the group consisting of an inductively coupled plasma unit and a microwave unit.5. The method of forming intermediate reactive species for use in a reaction chamber of a reactor of claim 1 , wherein the step of controlling a pressure within the intermediate reactive species formation chamber comprises using a closed-loop pressure controller.6. The method of forming ...

Подробнее
02-05-2019 дата публикации

DRAWING APPARATUS AND CONTROL METHOD THEREOF

Номер: US20190127847A1
Принадлежит: NuFlare Technology, Inc.

A drawing apparatus includes: a drawing part; a cleaning-gas generator; a first valve between the cleaning-gas generator and the drawing part and adjusting a supply amount of gas to the drawing part; a first pressure gauge measuring a pressure in the drawing part; a compensation-gas introducing part introducing compensation-gas to be supplied between the cleaning-gas generator and the first valve; a second valve between the compensation-gas introducing part and the first valve and adjusting a supply amount of the compensation-gas; 1. A drawing apparatus comprising:a drawing part configured to irradiate a charged particle beam to a processing target and draw a predetermined pattern on the processing target;a cleaning gas generator configured to generate cleaning gas to be supplied to the drawing part;a first valve provided between the cleaning gas generator and the drawing part and configured to adjust a supply amount of gas to the drawing part;a first pressure gauge configured to measure a pressure in the drawing part;a compensation-gas introducing part configured to introduce compensation gas to be supplied between the cleaning gas generator and the first valve;a second valve provided between the compensation-gas introducing part and the first valve and configured to adjust a supply amount of the compensation gas; anda valve controller configured to control the first and second valves, whereinthe valve controller controls the first valve to supply the cleaning gas at a predetermined flow rate to the drawing part and controls the second valve to cause a pressure in the drawing part to be a predetermined pressure when the first pressure gauge detects a pressure reduction due to a reduction in a supply flow rate of the cleaning gas.2. The apparatus of claim 1 , whereinthe cleaning gas is ozone gas, andthe compensation-gas is inert gas or oxygen gas.3. The apparatus of claim 1 , further comprising a flowmeter configured to measure a flow rate of the cleaning gas ...

Подробнее
03-06-2021 дата публикации

FILM FORMING METHOD AND PROCESSING APPARATUS

Номер: US20210164103A1
Принадлежит:

There is provided a film forming method of forming a carbon-containing film by a microwave plasma from a microwave source, the film forming method including: a dummy step of performing a dummy process by generating plasma of a first carbon-containing gas within a processing container; a placement step of placing a substrate on a stage within the processing container; and a film forming step of forming the carbon-containing film on the substrate using plasma of a second carbon-containing gas. 1. A film forming method of forming a carbon-containing film by a microwave plasma from a microwave source , the film forming method comprising:a dummy step of performing a dummy process by generating plasma of a first carbon-containing gas within a processing container;a placement step of placing a substrate on a stage within the processing container; anda film forming step of forming the carbon-containing film on the substrate using plasma of a second carbon-containing gas.2. The film forming method of claim 1 , further comprising: a cleaning step of cleaning an interior of the processing container before the dummy step and after the film forming step.3. The film forming method of claim 2 , wherein the cleaning step is performed in a state in which a dummy substrate is placed on the stage.4. The film forming method of claim 3 , wherein the dummy step comprises:performing a plasma processing using a hydrogen-containing gas at a first pressure;generating plasma of a hydrogen-and-argon-containing gas at the first pressure; andgenerating plasma of the first carbon-containing gas by reducing an internal pressure of the processing container to a second pressure lower than the first pressure and starting to supply the first carbon-containing gas.5. The film forming method of claim 4 , wherein the film forming step comprises:performing an annealing using a hydrogen-containing gas at a first pressure;generating plasma of an argon-containing gas at the first pressure; andgenerating ...

Подробнее
02-05-2019 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20190131136A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes a baffle plate, a shutter, and a driving device. The baffle plate has a cylindrical shape, and has a plurality of through holes formed in a sidewall thereof. The shutter has a cylindrical shape and is provided around the baffle plate to be movable in an axial direction of the baffle plate along the sidewall of the baffle plate. The driving device moves the shutter along the sidewall of the baffle plate. The plurality of through holes are disposed in the sidewall of the baffle plate so that synthesized conductance of the through holes, which are not covered by the shutter, is increased with respect to a movement amount of the shutter as the shutter is moved downward. 1. A plasma processing apparatus that performs plasma processing on a workpiece , the plasma processing apparatus comprising:a processing container;a placement table provided in the processing container and configure to place the workpiece thereon;a cylindrical baffle plate having a plurality of through holes formed in a sidewall thereof, and defining a processing space above the placement table and a gas discharge space around the placement table;a cylindrical shutter having an inner circumferential surface having a diameter larger than a diameter of an outer circumferential surface of the baffle plate, is the shutter being provided around the baffle plate to be movable in an axial direction of the baffle plate along the sidewall of the baffle plate; anda driving device configured to change synthesized conductance made by the plurality of through holes, which are not covered by the shutter, by moving the shutter along the sidewall of the baffle plate,wherein the plurality of through holes are disposed in the sidewall of the baffle plate such that a change amount of the synthesized conductance of the through holes, which are not covered by the shutter, is increased with respect to a movement amount of the shutter as the shutter is moved downward.3. The plasma ...

Подробнее
03-06-2021 дата публикации

ELECTROSTATICALLY CLAMPED EDGE RING

Номер: US20210166965A1
Принадлежит:

A method for electrostatically clamping an edge ring in a plasma processing chamber with an electrostatic ring clamp with at least one ring backside temperature channel for providing a flow of gas to the edge ring is provided. A vacuum is provided to the at least one ring backside temperature channel Pressure in the backside temperature channel is measured. An electrostatic ring clamping voltage is provided when the pressure in the backside temperature channel reaches a threshold maximum pressure. The vacuum to the backside temperature channel is discontinued. Pressure in the backside temperature channel is measured. If pressure in the backside temperature channel rises faster than a threshold rate, then sealing failure is indicated. If pressure in the backside temperature channel does not rise faster than the threshold rate, a plasma process is continued, using the backside temperature channel to regulate a temperature of the edge ring. 1. A method for eletrostatically clamping an edge ring in a plasma processing chamber with an electrostatic ring clamp with at least one ring backside temperature channel for providing a flow of gas to the edge ring to regulate the temperature; comprising:providing a vacuum to the at least one ring backside temperature channel;measuring pressure in the at least one ring backside temperature channel;providing an electrostatic ring clamping voltage when the pressure in the at least one ring backside temperature channel reaches a threshold maximum pressure;discontinuing the vacuum to the at least one ring backside temperature channel;measuring pressure in the at least one ring backside temperature channel;if pressure in the at least one ring backside temperature channel rises faster than a threshold rate, indicating sealing failure; andif pressure in the at least one ring backside temperature channel does not rise faster than the threshold rate, providing a plasma process, using the at least one ring backside temperature channel to ...

Подробнее
18-05-2017 дата публикации

PNEUMATIC EXHAUST SYSTEM

Номер: US20170140901A1
Автор: Edem Jacqulyn
Принадлежит:

An apparatus, for use in a processing chamber is provided. A pneumatic cylinder is provided. A manifold with a supply and an exhaust is controllably connected to the pneumatic cylinder. A dry gas supply is in fluid connection with and provides positive pressure to the exhaust of the manifold. 1. An apparatus , for use in a processing chamber , comprising:a pneumatic cylinder;a manifold with a supply and an exhaust controllably connected to the pneumatic cylinder; anda dry gas supply in fluid connection with and providing positive pressure to the exhaust of the manifold.2. The apparatus claim 1 , as recited in claim 1 , wherein the fluid connection between the dry gas supply and the exhaust of the manifold claim 1 , comprises:an exhaust regulator in fluid connection with the dry gas supply; anda reservoir connected between the exhaust regulator and the exhaust of the manifold.3. The apparatus claim 2 , as recited in claim 2 , further comprising a supply regulator connected between the dry gas supply and the supply of the manifold in parallel to the exhaust regulator.4. The apparatus claim 3 , as recited in claim 3 , further comprising a bowl in fluid connection with the dry gas supply in parallel with exhaust regulator and supply regulator.5. The apparatus claim 4 , as recited in claim 4 , wherein the dry gas supply is a dry air supply or an Nsupply.6. The apparatus claim 5 , as recited in claim 5 , wherein the pneumatic cylinder is part of a pin lifter in a plasma processing chamber.7. The apparatus claim 2 , as recited in claim 2 , wherein the reservoir has a volume and the pneumatic cylinder pulls in a volume claim 2 , wherein the volume of the reservoir is at least three times the volume that the pneumatic cylinder pulls in.8. The apparatus claim 1 , as recited in claim 1 , wherein the dry gas supply is a dry air supply or an Nsupply.9. The apparatus claim 1 , as recited in claim 1 , wherein the pneumatic cylinder is part of a pin lifter in a plasma processing ...

Подробнее
24-05-2018 дата публикации

PLACING UNIT AND PLASMA PROCESSING APPARATUS

Номер: US20180144945A1
Принадлежит: TOKYO ELECTRON LIMITED

The present disclosure relates to a placing unit including: a base to which a high-frequency power is applied; an electrostatic chuck provided on the base and including a placing region configured to place a workpiece and an outer peripheral region configured to surround the placing region; a heater provided in the placing region; a wiring layer connected to the heater and extending to an inside of the outer peripheral region; a power supply terminal connected to a contact portion of the wiring layer in the outer peripheral region; and a conductive layer formed in the outer peripheral region or in other regions along a thickness direction of the outer peripheral region so as to overlap with the power supply terminal when viewed from thickness direction of the outer peripheral region. 1. A placing unit comprising:a base to which a high-frequency power is applied;an electrostatic chuck provided on the base and including a placing region configured to place a workpiece and an outer peripheral region configured to surround the placing region;a heater provided in the placing region;a wiring layer connected to the heater and extending to an inside of the outer peripheral region;a power supply terminal connected to a contact portion of the wiring layer in the outer peripheral region; anda conductive layer formed in the outer peripheral region or in other regions along a thickness direction of the outer peripheral region so as to overlap with the power supply terminal when viewed from the thickness direction of the outer peripheral region.2. The placing unit of claim 1 , further comprising: a focus ring provided on the outer peripheral region claim 1 ,wherein the conductive layer is formed in the focus ring along the thickness direction of the outer peripheral region or between the focus ring and the outer peripheral region so as to overlap with the power supply terminal when viewed from the thickness direction of the outer peripheral region.3. The placing unit of claim 2 , ...

Подробнее
16-05-2019 дата публикации

Method and Apparatus for Anisotropic Pattern Etching and Treatment

Номер: US20190148109A1
Принадлежит:

Methods and apparatuses for providing an anisotropic ion beam for etching and treatment of substrate are discussed. In one embodiment, a system for processing a substrate includes a chamber, a chuck assembly, an ion source, and a grid system. The ion source includes grid system interfaces both the chamber and the ion source and includes a plurality of holes through which ions are extracted from the ion source to form an ion beam. The size of the plurality holes varies along an axis such that the ion density of the ion beam also varies along the axis. The density of the plurality of holes varies along an axis such that the ion density of the ion beam also varies along the axis. In some embodiments, the energies of a beamlet or multiple beamlets may be individual defined to adjust beam energy density. 1. A system for processing a substrate , comprising:a chamber; a substrate support;', 'a tilt assembly for tilting the substrate support; and', 'a rotation assembly for rotating the substrate support;, 'a chuck assembly disposed in the chamber including,'}an ion source coupled to the chamber and directionally oriented toward the substrate support of the chuck assembly, wherein the ion source is configured to generate ions when a plasma is struck; anda grid system that interfaces with the chamber on one side and with the ion source on another side, the grid system includes an array of holes for extracting ions from the ion source and for forming an ion beam, the grid system is oriented so the ion beam is directed into the chamber toward the substrate support, the array of holes of the grid system is defined vertically by a y-axis and horizontally by an x-axis, wherein the array of holes is defined by hole sizes that vary vertically in the y-axis such that the ion beam is caused to have an energy density gradient that is defined vertically in the y-axis.2. The system of claim 1 , wherein the array of holes is further defined by a hole size that does not vary horizontally ...

Подробнее
11-06-2015 дата публикации

APPARATUS FOR TREATING WAFERS USING SUPERCRITICAL FLUID

Номер: US20150162221A1
Принадлежит:

Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers. The wafer treatment method involves performing a predetermined treatment such as etching, cleaning or drying on wafers within only one of the plurality of chambers, followed by wafer treatment on the succeeding chamber, and thus allowing for sequential wafer treatment within each of the plurality of chambers. 127.-. (canceled)28. A wafer treatment apparatus comprising:a wafer treating unit including a chamber; anda fluid supply unit including a first supply that supplies a fluid in a supercritical state to the chamber,wherein the chamber comprises a pair of cases having an upper case and a lower case configured to mutually fit to define a treatment space for treating a wafer, and a holder for supporting the wafer within the treatment space, the holder being fixed to the upper case and being configured to support the wafer so that a front side of the wafer is faced with the loWer case with the treatment space between the front side of the wafer and the lower case.29. The apparatus of claim 28 , wherein the fluid comprises supercritical CO.30. The apparatus of claim 28 , further comprising a separator configured to separate a ...

Подробнее
17-06-2021 дата публикации

PLASMA PROCESSOR

Номер: US20210183630A1
Автор: JINDO Takahiro
Принадлежит: FUJI CORPORATION

A plasma processing apparatus, for releasing plasma-converted gas from plasma head for performing process, detects the pressures of a gas prior to application of a voltage to electrodes of the plasma head, the gas being supplied from gas supply section to a plasma head, and allow initiation of process by the plasma processing apparatus based on the detected pressures. 1. A plasma processing apparatus , configured to perform process using a plasma-converted gas , comprising:a plasma head configured to convert gas into plasma between electrodes to which a voltage is applied and discharge the plasma-converted gas from a nozzle;a gas supply device configured to supply gas including gas to be converted into plasma to the plasma head;a pressure detector configured to detect the pressure of the gas supplied from the gas supply device; anda controller configured to control the plasma processing apparatus,wherein the controller is configured to allow initiation of process based on a voltage post-application gas pressure, which is the gas pressure detected by the pressure detector after starting voltage application to the electrodes.2. The plasma processor of claim 1 , wherein the controller is configured to recognize whether the state of discharge between the electrodes is appropriate based on the voltage post-application gas pressure and allow initiation of process based on the recognition.3. The plasma processing apparatus of claim 1 , wherein the controller is configured to allow initiation of process on the condition that the voltage post-application gas pressure reaches a set pressure.4. The plasma processing apparatus of claim 1 , wherein the controller is configured to allow initiation of process based on the voltage post-application gas pressure after a set time has elapsed from the start of voltage application to the electrodes.5. The plasma processing apparatus of claim 1 , wherein the controller is further configured to initiate application of a voltage to the ...

Подробнее
09-06-2016 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20160163515A1
Принадлежит:

A plasma processing apparatus includes a dielectric member having communication holes through which an internal space communicates with a processing space; a first electrode and a second electrode; a first gas supply device which supplies a first processing gas; a first high frequency power supply which supplies a first high frequency power to at least one of the electrodes to generate a first plasma of the first processing gas; a depressurizing device which introduces the first processing gas and radicals in the first plasma; a second high frequency power supply which supplies a second high frequency power to generate a second plasma of the first processing gas and to attract ions; and a control unit which adjusts, by controlling a total amount of the first high frequency powers, the radical amount in the second plasma and adjusts, by controlling a ratio therebetween, the ion amount therein. 1. A plasma processing apparatus , comprising:a processing vessel having a processing space formed therein;a mounting table provided within the processing space, and configured to mount a processing target object thereon;a dielectric member, disposed at the processing vessel to close the processing space, having an internal space and communication holes through which the internal space is allowed to communicate with the processing space;a first electrode and a second electrode provided within the dielectric member and arranged to face each other with the internal space therebetween;a first gas supply device configured to supply a first processing gas for a plasma process into the internal space;a first high frequency power supply configured to supply a first high frequency power to at least one of the first electrode and the second electrode to generate a first plasma of the first processing gas supplied into the internal space;a depressurizing device configured to depressurize the processing space to introduce the first processing gas and radicals in the first plasma into the ...

Подробнее
07-06-2018 дата публикации

METHOD OF OPERATING SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICES

Номер: US20180158656A1
Принадлежит:

Some embodiments of the present disclosure provide a semiconductor manufacturing apparatus. The semiconductor manufacturing apparatus includes a chamber, a support and a liner. The chamber is configured for plasma processes and includes a chamber wall. The support is configured to hold a wafer in the chamber. The liner is configured to surround the support and includes a top side and a bottom side. The top side is detachably hung on the chamber wall. The bottom side includes gas passages for plasma particles to pass through the liner. 1. A semiconductor manufacturing apparatus , comprising:a chamber, configured for plasma processes, including a chamber wall;a support configured to hold a wafer in the chamber; and a top side detachably hung on the chamber wall, and', 'a bottom side including gas passages for plasma particles to pass through the liner., 'a liner, configured to surround the support, including2. The apparatus of further comprising a pump disposed under the chamber claim 1 , and an exhaust tube coupled to the pump claim 1 , the exhaust tube being a straight tube.3. The apparatus of claim 1 , wherein the gas passages include a bottom opening at a bottom surface of the bottom side.4. The apparatus of claim 3 , wherein the bottom opening has a cylindrical shape or cone shape.5. The apparatus of claim 1 , wherein the liner further includes a lateral side extending between the top side and the bottom side claim 1 , and a corner at a joint of the lateral side and the bottom side.6. The apparatus of claim 5 , wherein the gas passages include a corner opening at the corner.7. The apparatus of claim 6 , wherein the corner opening is configured to form a passage way tilted with respect to a bottom surface of the bottom side.8. A semiconductor manufacturing apparatus including a chamber designed to ignite plasma at a specified pressure claim 6 , the apparatus comprising:a radio frequency (RF) source, configured to generate an RF signal and supply electrical power ...

Подробнее
24-06-2021 дата публикации

RIBBON BEAM PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION SYSTEM FOR ANISOTROPIC DEPOSITION OF THIN FILMS

Номер: US20210189566A1
Принадлежит: Applied Materials, Inc.

A ribbon beam plasma enhanced chemical vapor deposition (PECVD) system comprising a process chamber containing a platen for supporting a substrate, and a plasma source disposed adjacent the process chamber and adapted to produce free radicals in a plasma chamber, the plasma chamber having an aperture associated therewith for allowing a beam of the free radicals to exit the plasma chamber, wherein the process chamber is maintained at a first pressure and the plasma chamber is maintained at a second pressure greater than the first pressure for driving the free radicals from the plasma chamber into the process chamber. 1. A ribbon beam plasma enhanced chemical vapor deposition (PECVD) system comprising:a process chamber containing a platen for supporting a substrate; anda plasma source disposed adjacent the process chamber and adapted to produce free radicals in a plasma chamber, the plasma chamber having an aperture associated therewith for allowing a beam of the free radicals to exit the plasma chamber;wherein the process chamber is maintained at a first pressure and the plasma chamber is maintained at a second pressure greater than the first pressure for driving the free radicals from the plasma chamber into the process chamber.2. The ribbon beam PECVD system of claim 1 , wherein the plasma chamber is rotatable for directing the beam toward the platen at an oblique angle relative to a surface of the platen.3. The ribbon beam PECVD system of claim 1 , wherein the process chamber is at least one of rotatable and movable for directing the beam toward the platen at an oblique angle relative to a surface of the platen.4. The ribbon beam PECVD system of claim 1 , wherein the aperture is defined by an elongated nozzle extending from the plasma chamber for collimating the beam.5. The ribbon beam PECVD system of claim 4 , wherein the nozzle includes a plurality of cross members disposed in a parallel claim 4 , spaced apart relationship across a width of the aperture.6. The ...

Подробнее
22-09-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220301834A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes a plasma processing chamber, a substrate support disposed in the plasma processing chamber, an annular baffle plate disposed so as to surround the substrate support, the annular baffle plate having a plurality of openings, a first annular plate disposed below the annular baffle plate, a second annular plate disposed below the first annular plate, the second annular plate having an annular overlapping portion vertically overlapping with a part of the first annular plate, a pressure detector configured to detect a pressure in the plasma processing chamber, and at least one actuator configured to vertically move at least one of the first and second annular plates so as to change a distance between the first annular plate and the second annular plate based on the detected pressure. 1. A plasma processing apparatus , comprising:a plasma processing chamber;a substrate support disposed in the plasma processing chamber;an annular baffle plate disposed so as to surround the substrate support, the annular baffle plate having a plurality of openings;a first annular plate disposed below the annular baffle plate;a second annular plate disposed below the first annular plate, the second annular plate having an annular overlapping portion vertically overlapping with a part of the first annular plate;a pressure detector configured to detect a pressure in the plasma processing chamber; andat least one actuator configured to vertically move at least one of the first and second annular plates so as to change a distance between the first annular plate and the second annular plate based on the detected pressure.2. The plasma processing apparatus according to claim 1 , wherein the plurality of openings are shielded by at least one of the first and second annular plates in a plan view.3. The plasma processing apparatus according to claim 1 , further comprising:a controller configured to control the at least one actuator such that the distance ...

Подробнее
23-05-2019 дата публикации

USING BIAS RF PULSING TO EFFECTIVELY CLEAN ELECTROSTATIC CHUCK (ESC)

Номер: US20190157052A1
Принадлежит:

Embodiments include a plasma processing method for cleaning polymer byproducts from interior surfaces of the plasma chamber. In an embodiment the plasma process may include processing a workpiece in a plasma processing chamber. Thereafter, the method may include removing the workpiece from the processing chamber. After the workpiece is removed, embodiments may include cleaning the plasma processing chamber with a cleaning process that includes a high pressure cleaning process, a first low pressure cleaning process, and a second low pressure cleaning process, wherein the second low pressure cleaning process includes applying a pulsed bias. 1. A plasma processing method , comprising:processing a workpiece in a plasma processing chamber;removing the workpiece from the processing chamber;cleaning the plasma processing chamber with a cleaning process that comprises a high pressure cleaning process, a first low pressure cleaning process, and a second low pressure cleaning process, wherein the second low pressure cleaning process includes applying a pulsed bias.2. The plasma processing method of claim 1 , wherein the second low pressure cleaning process utilizes a processing gas comprising an oxidizing source gas.3. The plasma processing method of claim 2 , wherein the oxidizing source gas includes one or more of O claim 2 , NO claim 2 , CO claim 2 , COS.4. The plasma processing method of claim 2 , wherein the process pressure of the second low pressure cleaning process includes a process pressure that is approximately 50 mT or less.5. The plasma processing method of claim 4 , wherein the process pressure of the second low pressure cleaning process includes a process pressure that is less than approximately 30 mT.6. The plasma processing method of claim 4 , wherein the second low pressure cleaning process includes a process pressure that is less than a processing pressure of the first low pressure cleaning process.7. The plasma processing method of claim 4 , wherein the ...

Подробнее
21-05-2020 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20200161090A1
Автор: Yoshikawa Jun
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes a processing container that defines a processing space, a gas supply unit provided on a sidewall of the processing container and configured to supply gas to the processing space, a dielectric member having a facing surface that faces the processing space, and an antenna provided on a surface opposite to the facing surface of the dielectric member and configured to radiate microwaves that turn the gas into plasma to the processing space through the dielectric member. The gas supply unit includes a transport hole transporting the gas to a position where the gas does not reach the processing space in the inside of the sidewall of the processing container and an injection hole communicated to the transport hole and configured to inject the gas transported to the position into the processing space. The injection hole has a diameter larger than that of the transport hole. 1. A plasma processing apparatus comprising:a processing container that defines a processing space;a gas supply path provided on a sidewall of the processing container, the gas supply path being configured to supply a gas to the processing space;a dielectric member having a facing surface that faces the processing space, andan antenna provided on a surface opposite to the facing surface of the dielectric member, the antenna being configured to radiate microwaves that turn the gas into plasma to the processing space through the dielectric member,wherein the gas supply path includes:a transport hole configured to transport the gas to a position where the gas does not reach the processing space in the inside of the sidewall of the processing container, andan injection hole communicated to the transport hole and configured to inject the gas transported to the position into the processing space, the injection hole having a diameter larger than that of the transport hole to introduce the gas without generating electric discharge in the injection hole or in the vicinity of ...

Подробнее
21-05-2020 дата публикации

SCALED LINER LAYER FOR ISOLATION STRUCTURE

Номер: US20200161171A1
Принадлежит:

Generally, examples described herein relate to methods and processing systems for forming isolation structures (e.g., shallow trench isolations (STIs)) between fins on a substrate. In an example, fins are formed on a substrate. A liner layer is conformally formed on and between the fins. Forming the liner layer includes conformally depositing a pre-liner layer on and between the fins, and densifying, using a plasma treatment, the pre-liner layer to form the liner layer. A dielectric material is formed on the liner layer. 1. A method for semiconductor processing , the method comprising:forming fins on a substrate; conformally depositing a pre-liner layer on and between the fins; and', 'densifying, using a plasma treatment, the pre-liner layer to form the liner layer; and, 'forming a liner layer conformally on and between the fins, forming the liner layer comprisingforming a dielectric material on the liner layer and between the fins.2. The method of claim 1 , wherein:forming the liner layer is performed in a single processing system;conformally depositing the pre-liner layer is performed in a first processing chamber of the single processing system;densifying the pre-liner layer is performed in a second processing chamber of the single processing system; andthe substrate is transferred from the first processing chamber to the second processing chamber through a transfer apparatus of the single processing system.3. The method of claim 2 , wherein the substrate is transferred from the first processing chamber to the second processing chamber without exposing the substrate to an atmospheric ambient environment.4. The method of claim 2 , wherein the substrate is transferred from the first processing chamber to the second processing chamber in a transfer environment in the transfer apparatus with a pressure less than or equal to 300 Torr without removing the transfer environment during the transferring.5. The method of claim 1 , wherein forming the liner layer does not ...

Подробнее
22-06-2017 дата публикации

Plasma processing apparatus and method therefor

Номер: US20170178871A1
Автор: Shogo Okita

A dry etching apparatus plasma processes a wafer held by a carrier having a frame and an holding sheet. The carrier is placed on an electrode unit of a stage provided in a chamber. The electrode unit is cooled by a cooling section configured to cool the electrode unit. An upper face of the electrode unit is at least as large as the back side of the carrier. The holding sheet and the frame are cooled effectively by the heat transfer to the stage.

Подробнее
13-06-2019 дата публикации

TEXTURED PROCESSING CHAMBER COMPONENTS AND METHODS OF MANUFACTURING SAME

Номер: US20190177835A1
Принадлежит:

Processing chamber components and methods of manufacture of same are provided herein. In some embodiments, a component part body includes a component part body having a base plane and at least one textured surface region, wherein the at least one textured surface region comprises a plurality of independent surface features having a first side having at least a 45 degree angle with respect to the base plane. In at least some embodiments, the textured surface includes a plurality of independent surface features which are pore free. 1. A chamber component for a processing chamber , comprising:a component part body having a base plane and at least one textured surface region, wherein the at least one textured surface region comprises a plurality of independent surface features having a first side having at least a 45 degree angle with respect to the base plane.2. The chamber component of claim 1 , wherein the plurality of independent surface features are substantially pore free.3. The chamber component of claim 1 , wherein the plurality of independent surface features comprise protrusions having a diameter of about 1.1 to about 1.8 millimeters.4. The chamber component of claim 1 , wherein the plurality of independent surface features comprise protrusions having a heights of about 0.70 to about 1.30 millimeters.5. The chamber component of claim 1 , wherein the plurality of independent surface features are spaced upon the component part body by about 0.70 to about 1.30 millimeters.6. The chamber component of claim 1 , wherein each feature has a center claim 1 , and each center is about 1.3 to about 2.5 millimeters from any adjacent feature.7. The chamber component of claim 1 , wherein the plurality of independent surface features include a predetermined repetitive pattern of cylindrical protrusions.8. The chamber component of claim 1 , wherein the plurality of independent surface features protrude axially from the component part body and are equally spaced around ...

Подробнее
04-06-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20200176227A1
Автор: KON Masato
Принадлежит:

In a processing chamber, a processing target substrate is placed and a substrate processing is performed. A holder is configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber. 1. A substrate processing apparatus , comprising:a processing chamber in which a processing target substrate is placed and a substrate processing is performed; anda holder configured to store therein an ionic liquid as some or all of components to be consumed or degraded by the substrate processing within the processing chamber.2. The substrate processing apparatus of claim 1 , further comprising:a mechanism configured to perform at least one of supplying or collecting the ionic liquid with respect to the holder,wherein the processing chamber is in a vacuum state during the substrate processing.3. The substrate processing apparatus of claim 2 ,wherein the mechanism is equipped with a filter configured to filter the ionic liquid, and filters the ionic liquid collected from the holder by the filter to supply the filtered ionic liquid into the holder.4. The substrate processing apparatus of claim 2 ,wherein the mechanism is located inside the processing chamber.5. The substrate processing apparatus of claim 2 ,wherein the mechanism is located outside the processing chamber.6. The substrate processing apparatus of claim 2 ,wherein the mechanism is configured to determine whether the ionic liquid is degraded based on at least one of a change in color of the ionic liquid or a change in electrical characteristics of the ionic liquid.7. The substrate processing apparatus of claim 1 ,wherein the substrate processing is an etching processing of etching a substrate with plasma formed while supplying a processing gas into the processing chamber.8. The substrate processing apparatus of claim 1 ,wherein the holder is a container provided around the substrate and equipped with an open top, and stores therein ...

Подробнее
04-06-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20200176229A1
Автор: KON Masato
Принадлежит: TOKYO ELECTRON LIMITED

In a substrate processing apparatus, a processing chamber, in which a target substrate is disposed and substrate processing is performed on the target substrate, is provided. A consumable part is disposed in the processing chamber and consumed by the substrate processing. A supply unit is configured to supply an ionic liquid in response to a consumption of the consumable part. A drive unit is configured to drive the consumable part by using the ionic liquid supplied from the supply unit. 1. A substrate processing apparatus comprising:a processing chamber in which a target substrate is disposed and substrate processing is performed on the target substrate;a consumable part disposed in the processing chamber and consumed by the substrate processing;a supply unit configured to supply an ionic liquid in response to a consumption of the consumable part; anda drive unit configured to drive the consumable part by using the ionic liquid supplied from the supply unit.2. The substrate processing apparatus of claim 1 , wherein the drive unit is a container configured to store the ionic liquid supplied from the supply unit and allow the consumable part to be floated on the ionic liquid stored therein claim 1 , and the drive unit drives the consumable part by using buoyancy force exerted by the ionic liquid.3. The substrate processing apparatus of claim 1 , wherein the drive unit drives the consumable part by using a pressure of the ionic liquid supplied from the supply unit.4. The substrate processing apparatus of claim 1 , wherein the processing chamber is maintained in a vacuum atmosphere during the substrate processing claim 1 , and the supply unit is disposed inside the processing chamber.5. The substrate processing apparatus of claim 2 , wherein the processing chamber is maintained in a vacuum atmosphere during the substrate processing claim 2 , and the supply unit is disposed inside the processing chamber.6. The substrate processing apparatus of claim 3 , wherein the ...

Подробнее
20-06-2019 дата публикации

METHOD FOR NEUTRAL BEAM PROCESSING BASED ON GAS CLUSTER ION BEAM TECHNOLOGY AND ARTICLES PRODUCED THEREBY

Номер: US20190185991A1
Принадлежит:

A method for Neutral Beam irradiation derived from gas cluster ion beams and articles produced thereby including optical elements. 1. A method of improving adhesion of an optical coating having a thickness on a surface of an optical substrate , comprising the steps of:providing a reduced pressure chamber;forming a gas cluster ion beam comprising gas cluster ions within the reduced pressure chamber;accelerating the gas cluster ions to form an accelerated gas cluster ion beam along a beam path within the reduced pressure chamber;optionally deriving an accelerated neutral beam from the accelerated gas cluster ion beam;holding the optical coating in the beam path or the derived beam path;treating at least a portion of a surface of the optical coating by irradiating it with the beam or the derived beam; andwherein the accelerating step accelerates by an amount pre-determined to assure that at least a portion of the beam or derived beam penetrates the entire thickness of the optical coating to improve adhesion of the coating to the optical substrate.2. A method of treating a hygroscopic crystalline material , comprising the steps of:providing a reduced pressure chamber;forming a gas cluster ion beam comprising gas cluster ions within the reduced pressure chamber;accelerating the gas cluster ions to form an accelerated gas cluster ion beam along a beam path within the reduced pressure chamber;optionally deriving an accelerated neutral beam from the accelerated gas cluster ion beam;holding the crystalline material in the beam path or the derived beam path;treating at least a portion of a surface of the crystalline material by irradiating it with the beam or the derived beam; andforming a surface barrier on the crystalline material that reduces reactivity or susceptibility to moisture degradation at the surface of the crystalline material.3. The method of claim 2 , wherein the forming step further comprises forming a gas cluster ion beam comprising gas cluster ions ...

Подробнее
06-07-2017 дата публикации

Manufacturing method of magnetoresistive element and vacuum processing apparatus

Номер: US20170194560A1
Принадлежит: Hitachi High Technologies Corp

The present invention is a manufacturing method for manufacturing a magnetoresistive element, including a first step for oxidizing or reducing a magnetic film constituting the magnetoresistive element and a metal oxidation film constituting the magnetoresistive element, and a second step performed after the first step, wherein in the second step, in a case where the magnetic film constituting the magnetoresistive element and the metal oxidation film constituting the magnetoresistive element are oxidized, the oxidized magnetic film constituting the magnetoresistive element or the oxidized metal oxidation film constituting the magnetoresistive element is selectively reduced, and in a case where the magnetic film constituting the magnetoresistive element and the metal oxidation film constituting the magnetoresistive element are reduced, the reduced magnetic film constituting the magnetoresistive element or the reduced metal oxidation film constituting the magnetoresistive element is selectively oxidized.

Подробнее
20-06-2019 дата публикации

Shaped Electrodes For Improved Plasma Exposure From Vertical Plasma Source

Номер: US20190189404A1
Принадлежит:

Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode. The RF hot electrode can include a leg and optional triangular portion near the leg that extends at an angle to the body of the RF hot electrode. A cladding material on one or more of the RF hot electrode and the return electrode can be variably spaced or have variable properties along the length of the plasma gap. 1. A plasma source assembly comprising:a housing having an inner peripheral edge, an outer peripheral edge and a front face, the housing including a gas inlet to form a flow path from the gas inlet to allow a flow of gas to pass through the housing and out an opening in the front face;an RF hot electrode within the housing, the RF hot electrode having an elongate body with an inner peripheral end near the inner peripheral edge of the housing and an outer peripheral end near the outer peripheral edge of the housing and defining a length of the RF hot electrode, the RF hot electrode including a leg extending at an angle to the elongate body;a return electrode having an elongate body extending between the inner peripheral edge and the outer peripheral edge of the housing, the return electrode spaced from the RF hot electrode to provide a gap in which a plasma can form; andan RF feed connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less or equal to about 25% of the length of the RF hot electrode.2. The plasma source assembly of claim 1 , wherein the return electrode is the housing.3. The plasma source assembly of claim 1 , wherein the RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of ...

Подробнее
12-07-2018 дата публикации

Dual PVD Chamber And Hybrid PVD-CVD Chambers

Номер: US20180197760A1
Принадлежит:

Processing platforms comprising a central transfer station having at least one robot and a dual chamber processing chamber connected to a side of the central transfer station through a gate valve are described. The dual chamber processing chamber comprises a first processing volume and a second processing volume connected to a shared vacuum pump. 1. A processing platform comprising:a central transfer station having at least one robot; and{'sup': '−5', 'a dual chamber processing chamber connected to a side of the central transfer station through a gate valve, the dual chamber processing chamber comprising a first processing volume and a second processing volume connected to a shared vacuum pump, the shared vacuum pump maintaining a base pressure less than or equal to about 10Torr in one or more of the first processing volume or the second processing volume.'}2. The processing platform of claim 1 , wherein the first processing volume and the second processing volume are configured to perform physical vapor depositions.3. The processing platform of claim 2 , wherein each of the first processing volume and the second processing volume includes a target comprising titanium.4. The processing platform of claim 3 , wherein the dual chamber processing chamber includes a pump liner having two pump openings connected by a passage claim 3 , the pump openings aligned with the processing volumes claim 3 , the passage forming fluid connection between the two pump openings.5. The processing platform of claim 4 , wherein the shared vacuum pump is connected to the pump liner at one or both of the pump openings.6. The processing platform of claim 4 , wherein the shared vacuum pump is connected to the pump liner at the passage.7. The processing platform of claim 1 , wherein the first processing volume is configured perform physical vapor deposition and the second processing volume is configured to perform chemical vapor deposition.8. The processing platform of claim 7 , wherein the ...

Подробнее
30-07-2015 дата публикации

PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION DEVICE

Номер: US20150214010A1
Автор: HONG Charlie, Lee Man Ho
Принадлежит:

A plasma enhanced chemical vapor deposition apparatus is disclosed. The plasma enhanced chemical vapor deposition apparatus includes a pair of magnetic field generating unit arranged to face each other with a gap therebetween; a pair of facing electrodes arranged to face each other between the pair of magnetic field generating units; a gas supply unit configured to supply a reaction gas into a space between the pair of facing electrodes; and a precursor supply unit configured to supply a precursor into the space between the pair of facing electrodes. A facing magnetic field may be formed between the pair of magnetic field generating units. 1. A plasma enhanced chemical vapor deposition apparatus for depositing a thin film on a surface of a coating target in a vacuum chamber , the apparatus comprising:a pair of magnetic field generating units arranged to face each other with a gap therebetween;a pair of facing electrodes arranged to face each other between the pair of magnetic field generating units;a gas supply unit configured to supply a reaction gas into a space between the pair of facing electrodes; anda precursor supply unit configured to supply a precursor into the space between the pair of facing electrodes,wherein a facing magnetic field is formed between the pair of magnetic field generating units.2. The plasma enhanced chemical vapor deposition apparatus of claim 1 ,wherein each of the pair of magnetic field generating units includes an internal polarity section and an external polarity section surrounding the internal polarity section, anda polarity of the external polarity section is opposite to a polarity of the internal polarity section.3. The plasma enhanced chemical vapor deposition apparatus of or claim 1 ,wherein the pair of magnetic field generating units is arranged such that opposite polarities thereof face each other.4. The plasma enhanced chemical vapor deposition apparatus of claim 1 ,wherein the gap is a spatial interval set to allow the ...

Подробнее
28-07-2016 дата публикации

Plasma processing apparatus

Номер: US20160217980A1
Принадлежит: Hitachi High Technologies Corp

Provided is a plasma processing apparatus including a processing chamber which is disposed in a vacuum vessel and able to be decompressed, a sample stage on a top surface of which a wafer to be processed is mounted, an opening which is configured to supply a heat-transfer gas to a gap between the wafer and the top surface of the sample stage, a regulator which regulates a flow rate of the heat-transfer gas, and a controller which regulates an operation of the regulator based on a pressure of the gap detected using an amount of the heat-transfer gas leaking from the regulator to the processing chamber through the gap while the wafer is mounted on the sample stage and an amount of the heat-transfer gas supplied from the opening to the processing chamber while the wafer is not mounted on the sample stage.

Подробнее
06-08-2015 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20150221477A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing apparatus is offered which has evacuable vacuum vessel, processing chamber disposed inside the vacuum vessel and having inside space in which plasma for processing sample to be processed is generated and in which the sample is placed, unit for supplying gas for plasma generation into processing chamber, vacuum evacuation unit for evacuating inside of processing chamber, helical resonator configured of helical resonance coil disposed outside the vacuum vessel and electrically grounded shield disposed outside the coil, RF power supply of variable frequency for supplying RF electric power in given range to the resonance coil, and frequency matching device capable of adjusting frequency of the RF power supply so as to minimize reflected RF power. The resonance coil has electrical length that is set to integral multiple of one wavelength at given frequency. The helical resonance coil has feeding point connected to ground potential using variable capacitive device.

Подробнее
25-06-2020 дата публикации

CONTROL CIRCUITRY FOR 2D OPTICAL METASURFACES

Номер: US20200203413A1
Принадлежит:

A 2D hologram system with a matrix addressing scheme is provided. The system may include a 2D array of sub-wavelength hologram elements integrated with a refractive index tunable core material on a wafer substrate. The system may also include a matrix addressing scheme coupled to the 2D array of sub-wavelength hologram elements and configured to independently control each of the sub-wavelength hologram elements by applying a voltage. 1. (canceled)2. A method of operating a 2D hologram system having a 2D array of hologram elements with a matrix addressing scheme , the method comprising:adjusting a binary voltage on a first row to change the first row from an “off” state to an “on” state;applying a first analog voltage to a first column such that the first analog voltage is stored in a first hologram element in the first column and the first row;changing the first row to an “off” state;adjusting a second row from an “off” state to an “on” state;applying a second analog voltage to a second column such that the second analog voltage is stored in a second hologram element in the second column and the second row,wherein each of the 2D array of sub-wavelength hologram elements is integrated with a refractive index tunable core material on a wafer substrate comprising a matrix control circuitry having a 2D array of CMOS transistors.3. The method of claim 2 , wherein the refractive index tunable core material comprises EP polymer.4. A method of operating a hologram system having a 2D array of sub-wavelength hologram elements claim 2 , with a passive matrix addressing scheme claim 2 , the method comprising:applying a first analog voltage to a first ROW line, wherein a first row of a 2D array of sub-wavelength hologram elements is coupled to the first ROW line;applying a second analog voltage to a first COLUMN line, wherein a first column of the 2D array of sub-wavelength hologram elements is coupled to the first COLUMN line;applying a third analog voltage to the first ROW ...

Подробнее
04-07-2019 дата публикации

CIRCUIT ASSEMBLY FOR PROVIDING HIGH-FREQUENCY ENERGY, AND SYSTEM FOR GENERATING AN ELECTRIC DISCHARGE

Номер: US20190206656A1
Принадлежит:

A circuit assembly () includes a direct voltage supply () providing a direct voltage (Ucc), a step-up converter circuit (), and a control unit (). The step-up converter circuit () contains a series circuit consisting of an inductor () and a controllable switch (), wherein the inductor () is connected between a pole () of the direct voltage supply () and a first electrode (D) of the switch (). The control unit () controls the switch () with a high-frequency to generate high-frequency energy with a periodic pulse-like output voltage (UDS) at the first electrode (D) of the switch (), said output voltage having a peak value larger than the value of the direct voltage (Ucc). The high-frequency energy is directly output without transformation at an output connection () designed to directly connect to an electrode () of the vacuum chamber (). 1. An apparatus comprising a circuit assembly for providing high-frequency energy in order to generate an electric discharge in a vacuum chamber , the circuit assembly comprising:{'b': '13', 'sub': 'cc', 'a direct voltage supply () configured to provide a direct voltage (U);'}{'b': 16', '18', '19', '18', '21', '13', '19', '19', '19, 'a step-up converter circuit () that contains a series circuit consisting of an inductor () and a controllable switch (), wherein the inductor () is connected between a pole () of the direct voltage supply () and a first electrode (D) of the switch (), wherein a second electrode (S) of the switch () is connected to ground, and the switch () additionally comprises a control electrode (G);'}{'b': 17', '19', '19', '13, 'sub': DS', 'cc, 'a control unit () that is configured to control the switch () with a high-frequency in order to generate high-frequency energy with a periodic pulse-like output voltage (U) at the first electrode (D) of the switch (), said output voltage having a peak value which is larger than the value of the direct voltage (U) of the direct voltage supply (), and'}{'b': 24', '8', '2', '19', ...

Подробнее
09-08-2018 дата публикации

Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus

Номер: US20180228015A1
Принадлежит:

A chamber top for a processing chamber is provided. The chamber top includes a first plasma source oriented horizontally over the chamber top and a second plasma source oriented horizontally over the chamber top. The second plasma source is arranged concentrically around the first plasma source. Also included is a first plurality of ferrites encircling the first plasma source and a second plurality of ferrites encircling the second plasma source. A first primary winding is disposed around an outer circumference of the first plasma source and a second primary winding disposed around an outer circumference of the second plasma source. The first and second primary windings pass through the respective plurality of ferrites. A plurality of outlets is disposed on a lower portion of the first and second plasma sources, and the plurality of outlets is oriented between adjacent ones of the first and second plurality of ferrites. The plurality of outlets is configured to connect the first and second plasma sources of the chamber top to the processing chamber. 1. A chamber top for a processing chamber , comprising ,a first plasma source oriented horizontally over the chamber top;a second plasma source oriented horizontally over the chamber top, the second plasma source is arranged concentrically around the first plasma source;a first plurality of ferrites encircling the first plasma source, and a second plurality of ferrites encircling the second plasma source;a first primary winding disposed around an outer circumference of the first plasma source and a second primary winding disposed around an outer circumference of the second plasma source, such that the first and second primary windings pass through the respective plurality of ferrites; anda plurality of outlets disposed on a lower portion of the first and second plasma sources, the plurality of outlets being oriented between adjacent ones of the first and second plurality of ferrites;wherein the plurality of outlets is ...

Подробнее
18-08-2016 дата публикации

System and method for differential etching

Номер: US20160240355A1
Принадлежит: International Business Machines Corp

A plasma sputtering apparatus according to one embodiment includes a chamber and a reservoir in fluidic communication with the chamber. The reservoir stores a vapor source therein, and is configured to release vapor at a predetermined rate. The vapor released by the reservoir is effective to diminish an etch rate of a first magnetic material, the vapor having a smaller effect on an etch rate of a second magnetic material that is different than the first magnetic material. The apparatus also includes a mount for a substrate and a plasma source.

Подробнее
17-08-2017 дата публикации

SYSTEMS AND METHODS FOR SELECTIVELY ETCHING FILM

Номер: US20170236731A1
Принадлежит:

A method for selectively etching one exposed material of a substrate relative to another exposed material of the substrate includes a) arranging the substrate in a processing chamber; b) setting a chamber pressure; c) setting an RF frequency and an RF power for RF plasma; d) supplying a plasma gas mixture to the processing chamber; e) striking the RF plasma in the processing chamber in one of an electric mode (E-mode) and a magnetic mode (H-mode); and f) during plasma processing of the substrate, changing at least one of the chamber pressure, the RF frequency, the RF power and the plasma gas mixture to switch from the one of the E-mode and the H-mode to the other of the E-mode and the H-mode. 1. A method for etching a substrate , comprising:a) arranging the substrate in a processing chamber;b) setting a chamber pressure;c) setting an RF frequency and an RF power for RF plasma;d) supplying a plasma gas mixture to the processing chamber;e) striking the RF plasma in the processing chamber in one of an electric mode (E-mode) and a magnetic mode (H-mode); andf) during plasma processing of the substrate, changing at least one of the chamber pressure, the RF frequency, the RF power and the plasma gas mixture to switch from the one of the E-mode and the H-mode to the other of the E-mode and the H-mode.2. The method of claim 1 , further comprising:g) during plasma processing of the substrate, changing at least one of the chamber pressure, the RF frequency, the RF power and the plasma gas mixture to switch to from the other of the E-mode and the H-mode to the one of the E-mode and the H-mode.3. The method of claim 1 , wherein the plasma gas mixture includes N gases claim 1 , and wherein a flow rate of at least one of the N gases is changed to switch to the other of the E-mode and the H-mode claim 1 , where N is an integer greater than zero.4. The method of claim 1 , wherein changing the plasma gas mixture includes adding or removing a gas species from the plasma gas mixture ...

Подробнее
23-08-2018 дата публикации

FABRICATION OF OPTICAL METASURFACES

Номер: US20180240653A1
Принадлежит:

The method is provided for fabricating an optical metasurface. The method may include depositing a conductive layer over a holographic region of a wafer and depositing a dielectric layer over the conducting layer. The method may also include patterning a hard mask on the dielectric layer. The method may further include etching the dielectric layer to form a plurality of dielectric pillars with a plurality of nano-scale gaps between the pillars. 1. A method for fabricating an optical metasurface , the method comprising:depositing a conductive layer over a holographic region of a wafer;depositing a dielectric layer over the conducting layer;patterning a hard mask on the dielectric layer; andetching the dielectric layer to form a plurality of dielectric pillars with a plurality of nano-scale gaps between the pillars.2. The method of claim 1 , wherein the patterning is performed by e-beam lithography.3. The method of claim 1 , wherein the patterning is performed by deep UV immersion lithography.4. The method of claim 1 , further comprising filling the plurality of nano-scale gaps with a refractive index tunable core material.5. The method of claim 4 , wherein the refractive index tunable core material comprises a liquid crystal or EO polymers.6. The method of claim 5 , the step of filling the plurality of nano-scale gaps comprising:preparing the surface to be hydrophobic or hydrophilic;spin coating the liquid crystal over the plurality of pillars;filling the liquid crystal into the nano-scale gap by a capillary action; andencapsulating the liquid crystal with a clear coating.7. The method of claim 5 , the step of filling the plurality of nano-scale gaps comprising:applying a coating to a first portion of the plurality of nano-scale gaps;spin coating the liquid crystal onto the plurality of dielectric pillars;filling the liquid crystal into a second portion of the plurality of nano-scale gaps by a capillary action; andencapsulating the liquid crystal with a clear coating ...

Подробнее
23-08-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180240697A1
Принадлежит:

Provided is a plasma processing apparatus which comprises a chamber, a stage configured to set a holding sheet and a substrate held thereon, a securing mechanism configured to secure the holding sheet on the stage, a plasma generator including a first electrode and a first high-frequency power supply, and a determiner for determining a contact status between the holding sheet and the stage, wherein a gas through-hole is arranged on a surface of the stage in an annular region defined between an inner edge of a frame set on the stage and an outer edge of the substrate, and wherein the determiner is configured to determine the contact status in accordance with a pressure of a gas in the gas introduction conduit and/or a regulation data for regulating the pressure of the gas, the gas being introduced between the stage and the holding sheet from the gas through-hole. 1. A plasma processing apparatus , comprising:a chamber:a stage provided inside the chamber and configured to set a holding sheet and a substrate held thereon, the holding sheet having a circumference secured on a frame;a securing mechanism configured to secure the holding sheet on the stage;a plasma generator including a first electrode and a first high-frequency power supply for supplying a high-frequency power to the first electrode; anda determiner for determining a contact status between the holding sheet and the stage;wherein a gas through-hole connected to a gas introduction conduit is arranged on a surface of the stage in an annular region defined between an inner edge of the frame set on the stage and an outer edge of the substrate, andwherein the determiner is configured to determine the contact status in accordance with at least one of a pressure of a gas in the gas introduction conduit and a regulation data for regulating the pressure of the gas in the gas introduction conduit, the gas being introduced between the stage and the holding sheet from the gas through-hole through the gas introduction ...

Подробнее
10-09-2015 дата публикации

SUBSTRATE COOLING MEMBER, SUBSTRATE PROCESSING DEVICE, AND SUBSTRATE PROCESSING METHOD

Номер: US20150255257A1
Принадлежит: TOKYO ELECTRON LIMITED

An objective of the present invention is to simplify a configuration of a processing chamber for cooling a substrate in a substrate processing device. In a plasma processing device () whereby a plasma process is carried out upon a wafer (W), the wafer (W) which is plasma processed is conveyed into a load-lock chamber (), and gas is discharged from a gas discharge member () upon the surface of the wafer (W), cooling the wafer (W). The gas discharge member () comprises a structure wherein a plurality of gas discharge nozzles () are formed in one flat plate face of a flat plate member (). The gas discharge nozzles () comprise cylindrical eddy generating chambers (), and nozzle holes () which are opened in bottom walls () of the eddy generating chambers () and discharge the gas. The flat plate face of the wafer (W) and the flat plate face wherein the gas discharge nozzles () are formed in the flat plate member () are positioned in parallel at a prescribed gap. A purge gas is discharged from the nozzle holes () toward the wafer (W), and a flow of an eddy is made to arise in the discharged purge gas, thereby cooling the wafer (W), and simultaneously switching the interior of the load-lock chamber () from a vacuum environment to an atmospheric pressure environment. 1. A substrate cooling member comprising:a plurality of gas injection nozzles formed on one flat plate face of the substrate cooling member which has a flat plate shape, the plurality of gas injection nozzles being configured to inject a gas toward a substrate so as to cool the substrate,wherein each of the plurality of gas injection nozzles includes:a cylindrical space which is opened in the one flat plate face of the substrate cooling member; anda nozzle hole which is opened in a circular bottom wall that defines the space, to inject the gas toward the space, andwherein, when the gas is injected toward the substrate from the nozzle hole through the space in a state where the one flat plate face, which is ...

Подробнее
31-08-2017 дата публикации

VIRTUAL CATHODE DEPOSITION (VCD) FOR THIN FILM MANUFACTURING

Номер: US20170247789A1
Автор: YARMOLICH Dmitry
Принадлежит:

A virtual cathode deposition apparatus utilises virtual plasma cathode for generation of high density electron beam to ablate a solid target. A high voltage electrical pulse ionizes gas to produce a plasma which temporarily appears in front of the target and serves as the virtual plasma cathode at the vicinity of target. This plasma then disappears allowing the ablated target material in a form of a plasma plume to propagate toward the substrate. Several virtual cathodes operating in parallel provide plumes that merge into a uniform plasma which when condensing on a nearby substrate leads to wide area deposition of a uniform thickness thin film. 1. A thin film deposition apparatus comprising;a hollow cathode, a substrate holder and a target holder, the substrate holder and the target holder being located on opposite sides of the hollow cathode,a plasma supply element to supply plasma to the interior of the hollow cathode at an end of the hollow cathode nearest the target holder, anda power unit connected to the hollow cathode to supply a high voltage pulse to the hollow cathode,such that when the plasma supply element supplies plasma to the hollow cathode and a high voltage pulse is applied to the hollow cathode, a virtual plasma cathode forms, andsuch that the virtual plasma cathode generates an electron beam, directed towards a target held in the target holder, andwherein a plume of ablated target material passes through the hollow cathode.2. A thin film deposition apparatus according to wherein the plasma supply element comprises a hollow cap electrode adjacent the hollow cathode and defining a gas container.3. A thin film deposition apparatus according to wherein the hollow cap electrode is spaced from the hollow cathode by an insulating ring and a gas flow slit extends from the gas container to the interior of the hollow cathode. (Original) A thin film deposition apparatus according to wherein the gas flow slit has a width in the range 0.1 mm to 10 mm.5. A thin ...

Подробнее
30-08-2018 дата публикации

Reactors For Plasma-Assisted Processes And Associated Methods

Номер: US20180247797A1
Автор: GOROKHOVSKY Vladimir
Принадлежит:

A reactor for plasma-assisted chemical vapor deposition includes a plasma duct for containing one or more substrates to be coated by ions; an arc discharge generation system for generating a flow of electrons through the plasma duct from a proximal end toward a distal end of the plasma duct; a gas inlet coupled to the distal end for receiving a reactive gas; a gas outlet coupled to the proximal end for removing at least a portion of the reactive gas to generate a flow of the reactive gas through the plasma duct from the distal end toward the proximal end, to generate the ions from collisions between the electrons and the reactive gas; and a separating baffle positioned for restricting flow of the reactive gas out of the plasma duct to maintain a high pressure in the plasma duct to increase rate of deposition of the ions onto the substrates. 1. A reactor for plasma-assisted chemical vapor deposition , comprising:a plasma duct configured to contain one or more substrates to be coated by ions;a remote arc discharge generation system for generating a flow of electrons through the plasma duct in direction from a proximal end of the plasma duct toward a distal end of the plasma duct;a gas inlet coupled to the distal end for receiving a reactive gas;a gas outlet coupled to the proximal end for removing at least a portion of the reactive gas to generate a flow of the reactive gas through the plasma duct in direction from the distal end toward the proximal end, so as to generate the ions from collisions between the electrons and the reactive gas; anda separating baffle positioned between the plasma duct and the gas outlet for restricting flow of the reactive gas out of the plasma duct to maintain a high pressure in the plasma duct to increase rate of deposition of the ions onto the substrates, the separating baffle being configured with at least one opening between the cathode chamber and the plasma duct, each of the at least one opening having transverse extent in range ...

Подробнее
30-08-2018 дата публикации

FILM DEPOSITION METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20180247858A1
Принадлежит:

A film deposition method includes maintaining an inside of a chamber to have a predetermined pressure, cooling a stage, on which the object to be processed mounts, to have an ultralow temperature of −20° C., and mounting the object to be processed on the stage, supplying a gas including a low vapor pressure material gas of a low vapor pressure material into the inside of the chamber, and generating plasma from the supplied gas including the gas of the low vapor pressure material, and causing a precursor generated from the low vapor pressure material by the plasma to be deposited on a recess part of the object to be processed. 1. A film deposition method comprising:maintaining an inside of a chamber to have a predetermined pressure, cooling a stage, on which the object to be processed mounts, to have an ultralow temperature of −20° C., and mounting the object to be processed on the stage;supplying a gas including a low vapor pressure material gas of a low vapor pressure material into the inside of the chamber; andgenerating plasma from the supplied gas including the gas of the low vapor pressure material, and causing a precursor generated from the low vapor pressure material by the plasma to be deposited on a recess part of the object to be processed.2. The film deposition method according to claim 1 ,{'sub': 4', '8, 'wherein the gas of the low vapor pressure material has a vapor pressure at a temperature equal to a corresponding temperature indicated by a vapor pressure curve of CFor greater.'}3. The film deposition method according to claim 2 ,wherein the gas of the low vapor pressure material is a carbon-containing gas.4. The film deposition method according to claim 2 ,{'sub': 4', '8', '4', '6, 'wherein the gas of the low vapor pressure material is made of CF, CF, or isopropyl alcohol (IPA).'}5. The film deposition method according to claim 1 ,wherein the predetermined pressure is 50 mT (6.67 Pa) or higher and a vaper pressure indicated by a vapor pressure curve ...

Подробнее
17-09-2015 дата публикации

WAFER ETCHING SYSTEM AND WAFER ETCHING PROCESS USING THE SAME

Номер: US20150262854A1
Принадлежит:

Disclosed are a wafer etching system and a wafer etching process using same that enable thin wafers to be smoothly manufactured and transferred. The present invention includes: a wafer grinding device for mechanically etching wafers; an aligner for aligning etched wafers from the wafer grinding unit; a dry etching device for etching the wafers once more that are aligned by the aligner; a wafer transfer device for transferring the wafers between the aligner and the dry etching device; and a tape mounter for performing taping on the wafers that have completed etching from the dry etching device. 1. A wafer etching system capable of reducing a thickness of a wafer while removing stress remaining in the wafer , which is generated by mechanical etching , the wafer etching system comprising:a wafer grinding device etching the wafer mechanically;an aligner aligning the wafer that is etched by the wafer grinding unit;a dry etching device etching the wafer again, which is aligned by the aligner;a wafer transfer device transferring the wafer between the aligner and the dry etching device;a tape mounter performing taping process to the wafer that is etched by the dry etching device.2. The wafer etching system of claim 1 , wherein the dry etching device comprises:a process chamber capable of rapidly maintaining vacuum state;a first gate valve configured to open and to close the process chamber to be connected to the wafer transfer device;a chuck installed in the process chamber to support the wafer transferred by the wafer transfer unit;a plasma unit connected to the process chamber to etch the wafer of large area rapidly, which is supported by the chuck.3. The wafer etching system of claim 2 , wherein the chuck comprises:an electrostatic part configured to apply static electricity;a cooling gas providing part providing cooling gas through a cooling gas hole penetrating the electro static part;a vacuum forming part configured to form a vacuum through the cooling gas hole;an on/ ...

Подробнее
08-09-2016 дата публикации

CATHODIC ARC DEPOSITION APPARATUS AND METHOD

Номер: US20160260583A1
Принадлежит:

A cathodic arc coating apparatus includes a vessel, a cathode disposed in the vessel, and a stinger assembly. The stinger assembly includes a first magnetic field generator disposed in a first stinger cup in selective contact with the cathode. The first stinger cup has at least a first electrically conductive cup portion spaced from a second electrically conductive cup portion by a thermally insulating layer therebetween.

Подробнее
06-09-2018 дата публикации

PLASMA GENERATING APPARATUS AND METHOD OF MANUFACTURING PATTERNED DEVICES USING SPATIALLY RESOLVED PLASMA PROCESSING

Номер: US20180254170A1
Принадлежит:

Disclosed is a plasma generating apparatus, for manufacturing devices having patterned layers, including a first electrode assembly and a second electrode assembly placed in a plasma reactor chamber, an electrical power supply for generating a voltage difference between the first electrode assembly and the second electrode assembly. The first electrode assembly includes a plurality of protrusions and a plurality of recesses, the protrusions and recesses being dimensioned and set at respective distances from the surface of the substrate so as to generate a plurality of spatially isolated plasma zones located selectively either between the second electrode assembly and the plurality of recesses or between the second electrode assembly and the plurality of protrusions. 118-. (canceled)19. Plasma generating apparatus for manufacturing patterned devices comprising:a) a plasma reactor chamber;b) a gas feed assembly for introducing an input gas into the plasma reactor chamber at a chosen pressure (P);{'b': 1', '2', '1', '2, 'c) a first electrode assembly () and a second electrode assembly () placed in the plasma reactor chamber, the first electrode assembly () being spaced apart from the second electrode assembly () by an inter-electrode volume, and'}{'b': 6', '1', '2, 'd) an electrical power supply () for generating a voltage difference between the first electrode assembly () and the second electrode assembly ();'}wherein:{'b': 1', '11', '12', '13', '14', '15', '16', '17', '18, 'e) the first electrode assembly () comprises a plurality of protrusions () and a plurality of recesses (, , , , , , ),'}{'b': 2', '5', '51', '11', '12', '13', '14', '15', '16', '17', '18, 'f) the second electrode assembly () is configured for receiving a substrate () having a surface () facing the plurality of protrusions () and the plurality of recesses (, , , , , , );'}{'b': 11', '12', '13', '14', '15', '16', '17', '18', '1', '2', '51', '5', '21', '22', '5', '12', '13', '14', '15', '16', '17', ' ...

Подробнее
15-09-2016 дата публикации

CROSS-FLOW REACTOR AND METHOD

Номер: US20160268102A1
Принадлежит:

Gas-phase reactors and systems are disclosed. Exemplary reactors include a reaction chamber having a tapered height. Tapering the height of the reactor is thought to reduce a pressure drop along the flow of gasses through the reactor. Exemplary reactors can also include a spacer within a gap to control a flow of gas between a region and a reaction chamber. 1. A gas-phase reactor comprising:a cross-flow reaction chamber comprising a tapered top surface and a bottom surface comprising a portion of a base plate and a portion of a top surface of a susceptor;a gas diffuser coupled to an inlet of the reaction chamber; andan exhaust conduit coupled to the outlet of the reaction chamber.2. The gas-phase reactor of claim 1 , wherein a distance between the tapered top surface and the bottom surface is greater proximate the inlet relative to a distance between the tapered top surface and the bottom surface at the outlet.3. The gas-phase reactor of claim 1 , wherein a distance between the tapered top surface and the bottom surface is greater proximate the outlet relative to a distance between the tapered top surface and the bottom curface at the inlet.4. The gas-phase reactor of claim 1 , wherein the tapered surface comprises a linearly tapered surface.5. The gas-phase reactor of claim 1 , wherein a distance between the tapered top surface and the bottom surface proximate the inlet ranges between about 1 mm and about 10 mm.6. The gas-phase reactor of claim 1 , wherein a distance between the tapered top surface and the bottom surface proximate the outlet ranges between about 1 mm and about 10 mm.7. The gas-phase reactor of claim 1 , further comprising at least one spacer between the susceptor and the base plate.8. The gas-phase reactor of claim 7 , wherein the at least one spacer comprises a pin.9. The gas-phase reactor of claim 1 , comprising a vertical gap section between the susceptor and the base plate.10. The gas-phase reactor of claim 9 , further comprising a horizontal ...

Подробнее
15-08-2019 дата публикации

FABRICATION OF OPTICAL METASURFACES

Номер: US20190252441A1
Принадлежит:

The method is provided for fabricating an optical metasurface. The method may include depositing a conductive layer over a holographic region of a wafer and depositing a dielectric layer over the conducting layer. The method may also include patterning a hard mask on the dielectric layer. The method may further include etching the dielectric layer to form a plurality of dielectric pillars with a plurality of nano-scale gaps between the pillars. 1. (canceled)2. A method for fabricating dielectric pillars having a nano-scale gap inbetween , the method comprising:depositing a dielectric layer over a conducting layer;patterning a hard mask on the dielectric layer by a high resolution process; andapplying a plasma to etch a portion of a dielectric layer at a temperature below room temperature in a chamber to form dielectric pillars with the nano-scale gap.3. The method of claim 2 , wherein the nano-scale gap has an aspect ratio of at least 5.4. The method of claim 2 , wherein the plasma comprises a mixture of gases.5. The method of claim 4 , wherein the mixture of gases comprises SFgas for anisotropic etching.6. The method of claim 4 , wherein the mixture of gases comprises CFgas for reducing the etching rate isotropically.7. The method of claim 6 , further comprising increasing the concentration of the CFgas to reduce the undercut of the dielectric pillars.8. The method of claim 7 , further comprising decreasing the concentration of the CFgas to reduce the sidewall angle of the dielectric pillars from the conducting layer.9. The method of claim 3 , wherein the sidewall angle ranges between 80° and 100°.10. The method of claim 2 , further comprising adjusting the pressure of the chamber to reduce the undercut of the dielectric pillars.11. The method of claim 2 , further comprising adjusting the power of the plasma to reduce the undercut of the dielectric pillars.12. The method of claim 2 , wherein the dielectric layer comprises amorphous silicon.13. The method of claim 2 ...

Подробнее
21-10-2021 дата публикации

TECHNIQUES AND APPARATUS FOR SELECTIVE SHAPING OF MASK FEATURES USING ANGLED BEAMS

Номер: US20210324519A1
Автор: Hautala John
Принадлежит: Applied Materials, Inc.

A method may include providing a set of features in a mask layer, wherein a given feature comprises a first dimension along a first direction, second dimension along a second direction, orthogonal to the first direction, and directing an angled ion beam to a first side region of the set of features in a first exposure, wherein the first side region is etched a first amount along the first direction. The method may include directing an angled deposition beam to a second side region of the set of features in a second exposure, wherein a protective layer is formed on the second side region, the second side region being oriented perpendicularly with respect to the first side region. The method may include directing the angled ion beam to the first side region in a third exposure, wherein the first side region is etched a second amount along the first direction. 1. An apparatus comprising:a plasma chamber to generate a plasma;a substrate stage, adjacent the plasma chamber;an extraction assembly, disposed between the plasma and the substrate stage, to extract a reactive angled ion beam from the plasma;a bias voltage source to apply a bias voltage between the plasma chamber and the substrate; and sending a first control signal to the bias voltage source to apply a first bias voltage between the plasma chamber and the substrate while the substrate stage is arranged at a first twist angle;', 'sending a second control signal to the bias voltage source to apply second bias voltage, less than the first bias voltage, between the plasma chamber and the substrate; and', 'sending concurrently with the second control signal, a third control signal to rotate the substrate stage to a second twist angle., 'a controller to perform an etch cycle by2. The apparatus of claim 1 , wherein the etch cycle is configured to produce an etching of a substrate claim 1 , disposed on the substrate stage claim 1 , by a first amount claim 1 , when the first bias voltage is applied claim 1 , and ...

Подробнее
22-08-2019 дата публикации

HOLDING ARRANGEMENT FOR HOLDING A SUBSTRATE, CARRIER INCLUDING THE HOLDING ARRANGEMENT, PROCESSING SYSTEM EMPLOYING THE CARRIER, AND METHOD FOR RELEASING A SUBSTRATE FROM A HOLDING ARRANGEMENT

Номер: US20190256970A1
Автор: Lau Simon
Принадлежит:

A holding arrangement for holding a substrate is described. The holding arrangement includes a body having a first wall of flexible material; an adhesive arrangement configured for attaching the substrate, wherein the adhesive arrangement is provided on a first side of the first wall, and a force transmission arrangement configured for applying a force to a second side of the first wall opposing the first side of the first wall. 1. A holding arrangement for holding a substrate , comprising:a body having a first wall of flexible material;an adhesive arrangement configured for attaching the substrate, wherein the adhesive arrangement is provided on a first side of the first wall; anda force transmission arrangement configured for applying a force to a second side of the first wall opposing the first side of the first wall.2. The holding arrangement according to claim 1 , wherein the first wall comprises a protrusion extending away from the first side of the first wall.3. The holding arrangement according to claim 1 , wherein the adhesive arrangement includes a plurality of filaments.4. The holding arrangement according to claim 1 , wherein the adhesive arrangement comprises a dry adhesive material configured for attaching the substrate.5. The holding arrangement according to claim 4 , wherein the dry adhesive material is a synthetic setae material.6. The holding arrangement according to claim 2 , wherein the adhesive arrangement is provided around the protrusion.7. The holding arrangement according to claim 1 , wherein the adhesive arrangement comprises two or more adhesive elements.8. The holding arrangement according to claim 1 , wherein the force transmission arrangement is a pneumatic actuated force transmission arrangement configured for increasing a pressure of a gas provided in the body being a hollow body.9. The holding arrangement according to claim 1 , wherein the force transmission arrangement is a mechanically actuated force transmission arrangement ...

Подробнее
22-09-2016 дата публикации

DECOMPRESSION PROCESSING APPARATUS

Номер: US20160276199A1
Автор: Iida Hidekazu
Принадлежит:

In a state in which a wafer held by a holding portion contacts with an attraction face of an electrostatic chuck after a loading unit loads the wafer into a chamber, the holding portion is connected to ground and a DC voltage is applied to a lower electrode. Then, the holding portion cancels the attraction of the wafer and is spaced away from the wafer thereby to charge the electrostatic chuck and the wafer with electric charge different in polarity from each other such that the wafer is attracted and held by the attraction face. 1. A decompression processing apparatus for performing a working process for a wafer with reaction gas in the form of plasma , comprising:an electrostatic chuck having an attraction face formed from an upper face formed on an insulating material and having a lower electrode in the inside thereof, the electrostatic chuck being configured to electrostatically attract a wafer to the attraction face;an upper electrode disposed above the electrostatic chuck in an opposing relationship to the attraction face of the electrostatic chuck;a chamber configured to accommodate the electrostatic chuck and the upper electrode therein;loading means for loading the wafer into the chamber and placing the wafer on the attraction face;decompression means for decompressing the inside of the chamber;gas supplying means for supplying the reaction gas into the chamber; andhigh frequency voltage application means for applying a high frequency voltage to the electrostatic chuck to form plasma from the reaction gas supplied into the chamber; a holding portion having a conductive contacting portion contacting with the upper face of the wafer and configured to hold the wafer thereon,', 'conduction means for establishing conduction of the holding portion to ground, and', 'driving means for placing the wafer held by the holding portion on the electrostatic chuck, wherein,, 'the loading means including'}in a state in which the wafer held by the holding portion of the ...

Подробнее
22-08-2019 дата публикации

CLEANING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20190259578A1
Автор: OKA Shinsuke
Принадлежит: TOKYO ELECTRON LIMITED

A cleaning method removes a silicon oxide film by plasma from a member that is provided in a processing container of a plasma processing apparatus and having the silicon oxide film formed on its surface. The cleaning method includes: supplying a processing gas into the processing container; generating plasma of the processing gas that is supplied into the processing container; and applying bias power that draws ions in the plasma of the processing gas to the member. A ratio of a value of the bias power to a pressure in the processing container is 1.0 W/mTorr or less. 1. A cleaning method comprising:supplying a processing gas into a processing container of a plasma processing apparatus including a member having a silicon oxide film formed on its surface;generating plasma of the processing gas that is supplied into the processing container; andapplying bias power that draws ions in the plasma of the processing gas to the member,wherein a ratio of a value of the bias power to a pressure in the processing container is 1.0 W/mTorr or less.2. The cleaning method of claim 1 , wherein the pressure in the processing container is in a range of 250 mTorr to 400 mTorr.3. The cleaning method of claim 1 , wherein the processing gas is a mixed gas containing a fluorine-containing gas and an oxygen-containing gas claim 1 , andin the mixed gas, a ratio of a flow rate of the oxygen-containing gas to a flow rate of the fluorine-containing gas is 0.7 or more.4. The cleaning method of claim 3 , wherein a total flow rate of the mixed gas is 710 sccm or less when the pressure in the processing container is 250 mTorr.5. The cleaning method of claim 3 , wherein a total flow rate of the mixed gas is 860 sccm or less when the pressure in the processing container is 300 mTorr.6. The cleaning method of claim 3 , wherein a total flow rate of the mixed gas is 1 claim 3 ,000 sccm or less when the pressure in the processing container is 350 mTorr.7. The cleaning method of claim 3 , wherein a total ...

Подробнее
22-08-2019 дата публикации

LOW-PRESSURE PLASMA CHAMBER, LOW-PRESSURE PLASMA INSTALLATION AND METHOD FOR PRODUCING A LOW-PRESSURE PLASMA CHAMBER

Номер: US20190259584A1
Принадлежит:

A parallelepipedal low-pressure plasma chamber body of glass is disclosed. The low-pressure plasma chamber may have electrodes at opposing sides of the low-pressure plasma chamber body. Furthermore, the low-pressure plasma chamber may have at opposing sides a door and a rear wall closure. The door and rear wall closure may in each case have at least one media connection in order to achieve a uniform gas flow in the low-pressure plasma chamber. The door may be assembled on the collar of the low-pressure plasma chamber body which extends radially away from the longitudinal axis of the low-pressure plasma chamber body. The low-pressure plasma chamber body is preferably produced using the pressing method or blow-and-blow method, in an analogous manner to industrial glass bottle production. 1. A low-pressure plasma chamber for a low-pressure plasma installation for plasma processing of a component in the low-pressure plasma chamber , the low-pressure plasma chamber comprising:a low-pressure plasma chamber body of glass or porcelain;wherein a cross-section of the low-pressure plasma chamber body is constructed to be quadrilateral.2. The low-pressure plasma chamber according to claim 1 , wherein the quadrilateral cross-section of the low pressure plasma chamber body is constructed to be rectangular.3. The low-pressure plasma chamber according to claim 1 , wherein the low-pressure plasma chamber body extends in an elongate manner in the direction of a longitudinal axis thereof.4. The low-pressure plasma chamber according to claim 3 , wherein the cross-section of the low-pressure plasma chamber body is constructed along the longitudinal axis thereof to be more than 40% identical.5. The low-pressure plasma chamber according to claim 3 , wherein the cross-section of the low-pressure plasma chamber body is constructed along the longitudinal axis thereof to be more than 60% identical.6. The low-pressure plasma chamber according to claim 3 , wherein the cross-section of the low- ...

Подробнее