Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 2028. Отображено 196.
28-07-2016 дата публикации

Trägerplatte und doppelseitige Werkstückpoliervorrichtung

Номер: DE112014004942T5
Принадлежит: SUMCO CORP, SUMCO CORPORATION

Eine Trägerplatte für eine doppelseitige Werkstückpoliervorrichtung umfasst ein Halteloch zum Halten eines Werkstücks. Hauptnuten sind auf mindestens einer Oberseite der Trägerplatte angeordnet, wobei sich die Hauptnuten jeweils zwischen zwei Positionen an einem Rand der Trägerplatte erstrecken, der durch das Halteloch definiert wird. Eine doppelseitige Werkstückpoliervorrichtung umfasst eine rotierende Platte, die eine obere Platte und eine untere Platte umfasst, ein Sonnenrad, das in einem mittigen Abschnitt der rotierenden Platte angeordnet ist, ein inneres Zahnrad, das an einem Außenumfangsabschnitt der rotierenden Platte angeordnet ist, und die Trägerplatte, die zwischen der oberen Platte und der unteren Platte angeordnet ist.

Подробнее
05-05-2020 дата публикации

Semiconductor wafer storage device and storage method

Номер: CN0111099132A
Автор:
Принадлежит:

Подробнее
07-08-1996 дата публикации

Wafer shipper and package

Номер: CN0001128233A
Принадлежит:

Подробнее
17-08-2011 дата публикации

Fixation carrier, production method of fixation carrier, use method of fixation carrier, and substrate reception container

Номер: CN0101116180B
Принадлежит:

An object is to provide a fixing carrier, a fixing carrier manufacturing method, a method of using the fixing carrier and a substrate storage container, which provide proper shipment of items to be loaded that are prone to warp and crack. A base 2 having rigidity is hollowed on its surface and formed with a sectioned space 3 laminated and covered by a supporting layer 8 that supports semiconductor wafer W, multiple projections 4 that are formed in the sectioned space and supports supporting layer 8 in contact therewith and an exhaust passage 6 that is bored through base 2 and draws air out ofsectioned space 3 covered by supporting layer 8. Since semiconductor wafer W that is prone to warp and crack is supported by supporting layer 8 of a fixing carrier 1 and accommodated in the containerbody of a substrate storage container, instead of being kept directly in the container, so it is possible to achieve safe and proper shipment between factories.

Подробнее
25-01-2019 дата публикации

화학기계적연마장치 캐리어헤드용 기판수용부재

Номер: KR0101942628B1
Автор: 강준모
Принадлежит: 강준모

... 본 발명은 화학기계적연마장치 캐리어헤드용 기판수용부재에 관한 것이다. 본 발명에 따른 화학기계적연마장치 캐리어헤드용 기판수용부재는, 기판을 수용하는 외부면을 포함하는 원형의 밑판, 상기 밑판의 가장자리로부터 높이방향으로 연장되는 외주부, 그리고 상기 외주부의 외측으로부터 갈라져 나온 체결부 및 상기 외주부의 내측으로부터 갈라져 나온 접촉부를 포함하되, 상기 접촉부는 상부 내측을 향하는 것을 특징으로 한다.

Подробнее
26-06-2020 дата публикации

Separator

Номер: KR0102111868B1
Автор:
Принадлежит:

Подробнее
30-04-2010 дата публикации

METHOD AND APPARATUS FOR PROVIDING FLAT PANEL DISPLAY ENVIRONMENTAL ISOLATION

Номер: KR1020100044782A
Принадлежит:

A container for supporting substrates for processing is provided. The container includes a base, a top, and side panels connecting the base and the top. A support structure is disposed in the container. The support structure is configured to support the substrates within the container. The support structure has rows of multiple tensile members extending across a width of the container. Each row of the multiple tensile members is configured to support a substrate, wherein one of the side panels includes a moveable flexible membrane enabling access into the container. A support structure for the flexible membrane includes a synchronization mechanism for synchronizing movement of the flexible membrane with a door of a receiving module of a processing tool or a door of the processing tool. COPYRIGHT KIPO & WIPO 2010 ...

Подробнее
04-01-2019 дата публикации

기판 용기용 래칭 기구

Номер: KR1020190001605A
Принадлежит:

... 본 발명은 회전 캠에 의해 작동되는 래치 기구 내의 로커 연동부 또는 선형 캠 배열을 사용하는 기판 용기에 관한 것이다. 로커 연동부 또는 선형 캠은 기판 용기의 도어의 내부 패널에 장착되고 내부 패널의 에지 부분에 근접하게 배치될 수 있다. 로커 연동부 또는 선형 캠은 밀봉 부재에 대해 도어를 안착시키기 위해 기판 용기의 하우징 상에 축방향 힘 성분을 가하도록 구성될 수 있다. 로커 연동부 또는 선형 캠은 또한 축방향 래칭 힘을 도어로 전달하여 캠으로의 힘의 전달을 감소시킨다. 로커 연동부 또는 선형 캠은 래치 기구가 맞물릴 때 반경방향 외향 방향으로 축방향 힘을 전달하도록 배열되어 회전 캠 상의 반발을 방지할 수 있다.

Подробнее
15-03-2016 дата публикации

SUBSTRATE ACCOMMODATING CONTAINER, LOAD PORT DEVICE, AND SUBSTRATE PROCESSING DEVICE

Номер: KR1020160029713A
Принадлежит:

The present invention provides a substrate accommodating container properly permitting carrying out of a substrate, a load port device, and a substrate processing device. The substrate accommodating container includes: a housing; a shelf member; a support member for a housing, supporting an end of the substrate; a moving device moving the substrate; a cover; and a support member for a cover, which supports the end of the substrate. The support member for a housing includes an innermost unit supporting the end of the substrate (W) to be immovable to the upper side. When the cover is attached on the housing, the support member for a housing and the support member for a cover clamp the end of the substrate in a state in which the lower surface of the substrate is not in contact with the shelf member. Also, the support member for the housing supports the end of the substrate in the innermost unit. When the cover is separated from the housing, the moving device moves the substrate supported ...

Подробнее
27-05-2005 дата публикации

CASE FOR TRANSPORTATION OF LIQUID CRYSTAL CELLS, WHICH IS FORMED THROUGH FOAM PROCESS TO REDUCE DAMAGE TO LIQUID CRYSTAL CELLS DURING TRANSPORTATION

Номер: KR1020050049586A
Автор: KIM, HYO JIN
Принадлежит:

PURPOSE: A case for transportation of liquid crystal cells is provided to prevent crack of glass and reduce foreign substances during transportation, by forming the case through a foam process rather than injection molding process. CONSTITUTION: A case comprises a transportation case(200) and a case cover(300). The transportation case has an inner surface with an open side, and coupling portions(201) opposed with each other on the inner surface so as to accommodate and fix liquid crystal cells(205). The transportation case has a bottom where an attachable/detachable pad is connected, and a rubber band(203) arranged along an upper circumference of the transportation case. The cave cover is coupled with the rubber band so as to prevent inflow of foreign substance into the case. © KIPO 2006 ...

Подробнее
02-12-2004 дата публикации

COVER FOR THIN PLATE SUPPORT CONTAINER WHICH HAS IMPROVED STRUCTURE

Номер: KR20040100905A
Принадлежит:

PURPOSE: A cover for a thin plate support container is provided to be stably fixed to the container without the increase of size and to prevent the rotation of a thin plate due to the vibration from outside by improving the structure. CONSTITUTION: A cover(15) includes a simple attaching/detaching part, The attaching/detaching part(32) is capable of being attached to a thin plate support container or detached from the container. The attaching/detaching part includes a locking member(42), a feeding member, a front end side cam, and a base end side cam. The feeding member(43) is connected with the locking member to move the locking member to and fro. The front end side cam(40) is used for pushing a front end side of the locking member to one direction. The base end side of cam(53) is used for pushing a base end side of the locking member to the other direction. © KIPO 2005 ...

Подробнее
11-12-2017 дата публикации

Номер: KR1020170136500A
Автор:
Принадлежит:

Подробнее
07-01-2015 дата публикации

Номер: KR1020150002802A
Автор:
Принадлежит:

Подробнее
20-03-2023 дата публикации

레티클 유지 시스템

Номер: KR102512200B1

... 내부 포드 및 외부 포드를 포함하는 레티클 유지 시스템을 개시한다. 내부 포드는 내부 베이스, 내부 베이스에 결합됨으로써 수용된 가공편을 저장하기 위한 내부를 형성하도록 구성된 내부 커버, 및 내부 커버를 관통하여 이동 가능하게 배치되며 수용된 가공편을 가압하도록 구성된 압착 핀을 포함한다. 외부 포드는 내부 베이스를 수용하도록 구성된 외부 베이스, 외부 커버, 및 푸싱 요소를 포함한다. 압착 핀, 외부 커버, 및 푸싱 요소는 전하 소산 특성을 갖는다. 푸싱 요소가 압착 핀을 밀어 가공편을 가압하면, 수용된 가공편에서부터 압착 핀 및 푸싱 요소를 통과하여 외부 커버에 이르는 전하 소산 경로가 형성된다.

Подробнее
01-04-2009 дата публикации

Method and apparatus for providing flat panel display environmental isolation

Номер: TW0200914338A
Принадлежит:

A container for supporting substrates for processing is provided. The container includes a base, a top, and side panels connecting the base and the top. A support structure is disposed in the container. The support structure is configured to support the substrates within the container. The support structure has rows of multiple tensile members extending across a width of the container. Each row of the multiple tensile members is configured to support a substrate, wherein one of the side panels includes a moveable flexible membrane enabling access into the container. A support structure for the flexible membrane includes a synchronization mechanism for synchronizing movement of the flexible membrane with a door of a receiving module of a processing tool or a door of the processing tool.

Подробнее
30-10-2019 дата публикации

SUBSTRATE STORAGE CONTAINER

Номер: SG11201908168WA
Принадлежит:

SUBSTRATE STORAGE CONTAINER The present invention is a substrate 5 storage container (1) comprising a container body (2) capable of containing a plurality of substrates (W), and an air supply member (50) capable of supplying gas (G) from outside of the container body (2) to an internal space, wherein for the substrate 10 storage container (1) the container body is formed in a front open box and the air supply member (50) is attached to the bottom surface (2f), and wherein a functional unit (U; 3R, 3L, U1, U2, U3, U4) that changes the environment of the internal space to 15 different states are connected with the air supply member so as to be able to be exchanged. Thus, providing a substrate storage container in which functional units that change the environment of the internal space can be easily 20 replaced is possible. [Fig. 13] ...

Подробнее
12-09-2014 дата публикации

SUBSTRATE STORAGE RECEPTACLE

Номер: WO2014136247A1
Принадлежит:

A substrate storage receptacle is provided with a receptacle main unit, a lid, side substrate support portions, a lid-side substrate support portion, and an end-side substrate support portion. The lid-side substrate support portion and/or the end-side substrate support portion has a slope-facing surface (601 or 731) which can face a front face (W11) of the substrate (W) in a positional relationship intersecting the front face (W11) of the substrate (W), and an abutting surface (602 or 732) which can abut an edge of a rear face (W21) of the substrate (W). When an angle formed by either face (W11) of the front face (W11) or the rear face (W22) of the substrate (W) and the slope-facing surface (601 or 731) is a, and an angle formed by the one face (W11) of the substrate (W) and the abutting surface (602 or 732) is b, a and b have the relationship of a > b.

Подробнее
05-08-2004 дата публикации

Protective interleaf for stacked wafer shipping

Номер: US20040149623A1
Принадлежит:

A package includes a first and a second wafer stored therein in a stacked configuration. The first wafer has interconnection conductor material portions extending from a first surface thereof. The interconnection conductor material portions have a maximum height. An interleaf member is located between the first and second wafers. A first recessed portion is formed in the interleaf member, and it has an outer perimeter shape corresponding to an outer perimeter shape of the first wafer. The first recessed portion has a first depth from a top surface of the interleaf member. A second recessed portion is formed in the interleaf member and located at least partially within the first recessed portion, and it has a bottom surface at a second depth from the top surface. The second depth is greater than the first depth. The second depth minus the first depth is greater than the maximum height.

Подробнее
19-08-2003 дата публикации

300 mm microenvironment pod with door on side

Номер: USRE38221E
Автор:
Принадлежит:

Подробнее
03-03-2009 дата публикации

Thin plate supporting container

Номер: US0007497333B2

A thin plate supporting container includes a container body for housing therein semiconductor wafers, a lid unit for closing the container body, and slotted plates for supporting the semiconductor wafers from opposing sides. There are provided an upper fitting portion for supporting an upper portion of the slotted plate, and a lower fitting portion for supporting a lower portion of the slotted plate. An upper fitting piece of the upper fitting portion has a planar contact face which comes into contact with the slotted plate to thereby position the slotted plate in the front/rear direction while suppressing swing of the slotted plate. The upper receiving element on the slotted plate has a planar contact face. The lower fitting portion provides vertical, horizontal and front/rear positioning.

Подробнее
29-03-2016 дата публикации

Bendable carrier mount, device and method for releasing a carrier substrate

Номер: US0009296193B2

A flexible carrier mount for mounting of a carrier substrate when the carrier substrate is detached from a product substrate, detachment means being provided for debonding the product substrate with bending of the carrier substrate. A device for detaching a carrier substrate from one product substrate in one detachment direction having: a carrier mount flexible in the detachment direction for mounting the carrier substrate, a substrate mount for mounting the product substrate, and detachment means for debonding the carrier substrate from the product substrate with bending of the carrier substrate. A method for detaching a carrier substrate from a product substrate in one detachment direction with the steps: mounting the product substrate with a substrate mount and mounting the carrier substrate with a carrier mount flexible in the detachment direction and debonding the carrier substrate from the product substrate with bending of the carrier substrate.

Подробнее
30-12-2014 дата публикации

Methods and apparatus for large diameter wafer handling

Номер: US0008919563B2
Принадлежит: Entegris, Inc., ENTEGRIS INC, ENTEGRIS, INC.

A front semiconductor opening wafer container for large diameter wafers includes a container portion and a door. The container portion includes a left closed side, a right closed side, a closed back, an open front, and an open interior including a plurality of slots for receiving and containing the wafers. The door is attachable to the container portion to close the open front and selectively latchable to the container portion. The container portion can accommodate large diameter wafers, particularly 450 mm wafers. Optimized sag control is provided as well as enhanced structural rigidity, and wafer seating features.

Подробнее
25-10-1988 дата публикации

Container for a plurality of disk-shaped articles and container part thereof

Номер: US0004779732A
Автор:
Принадлежит:

In a container for a plurality of disk-shaped articles, the partly opened base portion possessing receiving grooves consists of two substantially identical parts which can be assembled, and two further, substantially identical cover parts which at least partially close the openings, and means are provided for disengageably locking the parts of the base portion to the cover parts. Interlocking stacking means are used for stacking a plurality of the base parts, with or without cover parts. The container of the parts of the base portion are useful for any transportation and archiving purposes during production of the articles or by their end users.

Подробнее
28-02-2023 дата публикации

Frame cassette for holding tape-frames

Номер: US0011594439B2
Принадлежит: Infineon Technologies AG

According to various embodiments, a frame cassette includes a housing and a mounting structure within the housing. The mounting structure includes a plurality of tape-frame slots, each tape-frame slot configured to receive a tape-frame. The housing includes an opening configured to introduce a tape-frame into a tape-frame slot of the plurality of tape-frame slots, or to remove the tape frame from the tape-frame slot of the plurality of tape-frame slots. The housing also includes an electrostatic discharge protection. A corresponding automatic transportation system and method of automatic transportation of semiconductor wafers is also provided.

Подробнее
02-01-2013 дата публикации

ARRANGEMENT, SYSTEM, AND METHOD FOR PROCESSING MULTILAYER BODIES

Номер: EP2539927A1
Принадлежит:

Подробнее
29-06-2005 дата публикации

SUBSTRATE-STORING CONTAINER

Номер: EP0001548820A1
Принадлежит:

The present invention is to provide a substrate storage container that can prevent pollution of substrates and clean environments for substrate processing resulting from generation of abraded particles. The substrate storage container includes: a front-opening box type container body for storing a multiple number of substrates in alignment therein; a door for opening and closing the open front of the container body in a sealing manner; and inner-pressure adjustment devices attached to the mounting ports in the container body and the door for adjusting the pressure inside the container body closed with the door. The inner-pressure adjustment device is configured of an elastic attachment cylinder, a filter support structure fitted into, and protected by, the attachment cylinder and a multiple number of filters held inside the filter support structure. Since the inner-pressure adjustment device can be set by mounting an attachment cylinder of a simple structure by use of elastic deformation ...

Подробнее
30-08-2006 дата публикации

WAFER CONTAINER WITH DOOR ACTUATED WAFER RESTRAINT

Номер: EP0001694585A2
Принадлежит:

Подробнее
17-10-1980 дата публикации

CONVEYING TRANSPORTATION CONTAINER FOR SEMICONDUCTOR SUBSTRATE WAFER

Номер: JP0055133533A
Автор: DAGURASU EMU JIYONSON
Принадлежит:

Подробнее
19-07-1999 дата публикации

Номер: JP0002921955B2
Автор:
Принадлежит:

Подробнее
18-06-2020 дата публикации

Substratkassette

Номер: DE102019133136A1
Принадлежит:

Eine Substratkassette (10) zur Aufnahme mehrerer übereinandergestapelter Substrate (32), insbesondere Wafer, hat ein Gehäuse (12), das eine erste Seite (14) und eine zur ersten Seite (14) parallele zweite Seite (16) umfasst, wobei wenigstens eine längliche erste Auflage (20) für ein Substrat (32) innerhalb des Gehäuses (12) zwischen den Seiten (14, 16) vorgesehen ist, die zumindest abschnittsweise von der ersten Seite (14) beabstandet ist, wobei die erste Seite (14) der wenigstens einen ersten Auflage (20) am nächsten liegt.

Подробнее
29-08-2002 дата публикации

Transportbox für optische Masken

Номер: DE0020106909U1
Автор:

Подробнее
12-01-2000 дата публикации

A wafer carrier

Номер: GB0002338924A
Принадлежит:

A composite wafer carrier according to an embodiment of the present invention comprises an operative portion formed of a first thermoplastic material and a support portion formed of a second different thermoplastic material. One of the operative portion and support portion is overmolded onto the other to form a gapless hermitic interface that securely bonds the portions together. The operative portion may be a transparent window, a portion of a latching mechanism or a wafer contact portion. Preferred embodiments of the invention include wafer carriers with said features, process carriers with said features and a process for manufacturing wafer carriers with said features.

Подробнее
21-03-2018 дата публикации

Tray for holding at least one wafer

Номер: GB0002553792A
Принадлежит:

A tray 10 for holding at least one wafer, comprising at least one tray pocket 20 with a recess for holding the wafer such that a front side and a back side of the wafer can be coated by, for example, a gas state to a solid state deposition technique such as PECVD, wherein the tray pocket 20 comprises protrusions 40, 41, 42, 43 extending from an inner rim 17 of the tray pocket 20, the protrusions 40, 41, 42, 43 supporting the back side of the wafer, such that only a minor part of the outer edge of the back side of the wafer is supported by the tray 10. Less than 2% of the surface area of the back side of the wafer may be supported by the protrusions 40, 41, 42, 43. The pocket 20 may be rectangular or square and have equidistant trapezoidal protrusions at the corners or centres of the pocket 20 sides.

Подробнее
09-09-1998 дата публикации

Transport module

Номер: GB0009815090D0
Принадлежит:

Подробнее
15-01-1997 дата публикации

A SEAL-CASH CONTAMINATION-PROOF CONTAINER PACKING FOR WAFER

Номер: AT0000147542T
Принадлежит:

Подробнее
15-06-2020 дата публикации

Substrate cassette

Номер: AT0000521925A2
Автор:
Принадлежит:

Eine Substratkassette (10) zur Aufnahme mehrerer übereinandergestapelter Substrate (32), insbesondere Wafer, hat ein Gehäuse (12), das eine erste Seite (14) und eine zur ersten Seite (14) parallele zweite Seite (16) umfasst, wobei wenigstens eine längliche erste Auflage (20) für ein Substrat (32) innerhalb des Gehäuses (12) zwischen den Seiten (14, 16) vorgesehen ist, die zumindest abschnittsweise von der ersten Seite (14) beabstandet ist, wobei die erste Seite (14) der wenigstens einen ersten Auflage (20) am nächsten liegt.

Подробнее
05-12-2017 дата публикации

SYSTEMS AND METHODS FOR HANDLING WAFERS

Номер: CA0002756831C

A system for handling wafers comprising: at least one unload station; at least one intermediate station designed to hold the wafers at an angle; a processing station; and a transfer device configured to move the wafers between the stations. The intermediate station may be configured to receive the wafers in a back-to-back arrangement. An apparatus for handling wafers comprising: on one side, a vacuum gripper configured to grip individual wafers; and, on the other side, a gravity gripper configured to support one or more wafers when positioned beneath the wafers and lifted. A method for handling wafers, comprising: unloading wafers; transferring the wafers to an intermediate station; transferring the wafers from the intermediate station to a processing station; treating the wafers; unloading the wafers from the processing station; and reloading the wafers in a carrier, wherein the wafers are unloaded, transferred and reloaded by a transfer device.

Подробнее
28-11-1999 дата публикации

COMPOSITE SUBSTRATE CARRIER

Номер: CA0002273459A1
Принадлежит:

A wafer carrier is formed from at least two different melt processable plastic materials in which the two plastic materials are strategically positioned for optimal performance and have a thermophysical bond created during an overmolding process. The invention includes carriers made of such different melt processable plastic materials and includes the process for manufacturing such carriers. In a preferred embodiment a H-bar wafer carrier will have a first structural portion molded of polycarbonate in a first mold cavity and will then have the polycarbonate molded portion placed in a second mold cavity and polyetheretherketone will be injection molded to form wafer contact portions on the H-bar carrier. Process temperatures and mold temperatures are controlled to provide optimal bonding between the dissimilar materials. Thus, an integral wafer carrier of composite materials is formed. An additional embodiment utilizes components such as shelves or sidewall inserts for holding wafers molded ...

Подробнее
30-05-2013 дата публикации

SYSTEM, APPARATUS AND METHOD OF TREATMENT OF MUCH LAYER BODIES

Номер: EA0201290687A1
Автор:
Принадлежит:

Подробнее
28-02-2013 дата публикации

УСТРОЙСТВО ДЛЯ ФОРМИРОВАНИЯ УМЕНЬШЕННОГО ПРОСТРАНСТВА КАМЕРЫ, А ТАКЖЕ СПОСОБ ПОЗИЦИОНИРОВАНИЯ МНОГОСЛОЙНЫХ ЭЛЕМЕНТОВ

Номер: EA0201290686A1
Принадлежит:

Изобретение относится к устройству для формирования уменьшенного пространства камеры, например обрабатывающему боксу или колпаку для проведения обработки, которое располагает устройством для позиционирования по меньшей мере двух многослойных элементов, соответственно, по меньшей мере с одной обрабатываемой поверхностью, причем устройство выполнено таким образом, что многослойные элементы являются противолежащими, причем обрабатываемые поверхности обращены в противоположные стороны друг от друга так, что многослойные элементы могут быть обработаны в одной обрабатывающей установке в виде системы многослойных элементов. Кроме того, оно относится к способу позиционирования по меньшей мере двух многослойных элементов, соответственно, по меньшей мере с одной обрабатываемой поверхностью, в котором два многослойных элемента размещены в таком устройстве для формирования уменьшенного пространства камеры таким образом, что многослойные элементы являются противолежащими, причем обрабатываемые поверхности ...

Подробнее
16-06-2000 дата публикации

DEVICE OF TRANSPORT OF SILICON WAFERS FOR SEMICONDUCTORS

Номер: FR0002770498B1
Автор: NYSETH, BHATT, EGGUM, WISEMAN, ADAMS
Принадлежит: ENTEGRIS INC

Подробнее
18-08-1978 дата публикации

EMBALLAGE POUR DISQUES SEMI-CONDUCTEURS

Номер: FR0002377949A
Автор:
Принадлежит:

Claie empilable pour emballage de disques semi-conducteurs, comprenant un élement inférieur recevant les disques et un couvercle correspondant. Cette claie en matière plastique inerte vis-à-vis des disques fabriquée par emboutissage et l'élément inférieur comporte sur ses deux grands côtés verticaux des nervures de guidage des bisques et dans le fond des nervures de stabilisation, la partie inférieure des grands côtés étant pliée vers l'intérieur et comportant dans les surfaces de transition des protubérances servant de points d'appui aux disques et le couvercle à parois latérales verticales est muni à l'intérieur de deux rangées longitudinales de cônes de retenue à surface de base jointive, chaque disque étant maintenu sur sa circonférence entre deux cônes de chaque rangée ...

Подробнее
18-11-2010 дата публикации

Thin plate storage container

Номер: KR0100995446B1
Автор:
Принадлежит:

Подробнее
29-11-2016 дата публикации

코팅된 기판을 처리하기 위한 처리 박스, 장치 및 방법

Номер: KR0101680950B1
Принадлежит: 쌩-고벵 글래스 프랑스

... 본 발명은 한 면이 코팅된 기판을 처리하기 위한 수송 가능한 처리 박스로서, 제1 기판이 전체 면적에 걸쳐 지지되는 방식으로 제1 기판을 배치하고 기판의 코팅이 기부의 밑면에 공급되는 방사 에너지에 의해 열처리를 받을 수 있도록 구현되는 기부, 프레임, 프레임 상에 배치되는 커버, 기부와 커버 사이에 배열되고 제2 기판이 전체 면적에 걸쳐 지지되는 방식으로 제2 기판을 배치하기 위한 중간 요소를 포함하고, 커버는 기판의 코팅이 커버의 상면에 공급되는 방사선에 의해 열처리를 받을 수 있도록 구현되는 처리 박스에 관한 것이다. 본 발명은 또한 기판을 처리하기 위한 장치와 방법으로서, 처리 박스 또는 처리 캐리어가 장착되고 기판이 적재되어 처리 챔버로 수송되며 방사 에너지가 커버 상부 및/또는 기부 하부로부터 조사되는 장치와 방법에 관한 것이다. 사전 장착형 처리 캐리어의 경우에는, 처리 박스를 형성하기 위해 커버나 프레임에 연결된 커버가 처리 캐리어로 전달된다.

Подробнее
23-10-2006 дата публикации

Thin-plate accommodating and transporting container

Номер: KR0100637967B1
Автор:
Принадлежит:

Подробнее
07-09-2007 дата публикации

FIXATION CARRIER, PRODUCTION METHOD OF FIXATION CARRIER, USE METHOD OF FIXATION CARRIER, AND SUBSTRATE RECEPTION CONTAINER

Номер: KR1020070091187A
Принадлежит:

Provided are a fixation carrier capable of appropriately transporting an easily warpable and breakable article, a production method of the fixation carrier, a use method of the fixation carrier, and a substrate reception container. The fixation carrier has partitioned spaces (3) that are formed as depressions in the surface of a rigid base material (2) and are covered in a layered manner by a holding layer (8) for holding a semiconductor wafer W; projections (4) that are arranged side by side next to the partitioned spaces (3) to support the holding layer (8) in a contacting manner; and an air discharge path (6) that is provided in the base material (2) and guides air in the partitioned spaces (3), covered by the holding layer (8), to the outside. The semiconductor wafer W that is easily warpable and breakable is not directly received in a container body of the substrate reception container but received in the container body while being held by the holding layer (8) of the fixation carrier ...

Подробнее
08-08-2017 дата публикации

WAFER CASSETTE

Номер: KR1020170091031A
Принадлежит:

The present invention relates to a wafer cassette including a case, a plurality of wafer trays, and a plurality electrically-driven means. Each of the wafer trays is installed inside the case and includes a central opening, a first groove and a second groove. The diameter of the second groove is larger than the diameter of the first groove, a bottom surface of the second groove is higher than a bottom surface of the second groove, and the central opening is surrounded by the second groove and the first groove. Each of the electrically-driven means is connected to each of the wafer trays to allow the wafer tray to move between an extraction position and a storage position. Since the wafer trays are provided with grooves having different diameters, wafers of different sizes can be loaded thereon. In addition, the main difference from an existing wafer cassette is that each wafer tray is provided with one groove and the diameter of a groove of at least one among the wafer trays is different ...

Подробнее
10-03-2017 дата публикации

STORAGE RACK

Номер: KR1020170027670A
Автор: OMORI KAZUYA
Принадлежит:

A storage rack including a plurality of storage sections comprises: a plurality of columns with one column provided at each end along the right and left direction and a column provided at a position between two mutually adjacent storage sections; a transverse beam member extending along the right and left direction; and a support portion for supporting a stored object. Each column includes a pair of divided column members which are spaced apart from each other. The transverse beam member is fixed at a location between the divided column members. The support portion is supported by two mutually adjacent columns in a cantilever manner such that the support portion is in contact with the transverse beam member. COPYRIGHT KIPO 2017 ...

Подробнее
16-03-2015 дата публикации

Packaging insert

Номер: TW0201509767A
Принадлежит:

A packaging insert includes a frame that is configured to occupy a selected amount of space within a container at least in an area corresponding to a periphery of the container contents, such as silicon wafers. The packing insert includes a plurality of spring members projecting from the frame at an oblique angle relative to a reference surface on the frame. The spring members are configured to flex or move responsive to contact with a surface on the container as the container is closed. The resiliency of the spring members provides a secure positioning of the packaging insert within the container that facilitates maintaining the contents of the container, such as silicon wafers, in a desired condition within the container.

Подробнее
01-04-2021 дата публикации

Номер: TWI723122B
Принадлежит: TOKYO ELECTRON LTD, TOKYO ELECTRON LIMITED

Подробнее
11-06-2017 дата публикации

Wafer carrier

Номер: TWI587444B
Принадлежит: ENTEGRIS INC, ENTEGRIS, INC.

Подробнее
25-07-2019 дата публикации

MASK TRANSMISSION EQUIPMENT

Номер: US20190228999A1
Принадлежит:

A mask transmission equipment is provided. The mask transmission equipment includes a wafer transmission container and a supporting bracket. The wafer transmission container includes a plurality of first positioning grooves and a plurality of second positioning grooves. The first positioning grooves face the second positioning grooves. The first positioning grooves and the second positioning grooves are adapted to position a plurality of wafers. The supporting bracket is disposed in the wafer transmission container. The supporting bracket includes a first supporting unit, a second supporting unit, a first wing and a second wing. The first supporting unit is stacked on the second supporting unit. The first wing is disposed on a first side of the supporting bracket. The second wing is disposed on a second side of the supporting bracket. The first side is opposite to the second side. 1. A mask transmission equipment , comprising:a wafer transmission container, comprising a plurality of first positioning grooves and a plurality of second positioning grooves, wherein the first positioning grooves face the second positioning grooves, the first positioning grooves and the second positioning grooves are adapted to position a plurality of wafers, and each first positioning groove and the corresponding second positioning groove are adapted to position one of the wafers; anda supporting bracket, disposed in the wafer transmission container, comprising a first supporting unit, a second supporting unit, a first wing and a second wing, wherein the first supporting unit is stacked on the second supporting unit, the first wing is disposed on a first side of the supporting bracket, the second wing is disposed on a second side of the supporting bracket, the first side is opposite to the second side, the first wing is inserted into one of the first positioning grooves, and the second wing is inserted into one of the second positioning grooves.2. The mask transmission equipment as ...

Подробнее
17-07-2012 дата публикации

Semiconductor device manufacturing apparatus and wafer loading/unloading method thereof

Номер: US0008221045B2

A semiconductor manufacturing apparatus and a wafer loading/unloading method thereof increase productivity. The semiconductor manufacturing apparatus includes a first boat and a second boat having a plurality of first slots and a plurality of second slots, respectively, and disposed such that the first slots and the second slots alternate each other, the first boat mounting a plurality of first wafers in the first slots to direct front faces of the first wafers in a predetermined direction, the second boat mounting a plurality of second wafers in the second slots to direct back faces of the second wafers in the predetermined direction; a reaction tube having an opening and containing the first and second boats mounting the first and second wafers; a plate sealing up the opening of the reaction tube containing the first boat and the second boat; a reaction gas supplier supplying reaction gas into the sealed reaction tube for a predetermined process; and a reaction gas exhauster exhausting ...

Подробнее
01-11-2011 дата публикации

Wafer container with purgeable supporting module

Номер: US0008047379B2

A wafer container includes a container body, formed by a pair of side walls, a top surface, and a bottom surface, on one sidewall of which is formed with an opening and on the other sidewall opposite to the opening is formed with a back wall, a supporting module being disposed on each of said sidewall for supporting a plurality of wafers; and a door joining with opening of the container body with its inner surface for protecting the plurality of wafers within the container body, the characteristic in that: a purgeable supporting module is respectively disposed between each side wall of the container body and the back wall, a long slot is further disposed on the side of purgeable supporting module facing the opening, and an air inlet is further disposed on one end of the purgeable supporting module for being connected to an gas valve, wherein the purgeable supporting module is formed by a plurality of supporting ribs vertically arranged at intervals.

Подробнее
16-12-2014 дата публикации

Substrate storage container

Номер: US0008910792B2

The position of a substrate temporal placement piece (4) is set so that the substrate temporal placement piece (4) does not overlap a disc-shaped substrate (W) from a viewing direction perpendicular to the surface of the disc-shaped substrate (W), when a lid (20) is attached to a substrate transfer opening (2) of a container main body (1) and the disc-shaped substrate (W) is pressed to a location where the substrate is positioned and held by a back side holding portion (3). Thereby, even if the disc-shaped substrate (W) stored in the container main body (1) is bent due to vibration, impact, etc., there is no danger that the substrate (W) is in contact with the substrate temporal placement piece (4), and the disc-shaped substrate (W) having a larger diameter can be safely stored.

Подробнее
06-11-2018 дата публикации

Method of manufacturing semiconductor device

Номер: US0010121651B2

A technique capable of forming a side wall of a gate electrode having high resistance-to-etching and low leakage current is provided. A method of manufacturing a semiconductor device according to the technique includes: (a) loading a substrate into a processing space in a process vessel, the substrate having thereon a gate electrode and an insulating film formed on a side surface of the gate electrode as a side wall; and (b) forming an etching-resistant film containing carbon and nitrogen on a surface of the insulating film by supplying a carbon-containing gas into the processing space.

Подробнее
10-12-2019 дата публикации

Bridging front opening unified pod (FOUP)

Номер: US0010504762B2

A bridging front opening unified pod (FOUP) is provided herein. In some embodiments, the bridging FOUP includes a bottom floor, side walls, a ceiling and a back wall that forms an enclosure volume having a front opening, a plurality of supports coupled to the side walls and extending into the enclosure volume, wherein the plurality of supports are configured to support a substrate carrier, a base plate assembly comprising a base plate coupled to the bottom floor, a pair of L-brackets coupled to the base plate, wherein each L-brackets includes a short lift pin, a long lift pin coupled to the base plate, wherein the height of the short lift pins and the long lift pin is selected such that the substrate is kept level when disposed thereon, and a docking support disposed proximate the base plate assembly and configured to support a substrate carrier.

Подробнее
12-03-2020 дата публикации

TRANSPORT PACKAGING AND METHOD FOR EXPANDED WAFERS

Номер: US20200083076A1
Принадлежит: Texas Instruments Incorporated

Apparatus to store singulated wafers for transport, including multiple wafer assemblies stacked in the interior of a container housing, the individual wafer assemblies including an expanded laser diced wafer singulated into dies, a first frame spaced outward from the wafer on a carrier structure, a second frame spaced outward from the wafer and inward from the first frame on the carrier structure, and a foam structure that supports the second frame and the carrier structure. 1. An apparatus to store singulated wafers for transport , comprising:a container housing, including a sidewall defining an interior; and a wafer singulated into a plurality of dies, the wafer disposed on a carrier structure,', 'a first frame spaced along a first direction outward from the wafer on the carrier structure,', 'a second frame spaced along the first direction outward from the wafer and inward from the first frame on the carrier structure, and', 'a foam structure that supports the second frame and the carrier structure., 'wafer assemblies stacked in the interior of the container housing, each wafer assembly including2. The apparatus of claim 1 ,wherein the wafer is disposed on a first side of the carrier structure,wherein the first frame is disposed on the first side of the carrier structure,wherein the second frame is disposed on a second side of the carrier structure, andwherein the foam structure supports the second side of the carrier structure.3. The apparatus of claim 2 , wherein the wafer includes a first side disposed on the first side of the carrier structure claim 2 , and wherein each wafer assembly further includes a separator structure disposed on a second side of the wafer.4. The apparatus of claim 3 , wherein the separator structure includes a first side disposed on the second side of the wafer claim 3 , the apparatus further comprising a top foam structure disposed over a second side of the separator structure of an uppermost one of the wafer assemblies.5. The apparatus ...

Подробнее
27-06-2007 дата публикации

Reticle-carrying container

Номер: EP0001662324A3
Принадлежит:

The present invention supports a reticle (12) in a safe and secure manner. A reticle-carrying container (11) includes a pod (13) for storing the reticle (12), a door (14) and a seal (15) for blocking and sealing hermetically the pod (13). A pair of reticle retainers (25,45) is provided on the insides of the pod (13) and door (14). Each of the reticle retainers (25,45) includes an inclined plane (28) that contacts an upper corner (12A) or a lower corner (12B) of peripheral edge of the reticle (12) and supports the reticle (12) elastically. The inside of the inclined plane (28) is provided with concave portions (31,52) that enables a shock-absorbing function of allowing the deformation of the inclined plane (28), and supporting the reticle elastically, at the time of contact with the upper corner (12A) or the lower corner (12B) of peripheral edge of the reticle (12).

Подробнее
28-05-1997 дата публикации

SINGLE WAFER ROBOTIC PACKAGE

Номер: EP0000539533B1
Принадлежит: FLUOROWARE, INC.

Подробнее
07-02-1989 дата публикации

PACKAGE

Номер: JP0064036043A
Принадлежит:

PURPOSE: To release a tension from a semiconductor wafer through elasticity by having two rows each consisting of a large number of a projection formed to an upper face of an upper cover and members provided to a backside of the upper face to depress the wafer elastically, and engaging the upper cover and the main body so as to open the package from both side faces. CONSTITUTION: Hook parts 76, 82 are engaged with latch parts 41, 43 with friction. In this case, a wafer carrier 16 and a plurality of wafers 92a-92n are contained in a main body 12 and an upper cover 14 being components of the packade. Wafer contactors 84a-84n and 86a-86n lightly press a prescribed position at each circumferential ridge of the wafers 92a-92n and allows the wafers 92a-92n to take a seat onto a wafer carrier 16. Moreover, a pressing force from the wafer contactors 84a-84n and 86a-86n is delivered to the wafer carrier 16 via the wafers 92a-92n, and the carrier 16 is fixed to the main body 12 of the package.

Подробнее
15-01-1995 дата публикации

PACKING AND HANDLING SYSTEM FOR FOILS.

Номер: AT0000115938T
Принадлежит:

Подробнее
15-11-2021 дата публикации

Substratkassette

Номер: AT521925A3
Автор:
Принадлежит:

Eine Substratkassette (10) zur Aufnahme mehrerer übereinandergestapelter Substrate (32), insbesondere Wafer, hat ein Gehäuse (12), das eine erste Seite (14) und eine zur ersten Seite (14) parallele zweite Seite (16) umfasst, wobei wenigstens eine längliche erste Auflage (20) für ein Substrat (32) innerhalb des Gehäuses (12) zwischen den Seiten (14, 16) vorgesehen ist, die zumindest abschnittsweise von der ersten Seite (14) beabstandet ist, wobei die erste Seite (14) der wenigstens einen ersten Auflage (20) am nächsten liegt.

Подробнее
25-08-1981 дата публикации

WAFER PACKAGING SYSTEM

Номер: CA0001107691A1
Принадлежит:

Подробнее
08-06-1990 дата публикации

EXTENSIBLE DEVICE OF STORAGE Of FLAT OBJECTS

Номер: FR0002639922A1
Автор: DIDIER CRUZ, CRUZ DIDIER
Принадлежит:

Подробнее
12-03-1999 дата публикации

SUPPORT FOR THE TRANSPORT OF SECTIONS OF SEMICONDUCTOR

Номер: FR0002768135A1
Принадлежит:

L'invention concerne un support pour le transport de tranches de semi-conducteur. Le support comprend : a) une coque (58) comportant une paroi latérale gauche, une paroi latérale droite, une ouverture avant et un fond avec au moins une ouverture inférieure; b) une structure support centrale (60) comprenant au moins deux colonnes à étagères destinées à supporter des tranches empilées et alignées de manière axiale et une portion d'engagement inférieure apparente à travers ladite ouverture inférieure, lesdits organes support de tranches étant positionnés dans l'espace intérieur ouvert de la coque (58) et définissant une région de réception; et c) une porte (24) pour fermer l'ouverture avant de la coque.

Подробнее
23-01-2019 дата публикации

웨이퍼 지지체 및 이를 구비한 웨이퍼 보관 용기

Номер: KR1020190008009A
Принадлежит:

... 링 형상으로 형성되고, 원형의 웨이퍼를 지지하는 웨이퍼 지지체가 제공된다. 웨이퍼 지지체는 일측면에 상기 웨이퍼의 적어도 일부가 지지되는 지지면이 형성되고, 상기 지지면의 대향면에는 상기 웨이퍼 지지체의 원주 방향을 따라 홈부가 형성되는 몸체부; 상기 몸체부의 일측면에 돌출 형성되되, 상기 지지면의 반경 방향 외측에 형성되는 돌출부; 및 상기 돌출부의 일측면에 돌출 형성되되, 상기 돌출부의 원주 방향을 따라 소정 간격 이격되어 형성되는 복수개의 돌기부;를 포함하고, 상기 웨이퍼 지지체의 상기 돌출부 및 상기 돌기부는 상기 웨이퍼 지지체의 하부에 배치되며 동일한 구조를 갖는 다른 웨이퍼 지지체의 홈에 삽입되어, 상기 웨이퍼 지지체 및 다른 웨이퍼 지지체가 서로 적층가능하도록 형성될 수 있다.

Подробнее
06-12-2010 дата публикации

COVER FOR A THIN PLATE SUPPORTING CONTAINER, WHICH IS USED IN STORING, TRANSPORTING, AND MANUFACTURING PROCESSES

Номер: KR1020100127736A
Принадлежит:

PURPOSE: A cover for a thin plate supporting container is provided to firmly fix the cover to a container body by inputting a locking member with a lever effect. CONSTITUTION: A thin plate pushing unit supports a thin plate received in a container body. Two end supporting units are fixed to the rear of a cover. An abutting piece is directly abutted to the thin plate by distributing the plurality of abutting pieces along the outer surface of the thin plate. A body unit(30) with a thin square shape is formed. COPYRIGHT KIPO 2011 ...

Подробнее
09-06-2004 дата публикации

CONTAINER FOR STORING THIN PLATE TO IMPROVE SEALING STATE BY FIXING STABLY LID TO CONTAINER BODY USING SUPPORTERS AND CONTACT PARTS

Номер: KR20040048354A
Принадлежит:

PURPOSE: A container for storing a thin plate is provided to improve a sealing state by fixing stably a lid to a container body using supporters and contact parts. CONSTITUTION: A container body(2) stores a plurality of thin plates under clean conditions. A lid is used for sealing the body. A lid holding part(7) is installed in an opening portion of the body to fix the lid. A plurality of supporters(10) for supporting the lid are installed on desired portions of the body. Each supporter has a convex portion. A plurality of contact parts are installed, opposite to the supporters. The contact parts are used for supporting the lid by contacting the supporters. A concave groove is formed on the lid holding part, so that the convex portion of the supporter is strongly connected to the groove. © KIPO 2005 ...

Подробнее
26-01-2007 дата публикации

PLATE STORING BODY FOR SUPPLYING COMPONENT AND COMPONENT SUPPLYING APPARATUS

Номер: KR1020070012700A
Принадлежит:

A plurality of components (2) are arranged on a plurality of component supplying plates (6). A plate supplying body (50) stores a plurality of the component supplying plates (6) by stacking them. Among supporting guide parts (50b), supporting guide parts forming a pair can be discriminated from those supporting guide parts forming other pairs. An identifying mark part (114) is visibly formed in the plate supplying direction at an edge part of each supporting guide part or in the vicinity in such direction. © KIPO & WIPO 2007 ...

Подробнее
14-07-2006 дата публикации

SUBSTRATE CONTAINING CASE

Номер: KR1020060082078A
Автор: NAKAMAE SATOSHI
Принадлежит:

A substrate containing case having an upper cover and a lower cover coupled to each other through hinges such that they can be opened/closed freely. Upper and lower supporting parts of a substrate are provided at four corners of the upper and lower covers, projecting from the inner surfaces of the covers. The lower supporting part of the lower cover serves to position and support simultaneously two edges at each corner of the substrate on the lower surface side. When the upper cover is closed, two edges at each corner of the substrate on the upper surface side are secured by the upper supporting part of the upper cover, and thus the substrate is contained. © KIPO & WIPO 2007 ...

Подробнее
11-02-2019 дата публикации

트레이 보관 장치

Номер: KR1020190012703A
Автор: 최지현
Принадлежит:

... 본 발명의 일 측면에 따르면, 트레이가 적재되는 단위 트레이 보관 유닛이 상향으로 적층되는 트레이 보관 장치로서, 상기 단위 트레이 보관 유닛은, 상단에 상기 단위 트레이 보관 유닛의 하단이 삽입되도록 제1 단턱이 형성되며, 상기 제1 단턱의 내측에 상기 트레이의 가장자리가 삽입되어 지지되도록 제2 단턱이 형성되는 지지프레임과; 상단이 상기 지지프레임의 하단에 부착되며, 하단의 외주에 가장자리에서 내측으로 절개되어 제3 단턱이 형성되는 바닥플레이트를 포함하는, 트레이 보관 장치가 제공된다.

Подробнее
19-03-2018 дата публикации

ARTICLE STORAGE FACILITY

Номер: KR1020180028974A
Принадлежит:

Disclosed is an article storage facility. A wall body covering around the side of an article storage shelf (1) includes: a main wall portion (22) provided at an upwardly spaced position with respect to an installation floor (F1) in a posture following the vertical direction (Y); and a sub-wall portion (23b) provided at the upwardly spaced position with respect to the installation floor (F1). An inlet port (24) is formed at the upper end of the main wall portion (22). A first space (S1) surrounded by the main wall portion (22) and a second space (S2) below the sub-wall portion (23b) communicate with each other. An outlet port is formed below an end portion of a second direction (X2) with respect to the sub-wall portion (23b). And an end portion of a first direction (X1) in the sub-wall portion is connected to the lower end of the main wall portion (22) in a state that restricts the air flow between the sub-wall portion (23b) and the main wall portion (22). COPYRIGHT KIPO 2018 ...

Подробнее
14-11-2017 дата публикации

전자 장치 인캡슐레이션을 위한 기기 및 기술

Номер: KR1020170125410A
Принадлежит:

... 유기 발광 다이오드(OLED) 장치와 같은 발광 장치를 제조하느데 사용하기 위한 장치 및 기술은 제어된 환경을 가진 하나 이상의 모듈을 사용하는 단계를 포함할 수 있다. 제어된 환경은 대략 대기압 또는 대기압에서 유지될 수 있다. 모듈은 다양한 프로세싱 영역을 제공하고, OLED 장치의 유기 인캡슐레이션 층(OEL)과 같은 OLED 장치의 하나 이상의 패턴화된 유기층을 프린팅, 아니면 증착할 수 있도록 구성될 수 있다. 예시로서, 기판을 위한 균일한 기계적 지지대는, 가령, 프린팅 작업, 홀딩 작업 또는 OEL 제작 공정을 포함하는 경화 작업 중에 하나 이상의 작업 동안에, 가스 쿠션을 사용하여 적어도 일부에 제공될 수 있다. 또 다른 예시에서, 기판을 위한 균일한 기계적 지지대는 가령, 다공성 매질에 의해 제공되는 것과 같이, 분산된 진공 영역을 사용하여 제공될 수 있다.

Подробнее
25-08-1977 дата публикации

SYSTEME D'EMBALLAGE DE PASTILLES DE SEMI-CONDUCTEURS

Номер: BE851857A
Автор:
Принадлежит:

Подробнее
25-08-1977 дата публикации

SYSTEME D'EMBALLAGE DE PASTILLES DE SEMI-CONDUCTEURS

Номер: BE851858A
Автор:
Принадлежит:

Подробнее
01-06-2021 дата публикации

Cushion retainer for substrate container

Номер: TWI729068B
Принадлежит: ENTEGRIS INC, ENTEGRIS, INC.

Подробнее
01-10-2008 дата публикации

Номер: TWI301653B

Подробнее
11-07-2017 дата публикации

Replaceable wafer support backstop

Номер: TWI591001B
Принадлежит: ENTEGRIS INC, ENTEGRIS, INC.

Подробнее
16-02-2008 дата публикации

Metal photomask box

Номер: TW0200808622A
Принадлежит:

A metal photomask box structure is disclosed, which is composed of an upper cover member, a lower cover member, and a ring-shaped air-tight washer positioned between the upper metal cover member and the lower metal cover member. At least a movable connecting mechanism is disposed on one side of the metal photomask box to connect the upper metal cover member and the lower metal cover member. And at least a latch piece is disposed on an opposite side of the movable connecting mechanism to latch the upper metal cover member and the lower metal cover member.

Подробнее
01-07-2019 дата публикации

Transportation method

Номер: TW0201926528A
Принадлежит:

This transportation method for transporting a target object including a plurality of Fabry-Perot interference filters is provided with a first step of housing the target object in a housing container, wherein each Fabry-Perot interference filter includes a base plate, and a first mirror portion and a second mirror portion which are provided on the base plate and face one another across a gap, the distance therebetween being variable, and wherein, in the first step, the target object is housed and supported in the housing container in a state in which the plurality of Fabry-Perot interference filters are arranged two-dimensionally.

Подробнее
05-08-2010 дата публикации

SUBSTRATE CARRIER FOR MOUNTING SUBSTRATES

Номер: WO2010085949A2
Принадлежит:

The invention relates to a substrate carrier for mounting substrates, comprising a carrying frame (1) and at least one substrate receptacle (2). The carrying frame (1) has a self-supporting and flexurally rigid frame structure made of longitudinal ribs (6) and transverse ribs (7), which are disposed at an angle to each other and made of a material that is dimensionally stable up to 800ºC. The substrate receptacle (2) rests on the carrying frame (1) and has a plate-like design such that the solar cell wafers (5) can be positioned on the upper side of the substrate receptacle (2). Thermally insulating elements (9) are present between the carrying frame (1) and the substrate receptacle (2). The elements of the carrying frame (1) and the substrate receptacle (2) are made of steel, titanium, aluminum, ceramic, CFC (CFC = carbon fiber reinforced carbon) or composites made thereof.

Подробнее
19-05-2005 дата публикации

Hermetically sealed container for large-sized precision sheet (semi-) product

Номер: US20050103668A1
Принадлежит:

A relatively light resinous hermetically sealed container for a large-sized precision sheet (semi-)product, such as a photomask, is provided so as to accommodate, support and transport the precision sheet (semi-)product safely and in an airtight manner. The hermetically sealed container includes a main body and a lid member respectively having peripheral flange portions abutted to each other so as to accommodate a precision sheet (semi-)product therein. Each of the main body and the lid member is formed as a vacuum or air-pressure formed thermoplastic resin sheet equipped with a reinforcing rib.

Подробнее
25-11-2008 дата публикации

Lid unit for thin plate supporting container

Номер: US0007455181B2

The present invention is directed to a lid unit having a latching mechanism for firmly fixing the lid unit to a container body for containing semiconductor wafers and the like, which latching mechanism can be easily disassembled for cleaning and drying of its components, and to a wafer presser for retaining the semiconductor wafers in position when the container is shaken. The latching mechanism includes a locking member which, when projected, secures the lid unit to the container body; an actuator for moving the locking member between projected and retracted positions; a tip-side cam for pressing a tip portion of the locking member in one direction relative to the lid unit when the locking member is projected by the actuator; a base-end lower cam for pressing a base-end of the locking member in a second direction, opposite the one direction; and a base-end upper cam and a cam projection follower for pressing the base-end in the second direction. The wafer presser is supported by a supporting ...

Подробнее
23-01-2014 дата публикации

BENDABLE CARRIER MOUNT, DEVICE AND METHOD FOR RELEASING A CARRIER SUBSTRATE

Номер: US20140020847A1
Принадлежит: EV Group E. Thallner GmbH

A flexible carrier mount for mounting of a carrier substrate when the carrier substrate is detached from a product substrate, detachment means being provided for debonding the product substrate with bending of the carrier substrate. A device for detaching a carrier substrate from one product substrate in one detachment direction having: a carrier mount flexible in the detachment direction for mounting the carrier substrate, a substrate mount for mounting the product substrate, and detachment means for debonding the carrier substrate from the product substrate with bending of the carrier substrate. A method for detaching a carrier substrate from a product substrate in one detachment direction with the steps: mounting the product substrate with a substrate mount and mounting the carrier substrate with a carrier mount flexible in the detachment direction and debonding the carrier substrate from the product substrate with bending of the product substrate.

Подробнее
07-09-2021 дата публикации

Fume-removing device

Номер: US0011114325B2
Принадлежит: Bum Je Woo, WOO BUM JE, Woo Bum Je

The present invention relates to an apparatus for removing fume which includes, a wafer cassette for stacking wafers; and an exhaust for exhausting the fume of the wafers stacked in the wafer cassette, wherein the wafer cassette includes stacking shelves provided at both sides for stacking wafers; and a front opening for incoming and outgoing of the wafers which are being stacked in the stacking shelf, wherein the stacking shelves include multiple inclined ramp portions which are slanted towards the wafers stacked in the stacking shelves as they travel towards the front opening, wherein a purge gas outlet is provided in the inclined ramp portion for supplying purge gas for the wafers stacked in the stacking shelves. According to the present invention, the residual process gases on wafers can be removed efficiently.

Подробнее
12-04-2016 дата публикации

Wafer carrier

Номер: US0009312157B2

A front opening wafer container suitable for large wafers such as 450 mm utilizes componentry with separate fasteners to lock the componentry together in an expedient manner providing robust connections and cost efficiencies. A container portion has an open front and receives on a bottom surface a base plate secured by twist lock connectors that also provide recesses for purge grommets. Kinematic coupling components readily and robustly lock onto the base plate. Interior wafer support components latch onto brackets on the side walls utilizing a separate locking insert with holding tabs and locking detents. A wafer retainer provides support and counters enhanced wafer sag associated with 450 mm wafers when the door is installed and seated.

Подробнее
11-05-2017 дата публикации

PURGE DEVICE, PURGE SYSTEM, PURGE METHOD, AND CONTROL METHOD IN PURGE SYSTEM

Номер: US20170133254A1
Принадлежит:

A purge device configured to purge the inside of a storage container storing a product with purge gas includes a plurality of placing units, each configured to place the storage container thereon, a plurality of supply pipes configured to supply purge gas to the storage container placed on the corresponding placing unit, a main pipe connected to the supply pipes and configured to supply the purge gas to the supply pipes, and an MFC configured to adjust the flow rate of the purge gas in the main pipe.

Подробнее
15-03-2012 дата публикации

SUBSTRATE CARRYING MECHANISM, SUBSTRATE PROCESSING APPARATUS, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер: US20120064734A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

The present invention provides a substrate carrying mechanism, a substrate processing apparatus, and a semiconductor device manufacturing method that, when carrying a substrate, suppress the generation of scratches and particles caused by substrate deformation, suppress a decrease in substrate holding force due to substrate deformation, and realizes stable high-speed carriage. Namely, the substrate carrying mechanism, the substrate processing apparatus, and the semiconductor device manufacturing method of the present invention include: a plate-like body that becomes a support base body of a substrate that is a carrying subject; and substrate support portions in which plural convex portions disposed on a surface of the plate-like body are placed on a circumference of a circle that is smaller than the diameter of the substrate.

Подробнее
21-01-2016 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20160020125A1
Автор: Joji KUWAHARA
Принадлежит:

During a teaching operation regarding a transport mechanism, a hand of the transport mechanism is moved to a tentative target position in a substrate supporter, and a substrate supported at a reference position in the substrate supporter is received by the hand. A positional relationship between the substrate held by the hand and the hand is detected. A deviation between the tentative target position and the reference position is acquired as correction information based on the detected positional relationship. During the teaching operation or during substrate processing, the tentative target position is corrected to a true target position to coincide with the reference position based on the acquired correction information. During the substrate processing, the hand is moved to the true target position, so that the substrate is transferred to the substrate supporter by the hand, or the substrate is received from the substrate supporter by the hand.

Подробнее
21-03-2013 дата публикации

Device for forming a reduced chamber space, and method for positioning multilayer bodies

Номер: US20130067723A1
Принадлежит: Saint Gobain Glass France SAS

A device for forming a reduced chamber space, which is a process box or a process hood, containing an apparatus, which positions at least two multilayer bodies each including a surface to be processed, wherein the apparatus is designed such that the multilayer bodies are opposite to each other, wherein the surfaces to be processed are facing away from each other such that the multilayer bodies can be processed as a multilayer body arrangement in a processing system. In addition, a method for positioning the two multilayer bodies comprising a surface to be processed, with the two multilayer bodies disposed in such a device such that multilayer bodies are opposite each other, wherein the surfaces to be processed are facing away from each other, such that the multilayer bodies are processable as a multilayer body arrangement in a processing system.

Подробнее
26-09-2013 дата публикации

Container for storing semiconductor device

Номер: US20130248400A1
Принадлежит: Gudeng Precision Industrial Co Ltd

A container for storing semiconductor devices is revealed. The container includes a receiving body and a cover. The receiving body is disposed with at least one fastener and at least one driver therein. The fastener includes a rolling element that is in contact with the driver. When the driver is rotated, it drives the fastener to move in the receiving body. At least one fixing part of the fastener is moved toward at least one fastening part of the cover. The cover is fixed on the receiving body by the fixing part locked in the fastening part. Moreover, friction between the fastener and the driver is minimized by the rolling element which reduces contact area between the fastener and the driver so as to prevent production of contaminants in the container and protect semiconductor devices stored in the container from being polluted. Thus the container is of high cleanness.

Подробнее
04-01-2018 дата публикации

SYSTEM AND METHOD FOR SUBSTRATE SUPPORT FEED-FORWARD TEMPERATURE CONTROL BASED ON RF POWER

Номер: US20180005857A1
Принадлежит:

A temperature controller is provided and includes interfaces, a compensation controller, summers, and a second controller. An interface receives a bias power signal and a plasma signal. The bias power signal indicates a bias RF power level of a RF generator. The plasma signal indicates a plasma RF power level of another RF generator. Another interface receives a temperature signal indicating a temperature of a substrate support. The compensation controller generates a compensation value based on a bias feed-forward transfer function and the bias RF power level and another compensation value based on a plasma feed-forward transfer function and the plasma RF power level. A summer generates an error signal based on a set point and the temperature. The second controller generates a control signal based on the error signal. Another summer controls an actuator to adjust the temperature based on the compensation values and the control signal. 1. A temperature controller for a substrate support in a substrate processing system , the temperature controller comprising:a first interface configured to receive a bias power signal and a plasma signal, wherein the bias power signal indicates a bias radio frequency (RF) power level of a first RF generator for the substrate support, and wherein the plasma signal indicates a plasma RF power level of a second RF generator;a second interface configured to receive a temperature signal, wherein the temperature signal indicates a temperature of the substrate support;a compensation controller configured to generate (i) a first compensation value based on a bias feed-forward transfer function and the bias RF power level, and (ii) a second compensation value based on a plasma feed-forward transfer function and the plasma RF power level;a first summer configured to generate an error signal based on a set point temperature and the temperature of the substrate support;a second controller configured to generate a control signal based on the ...

Подробнее
08-01-2015 дата публикации

PACKAGING SYSTEM FOR PROTECTION OF IC WAFERS DURING FABRICATION, TRANSPORT AND STORAGE

Номер: US20150008154A1
Принадлежит:

The packaging system includes an enclosure having an interior volume. A wafer stack, comprising plural wafers and separators in contact with the wafers, is located in the interior volume. The separators have raised bumps extending from each side. The bumps create spaces that allow air to flow therethrough. The separator film intercepts and captures airborne molecular contaminants belonging to organic and inorganic chemical families. In addition, the film is dissipative to static discharge. Furthermore, the bumps provided by the separators protect the fragile wafers from damage due to mechanical shock. The separators are also provided with a peripheral ring or embossment, which contacts the wafer edges and further protects the wafers from damage to mechanical shock. Air cushions can be provided in the wafer stack, which cushions are provided with bands to regulate the compression. 1. A packaging system for intercepting and capturing mechanical shock energy and corrosive contaminants for the protection of IC wafers during fabrication , transport , and storage phases , such wafers having surface components such as bond pads , solder balls and interconnects which are susceptible to damage due to corrosion , mechanical shock or electrical static discharge , comprising:a) an enclosure having an interior volume;b) a wafer stack located in the interior volume, the wafer stack comprising plural wafers and separators in contact with the wafers, the separators are sheets each having two sides and having raised bumps extending from each side of the separator sheet, the bumps creating spaces between the respective separator and the respective wafer, which spaces allow air to flow there through;c) at least one of the separators made of a polymer film material having the properties to intercept and capture airborne molecular contaminants (AMCs) belonging to either or both chemical organic and inorganic families for which said material is dissipative to static discharge.2. The ...

Подробнее
12-01-2017 дата публикации

FUME-REMOVING DEVICE

Номер: US20170011942A1
Принадлежит:

The present invention relates to an apparatus for removing fume which includes, a wafer cassette for stacking wafers; and an exhaust for exhausting the fume of the wafers stacked in the wafer cassette, wherein the wafer cassette includes stacking shelves provided at both sides for stacking wafers; and a front opening for incoming and outgoing of the wafers which are being stacked in the stacking shelf, wherein the stacking shelves include multiple inclined ramp portions which are slanted towards the wafers stacked in the stacking shelves as they travel towards the front opening, wherein a purge gas outlet is provided in the inclined ramp portion for supplying purge gas for the wafers stacked in the stacking shelves. According to the present invention, the residual process gases on wafers can be removed efficiently. 1. An apparatus for removing fume including:a wafer cassette for stacking wafers; andan exhaust for exhausting the fume of the wafers stacked in said wafer cassette, whereinsaid wafer cassette includes:stacking shelves provided at both sides for stacking wafers; anda front opening for incoming and outgoing of the wafers which are being stacked in said stacking shelf, whereinsaid stacking shelves include multiple inclined ramp portions which are slanted towards the wafers stacked in said stacking shelves as they travel towards said front opening, whereina purge gas outlet may be provided in said inclined ramp portion for supplying purge gas for the wafers stacked in said stacking shelves.2. The apparatus for removing fume according to claim 1 , wherein the space between the stacking selves provided at both sides when viewing from said front opening is smaller than the diameter of the wafer being stacked in said wafer cassette.3. The apparatus for removing fume according to claim 1 , wherein a number of said purge gas exhausts are provided claim 1 , and at least part of them are provided in said inclined ramp portion;said stacking shelf further includes ...

Подробнее
10-01-2019 дата публикации

Separator

Номер: US20190013226A1
Принадлежит: Achilles Corp

Disclosed is a separator for semiconductor wafers vertically stacked in that the stacked wafers do not contact with each other, or the wafer does not contact to an inner top surface or an inner bottom surface of a conveyance container of the semiconductor wafer, the separator being interposed between two of the wafers neighboring vertically, between the wafer and an inner top surface, or between the wafer and an inner bottom surface of the container. The separator includes: a flat annular body; an annular convex portion having a wafer support surface coming into contact with a peripheral line of the wafer along a peripheral edge portion of the flat annular body, the annular convex portion forming cutout portions in a suitable number of places; and shock-absorbing function pieces neighboring to the cutout portions and extending diagonally upward or diagonally downward from a separator reference plane.

Подробнее
14-01-2021 дата публикации

WAFER CONTAINER AND METHOD FOR HOLDING WAFER

Номер: US20210013057A1

Provided is a wafer container including a frame having a first sidewall and a second sidewall extending along a YZ plane; a plurality of first support structures disposed on the first sidewall and arranged along a Z direction; and a plurality of second support structures disposed on the second sidewall and arranged along the Z direction. One of the plurality of first support structures is horizontally aligned with a corresponding second support structure to constitute a wafer holder. The wafer holder includes a plurality of island structures to hold a wafer in a XY plane, and the plurality of island structures are separated to each other along a X direction. A method for holding at least one wafer is also provided. 1. A wafer container , comprising:a frame having opposite sidewalls; and a first support structure having a first connection portion and a plurality of first comb portions connected to the first connection portion, wherein one of the plurality of first comb portions comprises a first main portion and a plurality of first island structures disposed over the first main portion, and the plurality of first island structures are separated from each other; and', 'a second support structure having a second connection portion and a plurality of second comb portions connected to the second connection portion, wherein one of the plurality of second comb portions comprises a second main portion and a plurality of second island structures disposed over the second main portion and the plurality of second island structures are separated from each other., 'at least a pair of support structures respectively disposed on the opposite sidewalls of the frame, wherein the at least a pair of support structures comprise2. The wafer container of claim 1 , wherein the frame having a first sidewall and a second sidewall extending along a YZ plane claim 1 , wherein the entire first sidewall is parallel with the entire second side wall.3. The wafer container of claim 1 , wherein the ...

Подробнее
14-01-2021 дата публикации

METHOD FOR MOLDING SUBSTRATE STORING CONTAINER, MOLD, AND SUBSTRATE STORING CONTAINER

Номер: US20210013073A1
Автор: MATSUTORI Chiaki
Принадлежит:

There is provided a method for molding a substrate storing container including a container main body molding step of molding a container main body in a state where a direction P perpendicular to a plane P passing through the entire periphery of an end edge of an opening circumferential portion of the container main body is inclined in a direction forming a predetermined angle a with respect to a horizontal direction L which is a movement direction of the movable die M with respect to the fixed die M and a pullout step of pulling the container main body molded in the mold space M out from the movable die M by moving the movable die M so as to retreat from the fixed die M 1. A method for molding a substrate storing container including a container main body inside which a substrate storing space capable of storing a plurality of substrates is formed , and which has an opening circumferential portion , in which a container main body opening portion is formed to communicate with the substrate storing space , in one end portion ,a lid body removably attached to the opening circumferential portion, and capable of closing the container main body opening portion in a positional relationship where the lid body is surrounded by the opening circumferential portion, andlateral substrate support portions provided molded integrally with the container main body so as to form a pair in the substrate storing space, and capable of supporting edge portions of the plurality of substrates in a state where the substrates adjacent to each other among the plurality of substrates are arranged parallel to each other while spaced apart by a predetermined interval from each other when the container main body opening portion is not closed by the lid body,in which the lateral substrate support portion is formed into a plate shape extending in a direction connecting the container main body opening portion of one end portion of the container main body to another end portion with respect to the one ...

Подробнее
18-01-2018 дата публикации

Front Opening Ring Pod

Номер: US20180019142A1
Принадлежит:

A pod for exchanging consumable parts with a process module includes a base plate having a front side, a back side, and first and second lateral sides. A first support column is disposed on the first lateral side proximal to the front side. A second support column is disposed on the second lateral side proximal to the front side. A third support column is disposed on the first lateral side proximal to back side and a fourth support column is disposed on the second lateral side proximal to the back side. Each of the support columns includes a plurality of support fingers distributed lengthwise and directed inward. A first hard stop column is disposed parallel to the third support column and a second hard stop column is disposed parallel to the fourth support column. A shell structure connected to the base plate is configured to enclose the first, second third and fourth support columns, top plate and first and second hard stop columns and includes a front opening disposed on the front side of the base plate. A door is mated to the front opening and includes retention assembly for securing consumable parts in the pod, when received in the pod. 1. A front opening ring pod (FORP) , comprising:a base plate having a first lateral side, a second lateral side, a front side and a back side, wherein the first lateral side, the second lateral side are parallel to one another and extend between the front side and the back side;a support structure disposed over the base plate, the support structure includes a plurality of support columns disposed over the first and second lateral sides of the base plate, each of the plurality of support columns includes a plurality of support fingers distributed lengthwise, such that the plurality of support fingers extend toward an inner region of the FORP;a top plate oriented opposite to the base plate, wherein each of the plurality of support columns is attached to the top plate;a shell enclosure having sidewalls and a top surface is disposed ...

Подробнее
16-01-2020 дата публикации

Substrate Storage Container

Номер: US20200020549A1
Принадлежит:

A substrate storage container comprises a container body capable of containing a plurality of substrates, and an air supply member capable of supplying gas from outside of the container body to an internal space, wherein for the substrate storage container the container body is formed in a front open box and the air supply member is attached to the bottom surface, and wherein a functional unit that changes the environment of the internal space to different states are connected with the air supply member so as to be able to be exchanged. 1. A substrate storage container comprising:a container body configured to contain a plurality of substrates; andat least one air supply member configured to supply gas from outside of the container body to an internal space,wherein for the substrate storage container the container body is formed in a front open box and the at least one supply member is attached to a bottom surface, andwherein at least one functional unit that changes an environment of the internal space to different states are connected with the at least one air supply member so as to be able to be exchanged.2. The substrate storage container according to claim 1 , wherein the at least one air supply member has a filter member that filters a gas.3. The substrate storage container according to claim 1 , wherein the at least one functional unit is a gas replacement unit comprising:a housing member for storing the gas supplied from the at least one air supply member,a cover member covering an opening of the housing member, andblowout holes for blowing out the gas formed in at least one of the housing member and the cover member.4. The substrate storage container according to claim 1 , wherein the at least one functional unit is a valve unit including a check valve that enables the gas to flow into the internal space.5. The substrate storage container according to claim 1 , wherein the at least one functional unit is a blocking unit that blocks the flow of the gas into ...

Подробнее
21-01-2021 дата публикации

MULTI-OBJECT CAPABLE LOADLOCK SYSTEM

Номер: US20210020476A1
Принадлежит:

A method includes receiving, by a first loadlock chamber of the loadlock system, a first object from a factory interface via a first opening. The first object is transferred into the first loadlock chamber via a first robot arm. The factory interface is at a first state. The first loadlock chamber is configured to receive different types of objects. The method further includes sealing a first loadlock door against the first opening to create a first sealed environment at the first state in the first loadlock chamber and causing the first sealed environment of the first loadlock chamber to be changed to a second state. The method further includes actuating a second loadlock door to provide a second opening between the first loadlock chamber and a transfer chamber. The first object is to be transferred from the first loadlock chamber to the transfer chamber via a second robot arm. 1. A method comprising:receiving, by a first loadlock chamber formed by a loadlock system of a wafer processing system, a first object from a factory interface of the wafer processing system via a first opening of the loadlock system, wherein the first object is transferred into the first loadlock chamber via a first robot arm of the factory interface, wherein the factory interface is at a first state, and wherein the first loadlock chamber is configured to receive different types of objects;sealing a first loadlock door against the first opening to create a first sealed environment at the first state in the first loadlock chamber;causing the first sealed environment of the first loadlock chamber to be changed to a second state that is different than the first state; andactuating a second loadlock door to provide a second opening between the first loadlock chamber and a transfer chamber of the wafer processing system, wherein the first object is to be transferred from the first loadlock chamber to the transfer chamber via a second robot arm of the transfer chamber.2. The method of claim 1 , ...

Подробнее
10-02-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20220044945A1
Принадлежит:

A substrate processing apparatus includes a transfer block in which a transfer device configured to transfer a substrate is placed, and a processing block provided adjacent to the transfer block. The processing block includes a liquid film forming unit configured to form a liquid film on a top surface of the substrate which is held horizontally, and a drying unit configured to replace the liquid film with a supercritical fluid to dry the substrate. The drying unit includes a pressure vessel having therein a drying chamber for the substrate, a cover body configured to close an opening of the drying chamber, and a supporting body configured to support the substrate horizontally in the drying chamber. The supporting body is fixed to the drying chamber. The transfer device advances into the drying chamber through the opening of the drying chamber while holding horizontally the substrate having the liquid film thereon. 1. A substrate processing apparatus comprising a transfer block in which a transfer device configured to transfer a substrate is placed , and a processing block provided adjacent to the transfer block ,wherein the processing block comprises a liquid film forming unit configured to form a liquid film on a top surface of the substrate which is held horizontally, and a drying unit configured to replace the liquid film with a supercritical fluid to dry the substrate,the drying unit comprises a pressure vessel having therein a drying chamber for the substrate, a cover body configured to close an opening of the drying chamber, and a supporting body configured to support the substrate horizontally in the drying chamber,the supporting body is fixed to the drying chamber, andthe transfer device advances into the drying chamber through the opening of the drying chamber while holding horizontally the substrate having the liquid film formed thereon.2. The substrate processing apparatus of claim 1 ,wherein when viewed from a top, the liquid film forming unit and the ...

Подробнее
10-02-2022 дата публикации

CONTAINER AND SUBSTRATE TREATING APPARATUS

Номер: US20220044951A1
Автор: KIM Byung Kyu, SON DUKHYUN
Принадлежит:

Disclosed is a container. The container includes a housing having an interior space, and a support part that supports an expendable component in the interior space, and the support part includes an alignment pin that aligns the expendable component. 1. A container comprising:a housing having an interior space; anda support part configured to support an expendable component in the interior space,wherein the support part includes:an alignment pin configured to align the expendable component.2. The container of claim 1 , wherein the support part further includes:at least one support slot; andan alignment block installed in the support slot to be detachable, and having the alignment pin.3. The container of claim 2 , wherein the support part further includes:at least one guide pin configured to guide a location of the alignment block inserted into the support slot.4. The container of claim 3 , wherein the alignment block has a protrusion or a guide groove that guides insertion of the alignment block at at least any one of surfaces claim 3 , which contacts the support slot.5. The container of claim 4 , wherein at least one of surfaces of the support slot claim 4 , which contacts the alignment block claim 4 , has a shape corresponding to the protrusion or the guide groove.6. The container of claim 2 , wherein any one selected from a first alignment block claim 2 , and a second alignment block claim 2 , of which a location of the alignment pin is different from that of the first alignment block claim 2 , is installed in the support slot.7. The container of claim 1 , wherein the alignment pin is inserted into a groove formed in the expendable component.8. The container of claim 7 , wherein the expendable component has a ring shape.9. The container of claim 1 , wherein the support part supports a substrate type sensor having a notch claim 1 , in the interior space claim 1 , andwherein the container further includes a notch aligning member inserted into the notch and ...

Подробнее
28-01-2021 дата публикации

CARRIER FOUP AND A METHOD OF PLACING A CARRIER

Номер: US20210028039A1
Принадлежит:

A carrier FOUP and a method of placing a carrier are provided. The carrier FOUP includes a body and a door. The body includes a plurality of chamfers, and one or more carriers are placed on, and supported by, the plurality of chamfers. The method of placing a carrier includes placing the carrier in the carrier FOUP and closing the door of the carrier FOUP. When the door is closed, the door pushes against the carrier and aligns the carrier with the alignment feature. The alignment features align the carrier, removing the need to be aligned by the factory interface robot when placing or removing the carrier from the carrier FOUP. 1. A carrier front opening unified pod (FOUP) , comprising: [ 'a plurality of chamfers configured to support a straight portion of a carrier; and', 'a plurality of side walls, each side wall comprising, 'a plurality of alignment features configured to align the carrier; and', 'a back wall, comprising], 'a body, comprisinga door.2. The carrier FOUP of claim 1 , wherein the spacing between adjacent chamfers is larger than the combined height of the carrier and a substrate.3. The carrier FOUP of claim 1 , wherein the door further comprises a capture apparatus comprising:a capture carrier base; anda plurality of capture features.4. The carrier FOUP of claim 3 , wherein the plurality of capture figures are configured to secure the carrier when the door is closed.5. The carrier FOUP of claim 1 , wherein the alignment feature is configured to align the carrier when the door is closed.6. The carrier FOUP of claim 1 , wherein each of the plurality of chamfers comprises:a straight portion; anda sloped portion configured to push the straight portion of the carrier such that the carrier is placed level on the chamfer.7. A carrier front opening unified pod (FOUP) claim 1 , comprising: [ 'a plurality of chamfers configured to support a straight portion of a carrier; and', 'a plurality of side walls, each side wall comprising, 'a plurality of alignment ...

Подробнее
02-02-2017 дата публикации

SUBSTRATE CARRIER, A METHOD AND A PROCESSING DEVICE

Номер: US20170032992A1
Автор: Hoechbauer Tobias
Принадлежит:

A substrate carrier may include: a carrier plate including a plurality of substrate receiving regions; each substrate receiving region may include at least one first recess portion having a first depth and at least one second recess portion having a second depth, the second depth being greater than the first depth; and a carrier plate mounting structure configured to support the carrier plate. 1. A substrate carrier comprising:a carrier plate comprising a plurality of substrate receiving regions;each substrate receiving region comprising at least one first recess portion having a first depth and at least one second recess portion having a second depth, the second depth being greater than the first depth; anda carrier plate mounting structure configured to support the carrier plate.2. The substrate carrier of claim 1 ,wherein the at least one second recess portion at least partially surrounds the at least one first recess portion.3. The substrate carrier of claim 1 ,wherein the at least one first recess portion at least partially surrounds the at least one second recess portion.4. The substrate carrier of claim 1 ,wherein a difference between the first depth and the second depth is greater than about 50 μm.5. The substrate carrier of claim 1 ,wherein a perimeter shape of each substrate receiving region comprises a curved portion and a non-curved portion.6. The substrate carrier of claim 1 ,wherein a perimeter shape of each substrate receiving region is circular.7. The substrate carrier of claim 1 ,wherein the least one first recess portion comprises a tapered shape.8. The substrate carrier of claim 1 ,wherein the carrier plate comprises a solid material up to a temperature of greater than or equal to 1450° C.9. The substrate carrier of claim 1 ,wherein a surface property of the carrier plate in each substrate receiving region differs from a surface property of the carrier plate outside each substrate receiving region.10. The substrate carrier of claim 1 ,wherein a ...

Подробнее
04-02-2016 дата публикации

MULTI-LAYER MASK INCLUDING NON-PHOTODEFINABLE LASER ENERGY ABSORBING LAYER FOR SUBSTRATE DICING BY LASER AND PLASMA ETCH

Номер: US20160035577A1
Принадлежит:

Methods of dicing substrates having a plurality of ICs. A method includes forming a multi-layered mask comprising a laser energy absorbing, non-photodefinable topcoat disposed over a water-soluble base layer disposed over the semiconductor substrate. Because the laser light absorbing material layer is non-photodefinable, material costs associated with conventional photo resist formulations may be avoided. The mask is direct-write patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. Absorption of the mask layer within the laser emission band (e.g., UV band and/or green band) promotes good scribe line quality. The substrate may then be plasma etched through the gaps in the patterned mask to singulate the IC with the mask protecting the ICs during the plasma etch. The soluble base layer of the mask may then be dissolved subsequent to singulation, facilitating removal of the layer. 1. A method of dicing a substrate comprising a plurality of integrated circuits (ICs) , the method comprising:forming a multi-layered mask including a non-photodefinable laser light absorbing layer over the substrate covering and protecting the ICs;patterning the mask with a laser scribing process to provide a patterned mask with gaps, exposing regions of the substrate between the ICs;plasma etching the substrate through the gaps in the patterned mask to singulate the ICs; andremoving the mask with an aqueous solution.2. The method of claim 1 , wherein forming the multi-layered mask further comprises depositing a water-soluble polymeric precursor over the ICs claim 1 , forming a water-soluble layer over the ICs.3. The method of claim 2 , wherein removing the mask comprises dissolving the water-soluble layer and lifting the non-photodefinable laser light absorbing layer off the top surface of the ICs.4. The method of claim 3 , wherein dissolving the water-soluble layer further comprises exposing the ...

Подробнее
17-02-2022 дата публикации

ADJUSTABLE DEVICE AND AN ADJUSTABLE STORAGE BOX

Номер: US20220051911A1
Автор: PAN SHIH FENG
Принадлежит:

The disclosure provides an adjustable device including plates and at least two adjustable modules connect with two adjacent of the plates. The adjustable module can stretch or shrink to change a distance between plates. The disclosure also provides an adjustable storage box including an upper cover and a lower cover. A storage space is formed by the upper cover and the lower cover and for the accommodating of the adjustable device. When the upper cover moves upward relative to the lower cover, the adjustable modules changes from a compression state to a stretch state and increase a distance between plates. Whereby, it is easy to pick and place object when a distance of two adjacent plates increases. The overall volume of the adjustable device is reduced when a distance of two adjacent plates decrease, thereby saving the working space. 1. An adjustable storage device , comprising:plates, being arranged vertically; andat least two adjustable modules, connecting with two adjacent of the plates;wherein the at least two adjustable modules stretch to adjust distance between the two adjacent of the plates.2. The adjustable storage device of claim 1 , wherein each of the at least two adjustable modules comprises a first sidewall claim 1 , a second sidewall claim 1 , and a sidewall connection component which connects the first sidewall and the second sidewall claim 1 , wherein the first sidewall is pivoted to at least one of the plates claim 1 , the second sidewall is pivoted to at least another one of the plates claim 1 , and wherein the first sidewall and the second sidewall come close to each other when the at least two adjustable modules is compressed.3. The adjustable storage device of claim 2 , wherein each of the adjustable modules further comprises a movement restriction component which is arranged on one side of the first sidewall and the second sidewall claim 2 , and wherein the movement restriction component confines movement directions of each of the adjustable ...

Подробнее
17-02-2022 дата публикации

FUME-REMOVING DEVICE

Номер: US20220051920A1
Принадлежит:

The present invention relates to an apparatus for removing fume which includes, a wafer cassette for stacking wafers; and an exhaust for exhausting the fume of the wafers stacked in the wafer cassette, wherein the wafer cassette includes stacking shelves provided at both sides for stacking wafers; and a front opening for incoming and outgoing of the wafers which are being stacked in the stacking shelf, wherein the stacking shelves include multiple inclined ramp portions which are slanted towards the wafers stacked in the stacking shelves as they travel towards the front opening, wherein a purge gas outlet is provided in the inclined ramp portion for supplying purge gas for the wafers stacked in the stacking shelves. According to the present invention, the residual process gases on wafers can be removed efficiently. 1. A side storage provided with a side of an equipment front end module (EFEM) comprises:a wafer cassette on which a wafer is stacked;a front opening formed in the wafer cassette to communicate with the EFEM to receive the wafer from the EFEM or discharge the wafer stacked in the wafer cassette to the EFEM; andan exhaust for exhausting a fume of the wafer stacked in the wafer cassette, a lower hopper provided to guide a purge gas and the fume exhausted from the wafer cassette; and', 'a first exhausting pipe connected to the lower hopper to exhaust the purge gas and the fume,, 'wherein the exhaust compriseswherein the first exhausting pipe includes a connecting end formed on a side of the first exhausting pipe and communicating with an inside of the EFEM to remove a fluid inside the EFEM.2. The side storage according to claim 1 , further comprising:an upper body in which the wafer cassette is provided, and wherein the exhaust is provided under the upper body.3. The side storage according to claim 1 ,wherein the connecting end is connected to a tube connecting with the inside of the EFEM to communicate with the inside of the EFEM.4. The side storage ...

Подробнее
04-02-2021 дата публикации

Apparatus for containing a substrate and method of manufacturing the apparatus

Номер: US20210033989A1
Принадлежит: Gudeng Precision Industrial Co Ltd

An apparatus for containing a substrate and a method of manufacturing the apparatus are provided. The apparatus for containing a substrate includes: a base having a periphery and an upward-facing top horizontal planar surface with a plurality of contact elements, the contact elements being used for engaging the substrate to hold the substrate upon the upward-facing top horizontal planar surface, an upward-facing frame-like support surface extending from the upward-facing top horizontal planar surface and surrounding the contact elements at a position proximate to the periphery of the base; and a cover having a downward-facing frame-like support surface being in large-area contact with the upward-facing frame-like support surface to define a cavity for containing the substrate between the base and the cover. The downward-facing and upward-facing frame-like support surfaces in contact with each other are not at the same level as the upward-facing top horizontal planar surface.

Подробнее
30-01-2020 дата публикации

STOCKER

Номер: US20200035534A1
Автор: KUSAMA Yu, SUZUKI Toru
Принадлежит:

A stocker includes a wall that separates an inside and an outside of the stocker, a storage area on an inner side of the wall and in which articles are stored, a fan on the wall to draw in outside air and introduce the outside air into the inside of the stocker, a control panel storage provided on the inner side of the wall and laterally to the storage area to store a control panel, a first thermal insulation layer on a wall side of the control panel storage, and a second thermal insulation layer on a storage area side of the control panel storage. 16-. (canceled)7: A stocker comprising:a wall that separates an inside and an outside of the stocker;a storage area provided on an inner side of the wall to store articles;a fan provided on the wall to draw in outside air and introduce the outside air into the inside of the stocker;a control panel storage provided on the inner side of the wall and laterally to the storage area to store a control panel;a first thermal insulation layer on a wall side of the control panel storage; anda second thermal insulation layer on a storage area side of the control panel storage; whereinthe wall has a rectangular or substantially rectangular shape as viewed from above;the fan is located on the wall along a first direction;the control panel storage is located on one end side in the first direction with respect to the storage area;the first thermal insulation layer is located between the control panel storage and the wall on which the fan is located, in a second direction orthogonal to the first direction; andthe second thermal insulation layer is located between the control panel storage and the storage area.8: The stocker according to claim 7 , wherein the first thermal insulation layer is a ventilation layer to allow air to flow therethrough.9: The stocker according to claim 8 , whereinthe ventilation layer includes a duct extending in a vertical direction; andthe duct includes:a first vent provided in one of an upper portion and a ...

Подробнее
12-02-2015 дата публикации

WAFER CARRIER

Номер: US20150041353A1
Принадлежит: ENTEGRIS, INC.

A front opening wafer container suitable for large wafers such as 450 mm utilizes componentry with separate fasteners to lock the componentry together in an expedient manner providing robust connections and cost efficiencies. A container portion has an open front and receives on a bottom surface a base plate secured by twist lock connectors that also provide recesses for purge grommets. Kinematic coupling components readily and robustly lock onto the base plate. Interior wafer support components latch onto brackets on the side walls utilizing a separate locking insert with holding tabs and locking detents. A wafer retainer provides support and counters enhanced wafer sag associated with 450 mm wafers when the door is installed and seated. 1. A front opening wafer carrier comprising a container portion with a door frame and a door receivable in the door frame to sealingly close the wafer carrier , the container portion comprising a plurality of columns of wafer shelves arranged for receiving a plurality of wafers , the door having an inside facing surface , an outside facing surface , a periphery , and comprising a latching mechanism with latching portions that engage with the door frame for securing the door therein at a seated position , the door further comprising a wafer retainer attached at the inside facing surface of the door , the wafer retainer comprising a framework including a pair of columns of cantilevered fingers extending from the framework , each cantilevered finger having an upper edge portion , a lower edge portion , a fixed end portion attached to the framework , an intermediate portion , and a distal portion with a distal tip , each cantilevered finger further having a wafer receiving groove portion that extends from the distal tip to the intermediate portion , the wafer receiving groove portion having a groove apex that is positioned proximate to the upper edge portion at the distal tip and extends to a position proximate a vertical middle of the ...

Подробнее
08-02-2018 дата публикации

Front Opening Ring Pod

Номер: US20180040492A1
Принадлежит:

A pod for exchanging consumable parts with a process module includes a base plate having a front side, a back side, and first and second lateral sides. A first support column is disposed on the first lateral side proximal to the front side. A second support column is disposed on the second lateral side proximal to the front side. A third support column is disposed on the first lateral side proximal to back side and a fourth support column is disposed on the second lateral side proximal to the back side. Each of the support columns includes a plurality of support fingers distributed lengthwise and directed inward. A first hard stop column is disposed parallel to the third support column and a second hard stop column is disposed parallel to the fourth support column. A shell structure connected to the base plate is configured to enclose the first, second third and fourth support columns, top plate and first and second hard stop columns and includes a front opening disposed on the front side of the base plate. A door is mated to the front opening and includes retention assembly for securing consumable parts in the pod, when received in the pod. 1. A container for holding consumable parts to be exchanged with a process module , comprising:the container having a base and a shell, a plurality of supports columns disposed over the base along inside lateral sidewalls of the shell, each of the plurality of support columns having support fingers arranged vertically and extending inward toward a center of the container to define ledges for supporting the consumable parts; anda carrier support structure defined on a top surface of the base, the carrier support structure includes a plurality of support members distributed on the top surface of the base, each of the plurality of support members includes a bottom portion and a top portion, a top surface of the bottom portion providing a support surface for supporting a carrier plate, when the carrier plate is received in the ...

Подробнее
07-02-2019 дата публикации

ELECTROSTATIC SHIELD FOR SUBSTRATE SUPPORT

Номер: US20190043698A1
Автор: PARKHE Vijay D.
Принадлежит:

Embodiments of the disclosure relate to a shield used within a plasma processing chamber. In one embodiment, a kit for shielding a substrate support is disclosed. The kit includes a plate having a central aperture and a hollow shell assembly configured to be coupled to the plate around the central aperture. The hollow shell assembly includes a plurality of shell portions removably coupled together. In another embodiment, a shielded substrate support includes a pedestal body having one or more heating elements, a hollow stem coupled to the pedestal body, a heater support coupled to the stem and a shield assembly coupled to the heater support. The shield assembly includes a plate having a central aperture and a hollow shell assembly configured to be coupled to the plate around the central aperture. The hollow shell assembly includes a plurality of shell portions removably coupled together. 1. A kit for shielding substrate supports , comprising:a plate having a central aperture, the plate configured to cover a surface of a pedestal body; anda hollow shell assembly configured to be coupled to the plate, the hollow shell assembly comprising a plurality of shell portions removably coupled together configured to surround a stem extending from the pedestal body.2. The kit of claim 1 , wherein the plate further comprises:a plurality of peripheral holes configured to couple with one or more ground straps.3. The kit of claim 1 , wherein at least one of the shell portions comprises:a plurality of holes configured to couple with a heater support.4. The kit of claim 1 , wherein the hollow shell assembly further comprises:a top portion having a diameter greater than a diameter of the central aperture, the top portion configured to attach to the central aperture;an elongated central portion extending from the top portion, the elongated central portion having a diameter less than the diameter of the top portion; anda bottom portion extending from the elongated central portion, the ...

Подробнее
06-02-2020 дата публикации

SUBSTRATE STORAGE CONTAINER

Номер: US20200043755A1
Автор: KAI Satoko
Принадлежит:

A back side substrate support portion includes a lower side inclined face on which an end of a back face of a substrate W slides, and a substrate support portion that is located above the lower side inclined face and can support an edge portion of the substrate W. When a container main body opening portion is closed by a lid body the edge portion of the substrate W slides on the lower side inclined face and reaches the substrate support portion At least a portion of the lower side inclined face is configured from a second member that is different from a first member that configures the substrate support portion and the second member has a lower maximum static friction coefficient and lower wear resistance than the first member. 1. A substrate storage container comprising:a container main body that includes a tubular wall portion with an opening circumferential portion at which a container main body opening portion is formed at one end and the other end closed, the container main body having a substrate storage space that can store a plurality of substrates by an inner face of the wall portion, and is in communication with the container main body opening portion;a lid body that is removably attached to the container main body opening portion and can close the container main body opening portion;a side substrate support portion that is disposed so as to form a pair in the substrate storage space, and, when the container main body opening portion is not closed by the lid body, can support an edge portion of each of the plurality of substrates in a state in which adjacent substrates among the plurality of substrates are spaced apart at a predetermined interval and arranged in parallel to each other;a lid body side substrate support portion that is disposed at a portion of the lid body that is a part opposing the substrate storage space when the container main body opening portion is closed by the lid body, and can support the edge portion of each of the plurality of ...

Подробнее
18-02-2016 дата публикации

PACKAGING INSERT

Номер: US20160049319A1
Принадлежит:

A packaging insert includes a frame that is configured to occupy a selected amount of space within a container at least in an area corresponding to a periphery of the container contents, such as silicon wafers. The packing insert includes a plurality of spring members projecting from the frame at an oblique angle relative to a reference surface on the frame. The spring members are configured to flex or move responsive to contact with a surface on the container as the container is closed. The resiliency of the spring members provides a secure positioning of the packaging insert within the container that facilitates maintaining the contents of the container, such as silicon wafers, in a desired condition within the container. 1. A packaging insert comprising:a frame portion that establishes an outer periphery of the insert; anda plurality of spring members projecting from the frame portion at an oblique angle relative to a surface on the frame portion.2. The packaging insert of claim 1 , wherein the frame portion has an unchanging thickness.3. The packaging insert of claim 1 , wherein the spring members are at least partially resilient and at least partially moveable relative to the frame portion or at least partially flexible.4. The packaging insert of claim 3 , wherein the spring members establish a first overall thickness of the insert in a first position and a second claim 3 , smaller overall thickness of the insert in a second position corresponding to the spring members being flexed or moved relative to the frame portion.5. The packaging insert of claim 1 , whereinthe frame portion comprises a ring; andthe spring members project inward toward a center of the ring.6. The packaging insert of claim 5 , wherein the frame portion has an at least partially rectangular cross-section.7. The packaging insert of claim 1 , whereinthe spring members have a first portion distal from the frame portion;the spring members have a second portion proximal to the frame portion;the ...

Подробнее
13-02-2020 дата публикации

Board storing container

Номер: US20200051841A1
Автор: Kyohei Sato
Принадлежит: MIRAIAL CO LTD

A lid body side substrate receiving portion 73 includes a lower side substrate guiding inclined face 733 and an upper side substrate guiding inclined face 734. In a direction in which a groove 703 extends while a container main body opening portion is closed by a lid body, a length of the lower side substrate guiding inclined face 733 in the upper-lower direction D2 becomes longer as approaching a center of the container main body opening portion.

Подробнее
02-03-2017 дата публикации

THIN WAFER SHIPPER

Номер: US20170062253A1
Принадлежит:

An improved wafer support mechanism in a wafer container useful for carrying a plurality of axially aligned thin mostly circular wafer substrates. The container includes a cassette that has a plurality of adjacently disposed teeth for receiving the substrates, wherein each rib member is continuous from the cassette open top to the cassette open bottom, a removable top cover portion, a removable bottom cover portion, a cushion assembly removably attached to the container top cover, and another cushion assembly removably located in the container bottom cover and held in place by the weight of the wafer cassette. The top cushions are formed of individual segments having an extended lead-in feature at the end of each segment, spring sections in each segment and each segment has a V-shaped cross section to receive the wafer edge. The top and bottom cushions are installed in the top and bottom container covers, respectively, and extend the wafer support to approximately the entire circumference of each wafer. 1. A wafer cushion for placement at the open top of a wafer cassette , the wafer cushion comprising: a plurality of grooves for holding wafers in the cassette; and a plurality of guides extending radially inward for placement in between wafers held in the plurality of grooves.2. The wafer cushion of claim 1 , wherein the plurality of grooves comprises V-shaped grooves for receiving the periphery of the wafers claim 1 , the V-shaped grooves defining wafer slots.3. The wafer cushion of claim 1 , wherein the plurality of grooves defines a plurality of wafer slots claim 1 , and the plurality of guides comprises a plurality of prongs each positioned intermediate the wafer slots.4. The wafer cushion of claim 3 , wherein the plurality of prongs are positioned to be the first part of the cushion to confront wafers when the cushion is positioned over the open top of a wafer cassette loaded with wafers.5. The wafer cushion of claim 1 , wherein the plurality of guides comprises ...

Подробнее
02-03-2017 дата публикации

Storage Rack

Номер: US20170062255A1
Автор: Kazuya Omori
Принадлежит: Daifuku Co Ltd

A storage rack including a plurality of storage sections further includes a plurality of columns with one column provided at each end along the right and left direction and a column provided at a position between two mutually adjacent storage sections, a transverse beam member extending along the right and left direction, and a support portion for supporting a stored object. Each column includes a pair of divided column members which are spaced apart from each other. The transverse beam member fixed at a location between a pair of divided column members. The support portion is supported by two mutually adjacent columns in a cantilever manner such that the support portion is in contact with the transverse beam member.

Подробнее
12-03-2015 дата публикации

WAFER SHIPPER

Номер: US20150068949A1
Принадлежит: ENTEGRIS, INC

A wafer container for holding a spaced stack of thin wafers, comprising an H-bar carrier, a base portion for receiving same, a base wafer cushion attached at the bottom wall positioned below the H-bar carrier, the cushion having a plurality of ribs defining slots each with a bottom wafer seating region having a curvature and a pair of ends, each of the ends having a flare whereby the seating region flares outwardly at the ends, a cover portion that connects with the base portion to form a closed interior. The cover portion having an uppermost wall a wafer cushion secured thereto. The cover wafer cushion having a row of wafer engaging finger portions, the finger portions Y shaped and having two legs extending from a support portion and alternatingly extending from opposing support portions, the finger portions may be S shaped with a wafer pad flared in two direction. 1. A wafer container for holding a spaced stack of wafers , the stack having an axis extending through the centers of the wafers , each wafer having a circular peripheral edge and a thickness , the container comprising:a base portion for receiving the stack of wafers, the base portion having a plurality of walls defining an open interior and four of said walls defining an opening perimeter;a cover portion that cooperatively connects with the base portion to form a closed interior for containing the stack of wafers, the cover portion having an inside surface with attachment structure;a wafer cushion attached to the inside surface of the cover portion at the attachment structure, the wafer cushion having a length and a width, the length extending in a direction parallel to the axis of the stack of wafers, the wafer cushion comprising generally rectangular support structure defining a central elongate cushion window with a pair of opposing side support structures, a central row of cantilevered wafer engaging finger portions extending from the opposing side support structures down lengthwise in the window, ...

Подробнее
10-03-2016 дата публикации

SUBSTRATE CONTAINER, A LOAD PORT APPARATUS, AND A SUBSTRATE TREATING APPARATUS

Номер: US20160071753A1
Принадлежит:

A substrate container includes a housing, rack members, housing-side support members for supporting ends of substrates, a moving mechanism for moving the substrates, a lid, and lid-side support members for supporting ends of the substrates. The housing-side support members have deepest portions for supporting the ends of the substrates to be immovable upward. In a state where the lid is attached to the housing, the housing-side support members and lid-side support members clamp the ends of the substrates in between, with lower surfaces of the substrates out of contact with the rack members, and the housing-side support members support the ends of the substrates in the deepest portions. When the lid detaches from the housing, the moving mechanism moves the substrates supported in the deepest portions to disengage the ends of the substrates from the deepest portions, and places the substrates in a substantially horizontal position on the rack members. 1. A substrate container comprising:a housing capable of accommodating substrates therein, and having an opening in a front plane thereof;rack members arranged inside the housing for contacting lower surfaces of the substrates and supporting the substrates in a substantially horizontal position;housing-side support members arranged inside the housing for supporting ends of the substrates;a moving mechanism mounted inside the housing for moving the substrates;a lid detachable from and attachable to the housing to open and close the opening: andlid-side support members attached to a rear surface of the lid for supporting ends of the substrates;wherein:the housing-side support members have binding portions for supporting the ends of the substrates to be immovable upward;in a state where the lid is attached to the housing, the housing-side support members and the lid-side support members clamp the ends of the substrates in between, with the lower surfaces of the substrates out of contact with the rack members, and the ...

Подробнее
28-02-2019 дата публикации

WAFER SHIPPING BOX AND A LOWER RETAINING MEMBER THEREOF

Номер: US20190067044A1
Принадлежит:

A wafer shipping box includes a case and a positioning unit. The case includes a base, a cover, and a receiving space defined by the base and the cover. The positioning unit is disposed in the receiving space and includes a lower retaining member connected to the base, an upper retaining member connected to the cover, and a cassette disposed therebetween. The cassette includes two upright holding walls spaced apart from each other, each of which has a plurality of lateral confining slots aligned in a front-rear direction. The lower retaining member is formed with a plurality of lower confining slots, each of which is defined by two slot walls interconnected at bottom ends thereof to form a slot bottom. The slot bottoms are respectively misaligned from central positions of the lateral confining slots along the front-rear direction. 1. A wafer shipping box comprising:a case including a base, a cover detachably connected to said base, and a receiving space defined by said base and said cover; anda positioning unit disposed in said receiving space, and including a lower retaining member that is connected to said base, an upper retaining member that is connected to said cover, and a cassette that is disposed between said upper and lower retaining members,wherein said cassette includes two upright holding walls that are spaced apart in a left-right direction and opposite to each other, each of said holding walls having a plurality of lateral confining slots aligned in a front-rear direction, each of said lateral confining slots extending in a top-down direction and having a top end opening and a bottom end opening, said upper retaining member being formed with a plurality of upper confining slots that respectively correspond in position to said lateral confining slots of said holding walls, said lower retaining member being formed with a plurality of lower confining slots that respectively correspond in position to said lateral confining slots of said holding walls, each ...

Подробнее
28-02-2019 дата публикации

WAFER CONTAINER

Номер: US20190067063A1
Принадлежит:

A wafer container includes a container body and a door. The container body has a pair of upright side walls, a top wall, a bottom wall and a rear wall cooperatively defining a container space with a front access opening. The door is removably engaged with the container body to close and seal the front access opening, and includes a front door panel, a rear door panel, and sealing means which is disposed at a periphery of the front door panel and configured to seal the gap between the door and the container body when the door is engaged with the container body to close the front access opening. 1. A wafer container comprising:a container body having a pair of upright side walls which are spaced apart from each other in a left-and-right direction, a top wall interconnecting upper ends of said side walls, a bottom wall interconnecting lower ends of said side walls, a rear wall connected to a rear end of each of said side, top and bottom walls, and a base seat disposed under said bottom wall, wherein said side, top and bottom walls together define within said container body a container space having a front access opening opposing to said rear wall; anda door removably engaged with said container body to close and to seal said front access opening, said door including a front door panel, a rear door panel, and sealing means, wherein a periphery of said front door panel is larger than a periphery of said rear door panel, and said sealing means is disposed at said periphery of said front door panel and configured to seal a gap between said door and said container body when said door is engaged with said container body to close said front access opening.2. The wafer container as claimed in claim 1 , wherein said sealing means includes a seal rim claim 1 , said seal rim having a retained portion that is sandwiched between said rear door panel and said front door panel claim 1 , and a sealing portion that extends outwardly from and surrounds said retained portion claim 1 , ...

Подробнее
28-02-2019 дата публикации

Separators for handling, transporting, or storing semiconductor wafers

Номер: US20190067064A1

Introduced here is a wafer separator configured to carry a semiconductor wafer with improved efficiency, protection, and reduced costs when utilized in the handling, transport, or storage of semiconductor components. The wafer separator may include a circular ring having an outer edge defining a periphery of the circular ring. The circular ring may include an inner edge defining a central opening of the circular ring. The wafer separator may include a first-right angled recess for receiving a semiconductor wafer that extends downward from a top surface of the circular ring. The wafer separator may also include a second right-angled recess for maintaining a gap beneath the semiconductor wafer when the semiconductor wafer is set within the first right-angled recess. In some embodiments, the wafer separator also includes interlock components for connecting the wafer separator to adjacent wafer separators.

Подробнее
08-03-2018 дата публикации

Front Opening Ring Pod

Номер: US20180068879A1
Принадлежит:

A pod for exchanging consumable parts with a process module includes a base plate having a front side, a back side, and first and second lateral sides. A first support column is disposed on the first lateral side proximal to the front side. A second support column is disposed on the second lateral side proximal to the front side. A third support column is disposed on the first lateral side proximal to back side and a fourth support column is disposed on the second lateral side proximal to the back side. Each of the support columns includes a plurality of support fingers distributed lengthwise and directed inward. A first hard stop column is disposed parallel to the third support column and a second hard stop column is disposed parallel to the fourth support column. A shell structure connected to the base plate is configured to enclose the first, second third and fourth support columns, top plate and first and second hard stop columns and includes a front opening disposed on the front side of the base plate. A door is mated to the front opening and includes retention assembly for securing consumable parts in the pod, when received in the pod. 1. A system for use in processing substrates in a fabrication facility , comprising:a cluster tool having one or more process modules for processing substrates and a vacuum transfer module (VTM), the VTM having a VTM robot;an atmospheric transfer module (ATM) coupled to the cluster tool, the ATM having a plurality of load ports and an ATM robot; anda front opening ring pod (FORP) being a container for holding one or more consumable parts used in said one or more process modules, the container of the FORP having a base that couples to at least one of the plurality of load ports and a door of the container that couples to a door of the at least one of the plurality of load ports, the container having a plurality of supports arranged vertically within the container for supporting said one or more consumable parts;wherein at least ...

Подробнее
08-03-2018 дата публикации

SUBSTRATE STORAGE CONTAINER

Номер: US20180068882A1
Принадлежит:

[Problem] To reduce a sliding trace in a vicinity of a substrate end surface, while maintaining smooth vertical movement of a substrate in a groove of the holding section in a substrate storage container, said sliding trace being made with the holding section. 1. A substrate storage container comprising: a container body for storing substrates , wherein at least one side of the container body is open; and a lid removable to open and close an opening of the container body , whereinthe substrate storage container comprises, in the container body, first holding portions with first holding grooves for holding rear parts of the substrates,the substrate storage container comprises, inside of the lid, second holding portions with second holding grooves for holding front parts of the substrates, andat least the first holding grooves in the first holding portions are made of an alloy resin mainly containing a polycarbonate resin and a polybutylene terephthalate resin.2. The substrate storage container of claim 1 , whereinthe alloy resin contains more than 20% by mass and less than 80% by mass of polybutylene terephthalate resin with respect to mass of resin components of the alloy resin.3. The substrate storage container of claim 2 , whereinthe alloy resin contains equal to or more than 25% by mass and equal to or less than 40% by mass of polybutylene terephthalate resin with respect to the mass of the resin components of the alloy resin.4. The substrate storage container of claim 1 , whereinat least the second holding grooves in the second holding portions are further made of the alloy resin.5. The substrate storage container of claim 1 , whereinthe container body comprises support portions including shelves for supporting the substrates at certain intervals, on inner surfaces on both left and right sides of the substrates as viewed from the opening,the first holding portions are provided on a deep side in the support portions as viewed from the opening,the first holding ...

Подробнее
27-02-2020 дата публикации

METHODS FOR PURGING A SUBSTRATE CARRIER AT A FACTORY INTERFACE

Номер: US20200066560A1
Принадлежит:

A method of purging a substrate carrier at a load port includes: opening a door of a substrate carrier that is delivered to a load port; spraying the substrate carrier with a gas flow responsive to the opening the door; mapping substrates within the substrate carrier to generate a substrate map; determining a process purge state based on the substrate map; and activating one or more inter-substrate nozzle arrays and one or more curtain nozzle arrays using a predefined spray status configuration for the process purge state. 1. A method of purging a substrate carrier at a load port , the method comprising:opening a door of a substrate carrier that is delivered to a load port;spraying the substrate carrier with a gas flow responsive to the opening the door;mapping substrates within the substrate carrier to generate a substrate map;determining a process purge state based on the substrate map; andactivating one or more inter-substrate nozzle arrays and one or more curtain nozzle arrays using a predefined spray status configuration for the process purge state.2. The method of claim 1 , further comprising:switching the predefined spray status configuration to a load spray status configuration as substrates are added to the substrate carrier;updating the substrate map as substrates are added to he substrate carrier, to generate an updated substrate map; anddetermining a new process purge state based on the updated substrate map.3. The method of claim 1 , further comprising:switching the predefined spray status configuration to an unload spray status configuration as substrates are removed from the substrate carrier;updating the substrate map as substrates are removed from the substrate carrier, to generate an updated substrate map; anddetermining a new process purge state based on the updated substrate map.4. The method of claim 3 , wherein activating the one or more inter-substrate nozzle arrays and the one or more curtain nozzle arrays comprises using a second predefined ...

Подробнее
27-02-2020 дата публикации

BUFFER UNIT, AND APPARATUS AND METHOD FOR TREATING SUBSTRATE WITH THE UNIT

Номер: US20200066561A1
Автор: SON DUKHYUN
Принадлежит:

A buffer unit for storing a substrate includes a housing having a buffer space inside, a substrate support unit that supports one or more substrates in the buffer space, a pressure adjustment unit that adjusts pressure in the buffer space, and a controller that controls the pressure adjustment unit. The pressure adjustment unit includes a gas supply line that supplies a gas for pressurizing the buffer space and a gas exhaust line that reduces the pressure in the buffer space. The controller controls the pressure adjustment unit to maintain the buffer space in a selected one of a filling mode in which the buffer space is filled with the gas and an exhaust mode in which the buffer space is evacuated. 1. A buffer unit for storing a substrate , the buffer unit comprising:a housing having a buffer space inside;a substrate support unit configured to support one or more substrates in the buffer space;a pressure adjustment unit configured to adjust pressure in the buffer space; anda controller configured to control the pressure adjustment unit,wherein the pressure adjustment unit includes:a gas supply line configured to supply a gas for pressurizing the buffer space; anda gas exhaust line configured to reduce the pressure in the buffer space, andwherein the controller controls the pressure adjustment unit to maintain the buffer space in a selected one of a filling mode in which the buffer space is filled with the gas and an exhaust mode in which the buffer space is evacuated.2. The buffer unit of claim 1 , wherein the pressure adjustment unit further includes:a main line;a gas supply unit configured to supply the gas into the main line; anda pressure-reducing member configured to reduce pressure in the main line, andwherein the gas supply line and the gas exhaust line are connected with the main line through a three-way valve.3. The buffer unit of claim 2 , wherein the controller controls the three-way valve to open the gas supply line and block the gas exhaust line in the ...

Подробнее
27-02-2020 дата публикации

Buffer unit, and apparatus and method for treating substrate with the unit

Номер: US20200066562A1
Автор: Dukhyun Son, Sang-Kee Lee
Принадлежит: Semes Co Ltd

Embodiments of the inventive concept provide an apparatus and method for storing a substrate. A buffer unit for storing a substrate includes a housing having an entrance formed at one side and a buffer space inside, a substrate support unit that supports one or more substrates in the buffer space, a pressure adjustment unit that adjusts pressure in the buffer space, and a controller that controls the pressure adjustment unit. The pressure adjustment unit includes a gas supply line that supplies a gas for pressurizing the buffer space and a gas exhaust line that reduces the pressure in the buffer space. At least one of the gas supply line and the gas exhaust line includes a plurality of lines.

Подробнее
15-03-2018 дата публикации

WAFER BOAT AND TREATMENT APPARATUS FOR WAFERS

Номер: US20180076071A1
Принадлежит:

A wafer boat is described for the plasma treatment of disc-shaped wafers, in particular semiconductor wafers for semiconductor or photovoltaic applications, which has a plurality of plates positioned parallel to each other made of an electrically conductive material which have at least one carrier for a wafer on each side which faces another plate and define a receiving space for the wafers on the plates. The wafer boat also has a plurality of spacer elements, which are positioned between directly adjacent plates in order to position the plates parallel to each other, wherein the spacer elements are electrically conductive. Also a plasma treatment apparatus for wafers and a method for the plasma treatment of wafers is described. The apparatus has a process chamber for the reception of a wafer boat of the previously described type, means for controlling or regulating a process gas atmosphere in the process chamber and at least one voltage source, which is connectable to the plates of the wafer boat in a suitable manner, in order to apply an electrical voltage between directly adjacent plates of the wafer boat wherein the at least one voltage source is suitable for applying at least one DC-voltage or at least one low-frequency AC-voltage and at least one high-frequency AC-voltage. In the method, during the heating phase a DC-voltage or a low-frequency AC-voltage is applied to the plates of the wafer boat in such a way that the spacer elements heat up by current flowing therethrough, and during a processing phase a high-frequency AC-voltage is applied to the plates of the wafer boat, in order to generate a plasma between the wafers inserted into them. 1. Wafer boat for the plasma treatment of disc-shaped wafers , in particular semiconductor wafers for semiconductor or photovoltaic applications , comprising:a plurality of plates positioned parallel to one another made of an electrically conductive material, and each having at least one carrier for a wafer on each side ...

Подробнее
15-03-2018 дата публикации

Article Storage Facility

Номер: US20180076079A1
Принадлежит: Daifuku Co Ltd

A wall member that covers lateral sides of an article storage rack includes a main wall portion that is installed in an orientation in which it extends along a vertical direction at a position that is spaced apart upward from an installation floor, and a sub-wall portion that is installed at a position that is spaced apart upward from the installation floor. An inlet port is formed at an upper end of the main wall portion, a first space surrounded by the main wall portion and a second space directly below the sub-wall portion are in communication with each other, an outlet port is formed below an end portion of the sub-wall portion on a second side, and an end portion of the sub-wall portion on a first side is connected to a lower end of the main wall portion in a state in which the flow of air between the sub-wall portion and the main wall portion is restricted.

Подробнее
16-03-2017 дата публикации

APPARATUS FOR PRINTING ON A SUBSTRATE FOR THE PRODUCTION OF A SOLAR CELL, AND METHOD FOR TRANSPORTING A SUBSTRATE FOR THE PRODUCTION OF A SOLAR CELL

Номер: US20170077342A1
Принадлежит:

The present disclosure provides an apparatus for printing on a substrate for the production of a solar cell. The apparatus includes two or more process stations; at least one substrate support configured to support the substrate; and at least one transport device configured to transport the at least one substrate support in a horizontal direction and in a vertical direction for transporting the at least one substrate support between the two or more process stations. 1. An apparatus for printing on a substrate for production of a solar cell , comprising:two or more process stations;at least one substrate support configured to support the substrate; andat least one transport device configured to transport the at least one substrate support in a horizontal direction and in a vertical direction for transporting the at least one substrate support between the two or more process stations.2. The apparatus of claim 1 , wherein the horizontal direction and the vertical direction define a two-dimensional plane claim 1 , wherein the at least one transport device is configured for transporting the at least one substrate support in the two-dimensional plane.3. The apparatus of claim 1 , wherein the at least one transport device is configured to transport the at least one substrate support in the horizontal direction and in the vertical direction simultaneously or sequentially.4. The apparatus of claim 1 , wherein the at least one transport device includes a first motor for transporting the at least one substrate support in the vertical direction.5. The apparatus of claim 4 , wherein the motor is a stepper motor claim 4 , a servo motor or a pneumatic motor.6. The apparatus of claim 4 , wherein the at least one transport device includes a second motor for transporting the at least one substrate support in the horizontal direction.7. The apparatus of claim 1 , further including at least one alignment device configured for aligning at least one of a position and an angular ...

Подробнее
26-03-2015 дата публикации

WAFER CONTAINER WITH DOOR MOUNTED SHIPPING CUSHIONS

Номер: US20150083639A1
Автор: Gregerson Barry
Принадлежит:

A cushioned wafer container system having removable wafer cushions for transporting large-diameter wafers. The system includes a wafer container enclosure defining a front opening and comprising a rear wall, and a plurality of wafer supports defining a plurality of slots; a front door configured to attach to the wafer enclosure at the front opening and defining a front side and a rear side; a primary wafer cushion coupled to a rear side of the front door at a central portion of the front door, the primary wafer cushion defining a plurality of wafer grooves, each of the grooves of the primary wafer cushion aligned with a slot of the wafer supports; and a first removable wafer cushion attachable to the rear side of the front door adjacent the primary wafer cushion, the first removable wafer cushion defining a plurality of wafer-receiving grooves in alignment with the grooves and slots. 1. A cushioned wafer container system having removable wafer cushions for transporting large-diameter wafers , the system comprising:a wafer container enclosure configured to contain a plurality of semiconductor wafers, each wafer including a wafer periphery defining a first, second, third, and fourth quadrant, the wafer container defining a front opening and comprising a rear wall, and a plurality of wafer supports defining a plurality of slots for receiving edge portions of a plurality of wafers, the wafer supports supporting a first portion of a first quadrant periphery of the wafer and a first portion of a fourth quadrant periphery of the wafer; a front door configured to attach to the wafer enclosure at the front opening and defining a front side and a rear side, the rear side presenting itself to an interior of the wafer enclosure;a primary wafer cushion coupled to a rear side of the front door at a central portion of the front door, the primary wafer cushion defining a plurality of wafer grooves configured to receive and support a second portion of the first quadrant periphery of ...

Подробнее
14-03-2019 дата публикации

SUBSTRATE TREATMENT APPARATUS AND SUBSTRATE TREATMENT METHOD

Номер: US20190080947A1
Принадлежит: Toshiba Memory Corporation

According to an embodiment, a substrate treatment apparatus includes a support unit, a silane coupler supplier, an organic functional group remover, and a drive mechanism. The support supports a substrate having a patterned film. The silane coupler supplier supplies the film with a silane coupler. The organic functional group remover removes an organic functional group from the film silylated with the silane coupler. The drive mechanism drives at least one of the support, the silane coupler supplier, and the organic functional group remover in such a way that the supply of the silane coupler and the supply of light or gas are repeated by a predetermined number. 1. A substrate treatment apparatus comprising:a support unit to support a substrate having a patterned film;a silane coupler supplier to supply the film with a silane coupler;an organic functional group remover to supply light or gas that removes an organic functional group from the film silylated with the silane coupler; anda drive mechanism to drive at least one of the support, the silane coupler supplier, and the organic functional group remover in such a way that the supply of the silane coupler and the supply of the light or the gas are repeated by a predetermined number.2. The substrate treatment apparatus according to claim 1 , wherein the silane coupler is liquid.3. The substrate treatment apparatus according to claim 1 , wherein the light is ultraviolet light claim 1 , or the gas is ozone.4. The substrate treatment apparatus according to claim 1 , wherein the number of organic functional group removers is greater than that of silane coupler suppliers.5. The substrate treatment apparatus according to claim 1 , further comprising:a rinse liquid supplier to supply a rinse liquid that rinses away the silane coupler after the silane coupler is supplied; anda dry gas supplier to supply a dry gas after the rinse liquid is supplied.6. The substrate treatment apparatus according to claim 1 , whereinthe drive ...

Подробнее
02-04-2015 дата публикации

WAFER POD AND WAFER POSITIONING MECHANISM THEREOF

Номер: US20150090630A1

Embodiments of mechanisms of a wafer pod including a wafer positioning mechanism are provided. The wafer positioning mechanism includes a base including a blocking portion, and a linking bar pivoted on the base and including a resilient portion. The wafer positioning mechanism also includes a pushing element pivoted on the linking shaft. Further, when the pushing element is at a retaining position, the resilient portion abuts against the blocking portion, and a force generated by the linking bar is applied to the pushing element. 1. A wafer positioning mechanism , comprising:a base comprising a blocking portion;a linking bar, pivoted on the base, comprising a resilient portion; anda pushing element pivoted on the linking shaft,wherein when the pushing element is at a retaining position, the resilient portion abuts against the blocking portion, and a force generated by the linking bar is applied to the pushing element.2. The wafer positioning mechanism as claimed in claim 1 , wherein the linking bar comprises:a linking body, pivoted on the base, wherein the resilient portion is disposed on the linking body; anda linking shaft disposed on the linking body.3. The wafer positioning mechanism as claimed in claim 2 , wherein the pushing element comprises:a pushing body;a pivoting portion, disposed on the pushing body, having a pivoting slot; anda holding portion, disposed on the pushing body,wherein the linking shaft is rotatably disposed in the pivoting slot, and the linking shaft is located between the holding portion and the pivoting slot.4. The wafer positioning mechanism as claimed in claim 3 , wherein the holding portion has a blocking surface claim 3 , and the linking shaft has a trench claim 3 , wherein when the pushing element is at a retaining position claim 3 , a bottom surface of the trench faces the blocking surface.5. The wafer positioning mechanism as claimed in claim 3 , wherein the pushing element comprises a wheel disposed on the pushing body.6. A wafer ...

Подробнее
19-06-2014 дата публикации

PACKAGING FOR SUBSTRATES AND PACKAGING UNIT HAVING SUCH PACKAGING

Номер: US20140166533A1
Принадлежит: CURAMIK ELECTRONICS GMBH

Packaging for substrates, particularly for metal/ceramic substrates, having a tray-like packaging portion, produced from a flat material, for example from a plastic flat material, by deep-drawing, having at least one receptacle, formed by a depression in an upper base section of the packaging portion, for a plurality of substrates that are combined to form at least one substrate stack (). 1. A packaging for a plurality of metal-ceramic substrates , comprising:having a tray-like packaging lower part formed from a sheet material, the tray-like packaging lower part having at least one seat formed by at least one indentation in an upper base section of the tray-like packaging lower part for holding the plurality of metal-ceramic substrates collected together into a substrate stack or a part stack;wherein the at least one indentation is constructed to arrange the plurality of metal-ceramic substrates with top faces perpendicular to or essentially perpendicular to a first plane of the upper base section and the upper base section comprises at least two lateral structures for an outermost plurality of metal-ceramic substrates in the substrate stack or the part stack, in that the at least two lateral structures are at a distance from each other in a first axial direction; andthe at least one indentation forms a structure with a base for at least an edge region of the plurality of metal-ceramic substrates, the base enclosing an angle (α, β) of less than 90° with the first plane.2. The packaging as claimed in claim 1 , wherein the at least two lateral structures form contact surfaces which are disposed in a second plane perpendicular to or essentially perpendicular to the first plane.3. The packaging as claimed in claim 1 , wherein the base of at least one indentation of the at least two lateral structures is formed by at least two wall sections which enclose an angle claim 1 , of 90° or essentially 90° claim 1 , and the at least two wall sections are disposed in planes which ...

Подробнее
31-03-2022 дата публикации

RETICLE POD HAVING ANTI-COLLISION GAP STRUCTURE

Номер: US20220102178A1
Принадлежит:

The invention discloses a reticle pod for receiving a reticle. The reticle pod includes a base and plural support device provided on the base for supporting the reticle. A first distance is defined between a peripheral area of a bottom surface of the reticle and an upward facing top surface of the base. A second distance is defined between a central area of the bottom surface of the base and the upward facing top surface of the base, wherein the central area is encircled by the peripheral area. The second distance is larger than the first distance. 1. A reticle pod for receiving a reticle , the reticle pod comprising:a base; anda plurality of support devices disposed on the base to support the reticle, with a first distance defined between a peripheral area of a bottom surface of the reticle and an upward-facing top surface of the base, and a second distance defined between a central area of a bottom surface of the base and the upward-facing top surface of the base, wherein the central area is encircled by the peripheral area, and the second distance is greater than the first distance.2. The reticle pod of claim 1 , wherein the base has a support surface encircling the upward-facing top surface claim 1 , and the support surface is an annular plane.3. The reticle pod of claim 1 , wherein the first distance ranges from 0.18 mm to 0.30 mm.4. The reticle pod of claim 1 , wherein the second distance is greater than 1.50 mm.5. The reticle pod of claim 1 , wherein the upward-facing top surface of the base faces the reticle and has planarity of less than 0.06 mm.6. The reticle pod of claim 1 , wherein the first distance is the shortest distance between the peripheral area of the bottom surface of the reticle and the upward-facing top surface of the base claim 1 , and the second distance is the shortest distance between the central area of the bottom surface of the reticle and the upward-facing top surface of the base.7. The reticle pod of claim 1 , wherein the central area ...

Подробнее
31-03-2016 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHODS

Номер: US20160091306A1
Принадлежит:

In a state of mapping sensors having been advanced into a carrier by a sensor advance/withdraw mover, a sensor lifting and lowering device moves the mapping sensors up and down. With this movement, the mapping sensors detect presence or absence of substrates in a horizontal direction crossing a fore-and-aft direction in which the substrates are moved into and out of the carrier, and a height sensor detects heights of the mapping sensors. Consequently, substrate heights are detected in two different locations in the fore-and-aft direction. Based on the substrate heights, a substrate condition acquiring unit acquires a tilt of each substrate relative to the horizontal in the fore-and-aft direction. The tilt of each substrate inside the carrier is acquired in advance, thereby to be able to prevent substrate damage due to contact between a hand of a substrate transport mechanism and the substrates. 1. A substrate treating apparatus comprising:a receiver for receiving a carrier placed thereon, the carrier being constructed to contain a plurality of substrates;a substrate detecting sensor facing a horizontal direction crossing a fore-and-aft direction in which the substrates are moved into and out of the carrier, to detect presence or absence of each substrate;a height sensor for detecting heights of the substrate detecting sensor;an up-and-down mechanism for moving the substrate detecting sensor up and down;an advance/withdraw mechanism for moving the substrate detecting sensor in the fore-and-aft direction;a controller for causing the substrate detecting sensor to detect heights of each substrate in at least two different locations in the fore-and-aft direction by operating the up-and-down mechanism to move the substrate detecting sensor up and down, and operating the advance/withdraw mechanism to move the substrate detecting sensor in the fore-and-aft direction; anda substrate condition acquiring unit for acquiring a tilt of each substrate relative to a horizontal ...

Подробнее
31-03-2022 дата публикации

Substrate storage apparatus provided with storage environment detection

Номер: US20220104365A1
Принадлежит: Gudeng Precision Industrial Co Ltd

The invention discloses a substrate storage apparatus having a detecting device detachably connecting to an outer pod. The detecting device includes a sensing member having a sensing terminal, a cavity and a sensor. The sensing terminal detachably connects to the outer pod such that the sensing terminal exposes in an accommodating space inside of the outer pod. The cavity receiving the sensor extends to an outside of the outer pod and the accommodating space. The cavity communicates with the accommodating space through the sensing terminal, allowing the sensor to read information regarding the accommodating space.

Подробнее
01-04-2021 дата публикации

METHOD OF PERFORMING A SUBSTRATE DETECTION PROCESS

Номер: US20210098273A1
Принадлежит:

A method of performing a substrate detection process is provided. The method includes emitting a signal to a surface of a substrate from an emitter disposed in a substrate storage container. The method also includes collecting the signal reflected from the surface of the substrate by a receiver disposed in the substrate storage container. The method further includes transmitting data corresponding to the collected signal to a signal processor. In addition, the method includes analyzing the data, and determining whether an action is to be performed on the substrate based on the analyzing. 1. A method of performing a substrate detection process , the method comprising:emitting a signal to a surface of a substrate from an emitter disposed in a substrate storage container;collecting the signal reflected from the surface of the substrate by a receiver disposed in the substrate storage container;transmitting data corresponding to the collected signal to a signal processor;analyzing the data; anddetermining whether an action is to be performed on the substrate based on the analyzing.2. The method of claim 1 , wherein the data is transmitted to the signal processor wirelessly.3. The method of claim 1 , wherein the data is transmitted to the signal processor by electrically connecting the receiver to the signal processor disposed in a base frame of a load port in a processing apparatus.4. The method of claim 1 , wherein emitting the signal further comprises:rotating or controlling a movement of the emitter by a motor disposed in the substrate storage container.5. The method of claim 4 , wherein the emitter is rotatable relative to the receiver.6. The method of claim 1 , wherein the emitter and the receiver are powered by a battery disposed in the substrate storage container.7. The method of claim 1 , wherein the emitter and the receiver are positioned facing the surface of the substrate.8. The method of claim 7 , wherein the emitter and the receiver are positioned on a base ...

Подробнее
26-03-2020 дата публикации

CARRYING DEVICE AND SEMICONDUCTOR PROCESSING APPARATUS

Номер: US20200095671A1
Принадлежит:

The present disclosure provides a carrying device and a semiconductor processing apparatus. The carrying device includes a heating plate and a cooling plate, the heating plate and the cooling plate are spaced apart, and a thermal insulation region is formed between the heating plate and the cooling plate. The carrying device of the present disclosure not only can preempt the need to stop the process due to excessively high temperature, but also can maintain a uniform and stable temperature throughout the process, thereby providing a qualified and stable processing temperature for a workpiece to be processed, and eventually obtaining better processing results. 1. A carrying device comprising:a heating plate,a cooling plate spaced apart from the heating plate, anda thermal insulation region formed between the heating plate and the cooling plate.2. The carrying device according to claim 1 , wherein the thermal insulation region is a closed space and a heat transfer rate is adjusted by adjusting a gas pressure in the thermal insulation region.3. The carrying device according to claim 1 , wherein the thermal insulation region is connected to a non-atmospheric environment or connected to an atmospheric environment.4. The carrying device according to claim 1 , further comprising: a thermal insulation structure disposed between the heating plate and the cooling plate claim 1 , the thermal insulation structure dividing the thermal insulation region into one or more thermal insulation zones and one or more heat transfer zones.5. The carrying device according to claim 4 , wherein the one or more heat transfer zones are connected to the atmospheric environment claim 4 , and the one or more thermal insulation zones are connected to a non-atmospheric environment.6. The carrying device according to claim 4 , wherein a gas pressure in the one or more thermal insulation zones is lower than a gas pressure in the one or more heat transfer zones.7. The carrying device according to ...

Подробнее
26-03-2020 дата публикации

Placement Apparatus and Processing Apparatus

Номер: US20200095680A1
Принадлежит: Tokyo Electron Ltd

A placement apparatus is provided in the present disclosure. The apparatus includes a stage on which a substrate is placed; a support configured to support the stage from a side of a rear surface of the stage that is opposite to a placement surface on which the substrate is placed; a temperature adjustment member including a plate securing the stage from a lower surface of the stage, a shaft extending downwards from the plate, and a hole accommodating the support through the shaft from the plate, and being capable of a temperature adjustment; a heat-insulating member disposed between the stage and the temperature adjustment member; and an abutment member configured to abut the substrate placed on the stage.

Подробнее
13-04-2017 дата публикации

METHOD OF USING A WAFER CASSETTE TO CHARGE AN ELECTROSTATIC CARRIER

Номер: US20170103910A1
Принадлежит:

A method comprising placing a wafer assembly in a wafer cassette, wherein the wafer assembly includes a wafer and an electrostatic carrier attached to the wafer. In addition, the electrostatic carrier is charged through the wafer cassette, the wafer cassette is transported to a next process stage, and the wafer assembly is removed from the wafer cassette. 1. A method , comprising:placing a wafer assembly in a wafer cassette, wherein the wafer assembly comprises an electrostatic carrier and a wafer disposed on the electrostatic carrier;charging the electrostatic carrier through the wafer cassette;transporting the wafer cassette to a next process stage; andremoving the wafer assembly from the wafer cassette.2. The method of claim 1 , further comprising coupling a power supply to the wafer cassette.3. The method of claim 1 , further comprising placing the wafer cassette on a wafer cassette stage.4. The method of claim 3 , wherein a power supply is connected to the wafer cassette stage and the wafer cassette stage is arranged to electrically couple the wafer cassette to the power supply.5. The method of claim 1 , further comprising holding the wafer assembly in the wafer cassette by a contact housing inside the wafer cassette.6. The method of claim 5 , wherein a spring element of the contact housing provides tension to hold the wafer assembly.7. The method of claim 1 , wherein charging the electrostatic carrier is performed through an electrical contact structure inside the wafer cassette.8. The method of claim 7 , wherein the electrical contact structure is connected to a power supply outside the wafer cassette.9. The method of claim 1 , wherein the electrostatic carrier comprises:electrical pads;electrodes electrically coupled to the electrical pads; andone or more dielectric layers disposed over the electrodes.10. A method claim 1 , comprising: electrical pads;', 'electrodes disposed over the electrical pads, the electrodes coupled to the electrical pads; and', 'one ...

Подробнее
08-04-2021 дата публикации

LOAD PORT APPARATUS AND METHOD OF DRIVING THE SAME

Номер: US20210104424A1
Принадлежит: TDK Corporation

A load port apparatus connects a main opening of a wafer transportation container to a frame opening. The apparatus includes an installation unit, a frame unit, a flange clamp unit, and a detection unit. The installation unit includes an installation table configured to install the container and relatively move to the frame opening. The frame unit is upright upward from the installation unit and includes the frame opening. The flange clamp unit includes an engagement section and a drive section. The engagement section is engageable with a flange surrounding an outer circumference of the main opening. The drive section drives the engagement section to carry out an engagement operation and a separation operation. The detection unit detects the engagement operation by the flange clamp unit with classification into a normal engagement operation and an abnormal engagement operation. 1. A load port apparatus for connecting a main opening of a wafer transportation container to a frame opening , the apparatus comprising:an installation unit including an installation table configured to install the wafer transportation container and relatively move to the frame opening;a frame unit being upright upward from the installation unit and including the frame opening; an engagement section engageable with a flange surrounding an outer circumference of the main opening; and', 'a drive section for driving the engagement section to carry out an engagement operation for engaging the engagement section with the flange and a separation operation for separating the engagement section from the flange; and, 'a flange clamp unit includinga detection unit configured to detect the engagement operation by the flange clamp unit with classification into a normal engagement operation and an abnormal engagement operation.2. The load port apparatus according to claim 1 , wherein the engagement section engages from above or side with an engaged part formed on the flange and opening in an outer radial ...

Подробнее
02-04-2020 дата публикации

WAFER PURGING-TYPE SHELF ASSEMBLY AND BUFFER MODULE HAVING THE SAME

Номер: US20200105562A1
Принадлежит:

Disclosed herein are a wafer purging-type shelf assembly and a buffer module having the same. The wafer purging-type shelf assembly includes: a shelf formed to support a wafer receiving container; a supply nozzle configured to be connected to an injection port of the wafer receiving container; and a gas supply line configured to supply an inert gas discharged from a factory gas facility to the wafer receiving container through the supply nozzle, wherein the gas supply line includes a proportional pressure control valve unit that adjusts a supply flow rate of the inert gas to the wafer receiving container by an area control method. 1. A wafer purging-type shelf assembly comprising:a shelf formed to support a wafer receiving container;a supply nozzle configured to be connected to an injection port of the wafer receiving container; anda gas supply line configured to supply an inert gas discharged from a factory gas facility to the wafer receiving container through the supply nozzle,wherein the gas supply line includes a proportional pressure control valve unit that adjusts a supply flow rate of the inert gas to the wafer receiving container by an area control method.2. The wafer purging-type shelf assembly of claim 1 , wherein the proportional pressure control valve unit includes:a valve housing including an input port and an output port; anda piezo valve seat being installed in the valve housing and adjusting a flow area of the inert gas through the input port according to an input voltage to control a flow rate of the inert gas output through the output port.3. The wafer purging-type shelf assembly of claim 2 , wherein the proportional pressure control valve unit further includes a restoring spring that pressurizes the piezo valve seat in a direction of closing the input port.4. The wafer purging-type shelf assembly of claim 2 , wherein the proportional pressure control valve unit further includes a pressure sensor that measures a pressure of the inert gas output ...

Подробнее
28-04-2016 дата публикации

SYSTEMS, APPARATUS, AND METHODS FOR PURGING A SUBSTRATE CARRIER AT A FACTORY INTERFACE

Номер: US20160118279A1
Принадлежит:

Embodiments of the present invention provide systems, apparatus, and methods for purging a substrate carrier. Embodiments include a frame configured to sit proximate to a load port door without interfering with operation of a factory interface or equipment front end module robot; one or more inter-substrate nozzle arrays supported by the frame and configured to spray gas into a substrate carrier; and one or more curtain nozzle arrays supported by the frame and configured to spray gas across an opening of the substrate carrier. Numerous additional aspects are disclosed. 1. A kit for purging a substrate carrier at a load port of a factory interface (FI) or equipment front end module (EFEM) , the kit comprising:a frame configured to sit proximate to a load port door without interfering with operation of a FI or EFEM robot;one or more inter-substrate nozzle arrays supported by the frame and configured to spray gas into a substrate carrier; andone or more curtain nozzle arrays supported by the frame and configured to spray gas across an opening of the substrate carrier.2. The kit of wherein the kit is configured to be added to existing factory interfaces or equipment front end modules.3. The kit of wherein the one or more inter-substrate nozzle arrays includes two inter-substrate nozzle arrays each disposed vertically on a side of the opening of the substrate carrier.4. The kit of wherein the one or more curtain nozzle arrays include one curtain nozzle array disposed vertically on a side of the opening of the substrate carrier.5. The kit of wherein the one or more curtain nozzle arrays include one curtain nozzle array disposed horizontally on a top or bottom side of the opening of the substrate carrier.6. The kit of wherein the curtain nozzle array is configured to spray gas vertically across the opening of the substrate carrier.7. The kit of wherein the one or more inter-substrate nozzle arrays each includes two nozzles for each slot within the substrate carrier.8. A ...

Подробнее
17-07-2014 дата публикации

WAFER HOLDING APPARATUS

Номер: US20140197068A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A wafer holding apparatus including a container body having a space to receive a wafer and a front opening, a door disposed at the front opening, and a first supporting part disposed on an inner wall of the door may be provided. For example, the first supporting part may include a frame coupled to the inner wall of the door, a plurality of elastic ribs protruding from the frame, a support structure coupled to the plurality of elastic ribs and defining a plurality of grooves, which is spaced apart from the door by the elastic ribs and configured to receive a peripheral portion of the wafer. 1. A wafer holding apparatus comprising:a container body including a space and a front opening, the space configured to receive a wafer;a door at the front opening; and a frame mounted on the inner wall of the door,', 'a plurality of elastic ribs protruding from the frame and', 'a support structure coupled to the plurality of elastic ribs and defining a plurality of grooves, the plurality of grooves spaced apart from the door by the elastic ribs and configured to receive a peripheral portion of the wafer., 'a first supporting part on an inner wall of the door, the first supporting part including,'}2. The wafer holding apparatus of claim 1 , wherein the first supporting part includes a plurality of wafer contacting portions claim 1 , and each of the wafer contacting portions includes a first inclined surface and a second inclined surface claim 1 , the first and second inclined surfaces defining each of the grooves.3. The wafer holding apparatus of claim 2 , wherein the wafer contacting portions are arranged in a direction substantially perpendicular to the wafer claim 2 , and adjacent wafer contacting portions are configured to be coupled to each other.4. The wafer holding apparatus of claim 2 , wherein the container body includes a top portion claim 2 , a bottom portion opposite to the top portion claim 2 , a side portion and a rear portion claim 2 , the side portion and the rear ...

Подробнее
09-04-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND METHOD

Номер: US20200111690A1
Автор: Oosterlaken Theodorus
Принадлежит:

A substrate processing apparatus, provided with a substrate carrier support to support a substrate carrier thereon. The carrier support comprises a top support surface to support the substrate carrier; a thermally insulating body of thermally insulating material; and, a primary heater to heat the carrier support. The thermally insulating body is provided at least between the support surface and the primary heater. 1. A substrate processing apparatus , provided with a substrate carrier support to support a substrate carrier thereon , wherein the carrier support comprises:a top support surface to support the substrate carrier;a thermally insulating body of thermally insulating material; and,a primary heater to heat the carrier support, wherein the thermally insulating body is provided at least between the support surface and the primary heater.2. The apparatus according to claim 1 , wherein the carrier support comprises a side plate and the thermally insulating body is provided between the side plate and the primary heater.3. The apparatus according to claim 1 , wherein the carrier support comprises a bottom plate and the thermally insulating body is provided between the bottom plate and the primary heater.4. The apparatus according to claim 1 , wherein the thermally insulating body is substantially surrounding the primary heater.5. The apparatus according to claim 1 , wherein the thermally insulating body is thermally insulating the primary heater from its surrounding.6. The apparatus according to claim 1 , wherein the carrier support comprises a secondary heater between the support surface and the thermally insulating body.7. The apparatus according to claim 6 , wherein the primary heater comprises a heating power larger than the secondary heater.8. The apparatus according to claim 1 , wherein the primary heater has a power between 0.5 and 10 Kilowatt.9. The apparatus according to claim 6 , wherein the secondary heater has a power between 0.1 and 3 Kilowatt.10. The ...

Подробнее
13-05-2021 дата публикации

ENVIRONMENTAL CONTROL MATERIAL HOLDER

Номер: US20210143040A1
Принадлежит:

Environmental control material holders can retain environmental control material within wafer carriers, allowing the environmental control material to protect wafers from moisture while also securing the environmental control material. The environmental control material holders may include a baseplate and tabs defining spaces to hold environmental control material, and can be configured to engage a handle of a wafer cassette. The environmental control material holders may retain one or more environmental control materials. Additionally, the environmental control material holders can be configured to also retain a humidity indicator. 1. An environmental control material holder for a wafer carrier , comprising:a baseplate; andone or more tabs, at least one of the one or more tabs including a retaining feature configured to engage with a handle of a wafer cassette;wherein when the environmental control material holder is in a folded state the baseplate and each of the one or more tabs are spaced apart from one another to define one or more spaces for retaining an environmental control material therein.2. The environmental control material holder of claim 1 , further comprising a plurality of environmental control material retention tabs extending from the baseplate.3. The environmental control material holder of claim 1 , wherein the one or more tabs are a plurality of tabs claim 1 , and the baseplate includes a channel located between two of the plurality of tabs.4. The environmental control material holder of claim 1 , further comprising an environmental control material retained in at least one of the one or more spaces claim 1 , the environmental control material including at least one of a desiccant claim 1 , an ionic absorbent claim 1 , or a volatile organic compound absorbent.5. The environmental control material holder of claim 4 , wherein the environmental control material includes at least one of silica gel claim 4 , bentonite clay activated charcoal claim 4 ...

Подробнее
05-05-2016 дата публикации

SUBSTRATE STORING CONTAINER

Номер: US20160126122A1
Автор: KANAMORI Yuta
Принадлежит:

The bottom plate has a plate-like shape, is arranged to face an outer face of a lower wall, and has a locking portion. The groove member has: a groove-forming portion having a groove opening downward formed therein, a surrounding wall portion, which is connected to the groove-forming portion, and is arranged around the groove-forming portion; and a locked portion, which is connected to the surrounding wall portion, is elastically deformable, and is locked by way of the locking portion of the bottom plate by being elastically deformed. The groove member is supported and fixed by way of the lower wall and the bottom plate. 1. A substrate storing container comprising:a container main body including a tubular wall portion with a container main body opening portion formed at one end portion and the other end portion closed, the wall portion having a back wall, an upper wall, a lower wall, and a pair of side walls, the container main body opening portion being formed by one end portion of the upper wall, one end portion of the lower wall, and one end portions of the side walls, wherein a substrate storing space that can store a plurality of substrates and is in communication with the container main body opening portion is formed by an inner face of the upper wall, an inner face of the lower wall, inner faces of the side walls, and an inner face of the back wall;a lid body that is removably attached to the container main body opening portion and can close the container main body opening portion;a bottom plate having a plate-like shape, arranged to face an outer face of the lower wall, and including a locking portion; anda groove member including a groove-forming portion having a groove opening downward formed therein, a surrounding wall portion, which is connected to the groove-forming portion, and is arranged around the groove-forming portion, and a locked portion, which is connected to the surrounding wall portion, is elastically deformable, and is locked by means of the ...

Подробнее
05-05-2016 дата публикации

Method For Improving Performance Of A Substrate Carrier

Номер: US20160126123A1
Принадлежит: VEECO INSTRUMENTS INC.

A method of modifying a substrate carrier to improve process performance includes depositing material or fabricating devices on a substrate supported by a substrate carrier. A parameter of layers deposited on the substrate is then measured as a function of their corresponding positions on the substrate carrier. The measured parameter of at least some devices fabricated on the substrate or a property of the deposited layers is related to a physical characteristic of substrate carrier to obtain a plurality of physical characteristics of the substrate carrier corresponding to a plurality of positions on the substrate carrier. The physical characteristic of the substrate carrier is then modified at one or more of the plurality of corresponding positions on the substrate carrier to obtain desired parameters of the deposited layers or fabricated devices as a function of position on the substrate carrier. 169-. (canceled)70. A substrate carrier comprising a top surface having a recess that is dimensioned to support a bottom surface of one or more substrates , the top surface being configured with a contour comprising a plurality of step heights that cause the substrate carrier to have a predetermined temperature profile.71. The substrate carrier of wherein the substrate carrier is formed of at least one of graphite claim 70 , SiC claim 70 , metal claim 70 , or ceramic material.72. The substrate carrier of wherein the substrate carrier is formed of at least one of a semiconductor claim 70 , metal claim 70 , or an insulator material.73. The substrate carrier of wherein the predetermined temperature profile comprises a uniform temperature profile.74. The substrate carrier of wherein at least two of the plurality of step heights have different thermal conductivities.75. The substrate carrier of wherein at least two of the plurality of step heights have different local emissivity.76. The substrate carrier of wherein the top surface is configured with a contour comprising the ...

Подробнее
03-05-2018 дата публикации

High Density Tec-Cell Carrier

Номер: US20180122674A1
Принадлежит:

The invention disclosed relates generally to handling substrates and wafers. In an example embodiment, to an improved wafer and substrate carrier or carrier system, method or apparatus. More specifically, to a carrier with improved abilities which may provide high density carriers, improved efficiency and other abilities such as increased cleanliness and reduced contamination to wafers during handling, storage or processing as well as higher density storage and better stocking, storage and handling abilities. 1. A substrate manufacturing , processing , transfer or storage system wherein includes at least: each carrier holds a single substrate or wafer,', 'carriers are stacked vertically wherein carriers key into each other, such that the substrates held do not touch above or below substrates or carriers,, 'one or more carriers, wherein one or more storage or stocker stations with storage or stocking containers or compartments where the carriers and substrates are housed,', 'one or more opener stations wherein the opener station is able to transfer carriers from a high density configuration to a low density configuration and vice versa, and', 'one or more transfer robots wherein the transfer robots are able to move the carriers or substrates from one area, position, location or station to another either individually or as a stack., 'multiple stations including at least'}2. A system as in claim 1 , whereinthere is a membrane between each stacked carriers and substrates.3. A system as in claim 2 , whereinthe membrane isolates each carrier, substrate or wafer from others in a stack, such as to prevent contamination if one wafer, carrier or substrate is contaminated or breaks etc.4. A system as in claim 1 , whereininternal to the carrier structure is a cavity or recess where a purge gas is able to flow from a source to the substrate.5. A System as in whereinthe purge gas effects each substrate in a laminar flow.6. A system as in claim 4 , whereinthe carriers are ...

Подробнее
03-05-2018 дата публикации

SUBSTRATE CARRIER TRANSPORT, SORTING AND LOADING/UNLOADING

Номер: US20180122675A1
Принадлежит:

A substrate stocker system includes a high-density storage chamber that comprises one or more stacks of one or more substrates in a closed position, one or more substrates being supported on a respective carrier, one or more low density containers, wherein each low density container being configured to store one or more substrates in an open position. The substrate stocker system further includes a first robot configured to move the one or more stacks between the high density storage chamber, and the one or more opener stations, and a second robot configured to move the individual one or more substrates between the one or more opener stations and the one or more low density containers. 1. A substrate stocker system , comprising:a high density storage chamber that comprises one or more high density containers or stacks of one or more substrates, each substrate being supported on a respective carrier wherein the high density containers or stacks are in a closed position;one or more low density containers, each low density container being configured to store one or more substrates and carriers;one or more opener stations, each opener station configured to send or receive one or more stacks or containers from at least one high density storage chamber and one or more substrates from the low density containers, each opener station comprising one or more separator modules for changing one or more distances between corresponding one or more adjacent substrates in the high density containers or stacks; one or more containers or stacks between the high density storage chamber, and the one or more opener stations; and', 'one or more substrates between the one or more high density open position high density containers or stacks and the one or more low density containers., 'at least one robot wherein the one or more robots are configured to move2. The substrate stocker system as claimed in claim 1 , wherein each low density container is a Front Opening Unified Pod (FOUP).3. The ...

Подробнее
12-05-2016 дата публикации

Multi-cassette carrying case

Номер: US20160133491A1
Принадлежит: Applied Materials Inc

Embodiments of multi-cassette carrying cases are provided herein. In some embodiments a multi-cassette carrying case includes: a body having an inner volume; a door coupled to the body to selectively seal off the inner volume; and a plurality of cassette holders disposed in the inner volume to hold one or more substrate cassettes. In some embodiments, a method of transferring substrates includes: placing a substrate in a substrate cassette, wherein an inner volume of the substrate cassette is sealed from an environment outside of the substrate cassette; and placing the substrate cassette in a multi-cassette carrying case.

Подробнее
10-05-2018 дата публикации

Systems, apparatus, and methods for an improved load port backplane

Номер: US20180130684A1
Принадлежит: Applied Materials Inc

Embodiments provide systems, apparatus, and methods for an improved load port that includes a backplane assembly supporting a docking tray and a substrate carrier opener, wherein the backplane assembly includes a backplane; a leveling block coupleable to an equipment front end module (EFEM); a conical hole adjustment assembly coupled between the leveling block and the backplane; and a slotted hole adjustment assembly coupled between the leveling block and the backplane. The conical hole adjustment assembly includes a conical hole block coupled to the leveling block at a first end; a threaded block coupled to the backplane; and an adjustment bolt coupled to the conical hole block and the threaded block. Numerous additional aspects are disclosed.

Подробнее
01-09-2022 дата публикации

Substrate Storage Container

Номер: US20220277977A1
Автор: Kanai Sou
Принадлежит:

A substrate storage container includes: a container body configured to store a substrate; a lid configured to close an opening formed on the front side of the container body; and a retainer arranged on the back of the lid and configured to restrict the substrate from moving to the front side. The retainer includes an integration of: a frame configured to be detachably attached to the back of the lid; a contact portion including a convex portion configured to contact the substrate and restrict the substrate from moving to the front side; and a beam structure that connects the frame and the contact portion. The contact portion and the beam structure are configured to be spaced apart from the lid. 1. A substrate storage container , comprising:a container body configured to store a substrate;a lid configured to close an opening formed on a front side of the container body; anda retainer arranged on a back of the lid and configured to restrict the substrate from moving to the front side, a frame configured to be detachably attached to the back of the lid;', 'a contact portion comprising a convex portion that extends linearly in a longitudinal direction and is configured to contact the substrate and restrict the substrate from moving to the front side; and', 'a beam structure that connects the frame and the contact portion, and, 'wherein the retainer comprises an integration ofwherein the contact portion and the beam structure are configured to be spaced apart from the lid.2. The substrate storage container according to claim 1 , whereinthe contact portion extends vertically,the beam structure comprises a plurality of beams arranged in the longitudinal direction, each having a base end connected to the frame and a distal end connected to the contact portion,the beams each extend in a direction at an angle to a horizontal direction, andlongitudinally adjacent beams extend in different directions.3. The substrate storage container according to claim 2 , whereinthe frame has ...

Подробнее
19-05-2016 дата публикации

FRAME CASSETTE

Номер: US20160141189A1
Принадлежит:

According to various embodiments, a frame cassette may include: a housing; a mounting structure inserted in the housing, the mounting structure including a plurality of tape-frame slots, wherein each tape-frame slot is configured to receive a tape-frame, wherein the housing includes an opening to introduce a tape-frame into a tape-frame slot of the plurality of tape-frame slots or to remove a tape-frame from a tape-frame slot of the plurality of tape-frame slots, and a door mounted at the housing, wherein the door is configured to close the opening of the housing to seal the interior of the housing from the exterior of the housing. 1. A processing arrangement comprising: a housing;', 'a mounting structure within the housing, the mounting structure comprising a plurality of tape-frame slots, each tape-frame slot configured to receive a tape-frame;', 'wherein the housing comprises an opening to introduce at least one tape-frame into the at least one tape-frame slot of the plurality of tape-frame slots or to remove the at least one tape-frame from the at least one tape-frame slot of the plurality of tape-frame slots;', 'a door mounted at the housing,', 'wherein the door is configured to close the opening of the housing to seal an interior of the housing from an exterior of the housing and to be operated by the overhead transportation system; and, 'a frame cassette comprisingan overhead transportation system configured to transport the frame cassette and to operate the door of the frame cassette.2. The processing arrangement of claim 1 , wherein the frame cassette further comprises the at least one tape-frame disposed within the at last one tape-frame slot of the plurality of tape-frame slots.3. The processing arrangement according to claim 1 , wherein the frame cassette further comprises:a door guidance system mechanically connecting the door to the housing, wherein the door guidance system is configured to guide the door movement.4. The processing arrangement ...

Подробнее
19-05-2016 дата публикации

Front opening wafer container with weight ballast

Номер: US20160141194A1
Принадлежит: Entegris Inc

Apparatuses and methods providing a ballast system for adjusting the center of gravity of a standardized front opening wafer container. Various embodiments of the disclosure present ballast systems that are low profile, do not require modifications to the shell of the wafer container, and can be retrofit to existing wafer carriers. In some embodiments, these capabilities are accomplished by a ballast that mounts to a kinematic coupling plate of the wafer container. In one embodiment, the ballasting can also provide sufficient counter force to the lifting forces associated with purging of the wafer container, thereby preventing “lift off” of the wafer container during purging operations. The ballasts can be removed for shipping of the wafer container, thereby decreasing shipping costs.

Подробнее
24-05-2018 дата публикации

Workpiece Support Structures and Apparatus for Accessing Same

Номер: US20180141219A1
Автор: Bonora Anthony C.
Принадлежит:

A workpiece container stores at least one workpiece having a bottom surface and a peripheral edge. In one embodiment, a workpiece support structure is located within the container enclosure, which forms multiple vertically stacked storage shelves within the enclosure. Each storage shelf includes, in one embodiment, a first tine and a second tine for supporting the workpiece in a substantially horizontal orientation. The bottom surface and peripheral edge of a workpiece seated on a storage shelf extends beyond the outer edge of both the first tine and the second tine. An end effector may engage these extended portions or “grip zones” of the workpiece. 1. An end effector comprising:a body;a first arm attached to and extending from the body in a direction,a second arm attached to and extending from the body in the direction;each of the first and second arms having a proximal end closer to the body than a distal end;a distal support pad extending from each of the first and second arms towards the other arm, the distal support pad located closer to the distal end than to the proximal end,wherein the distal support pads are located close to a peripheral edge of a workpiece when present, andwherein the distal support pads are located in a retracted position to facilitate travel of the first and second arms vertically within an enclosure of a container, wherein the distal support pads are located in a support position to support the workpiece when present in the container, wherein the first and second arms move closer or away from each other, wherein in the retracted position, the first and second arms are away from each other and do not support the workpiece, and in the support position, the first and second arms are closer to each other.2. The end effector of claim 1 , wherein each of the first and second arms is curved between the proximal end and the distal end claim 1 , wherein the body has a portion that is straight.3. The end effector of claim 1 , further comprising ...

Подробнее
26-05-2016 дата публикации

SUBSTRATE STORING CONTAINER

Номер: US20160148825A1
Автор: KANAMORI Yuta
Принадлежит:

The lateral substrate support part has: a plurality of plate parts, which have a parallel positional relationship, and support end portions of a plurality of substrates; and a plate-part support part, which supports the plate part, and is fixed to a side wall. The plate-part support part has: a groove-forming portion having a groove formed therein, said groove linearly extending over the whole plate parts in the direction intersecting the plate parts; a protrusion, which is formed in the groove, and which protrudes such that the protrusion reduces the width of the groove in the direction orthogonal to the direction in which the groove extends; and a positioned part that is formed at a center portion of the groove in the direction in which the groove extends. 1. A substrate storing container , comprising:a container main body including a tubular wall portion with a container main body opening portion formed at one end portion and the other end portion closed, the wall portion having a back wall, an upper wall, a lower wall, and a pair of side walls, the container main body opening portion being formed by one end portion of the upper wall, one end portion of the lower wall, and one end portions of the side walls, wherein a substrate storing space that can store a plurality of substrates and is in communication with the container main body opening portion is formed by an inner face of the upper wall, an inner face of the lower wall, inner faces of the side walls, and an inner face of the back wall;a lid body that is removably attached to the container main body opening portion and can close the container main body opening portion;lateral substrate support parts that are disposed to be fixed to the pair of side walls so as to form a pair inside of the substrate storing space, and can support edge parts of a plurality of substrates when the container main body opening portion is not closed by the lid body, in a state in which adjacent substrates among the plurality of ...

Подробнее
09-05-2019 дата публикации

TRANSPARENT HALO FOR REDUCED PARTICLE GENERATION

Номер: US20190139774A1

Embodiments herein include a halo having varied conductance. In some embodiments, a halo surrounding a semiconductor workpiece may include a first side opposite a second side, and a first end opposite a second end, wherein the first side is operable to receive an ion beam from an ion source. The halo may further include a plurality of apertures extending between the first side and the second side, wherein the plurality of apertures permit passage of a portion of the ion beam to pass therethrough, and wherein the halo has a varied conductance between the first and second ends. In some embodiments, at least a group of apertures of the plurality of apertures vary in at least one of: pitch, and diameter. In some embodiments, a thickness of the halo between the first side and the second side varies along a height extending between the first end and the second end. 1. An apparatus comprising:a platen for supporting a workpiece; and a first side opposite a second side, and a first end opposite a second end, wherein the first side is operable to receive an ion beam from an ion source; and', 'a plurality of apertures extending between the first side and the second side, wherein the plurality of apertures permit passage of a portion of the ion beam to pass therethrough, and', 'wherein the halo has a varied conductance between the first and second ends., 'a halo surrounding the platen, the halo comprising2. The apparatus of claim 1 , wherein at least a group of apertures of the plurality of apertures vary in at least one of: pitch claim 1 , and diameter.3. The apparatus of claim 2 , wherein the group of apertures is located in a central area of the halo.4. The apparatus of claim 1 , wherein the first side is substantially perpendicular to the ion beam.5. The apparatus of claim 1 , wherein a first diameter of each of the plurality of apertures along the first side of the halo is larger than a second diameter of each of the plurality apertures along the second side of the halo.6 ...

Подробнее
30-04-2020 дата публикации

SIDE STORAGE PODS, ELECTRONIC DEVICE PROCESSING SYSTEMS, AND METHODS FOR OPERATING THE SAME

Номер: US20200135522A1
Принадлежит:

Electronic device processing systems including an equipment front end module with at least one side storage pod are described. The side storage pod has a chamber including a top substrate holder and a bottom substrate holder. In some embodiments, an exhaust port is located at a midpoint between the top substrate holder and the bottom substrate holder. Methods and systems in accordance with these and other embodiments are also disclosed. 1. A side storage pod , comprising:a first chamber having a first chamber opening configured to be located adjacent a wall of a body of an electronic front end module; a first storage container opening located adjacent the first chamber opening;', 'a first storage container chamber fluidly coupled with the first storage container opening;', 'a plurality of substrate holders located in the first storage container chamber, the plurality of substrate holders extending between a top substrate holder and a bottom substrate holder;', 'a first exhaust plenum coupled to the first storage container chamber opposite the first storage container opening, wherein the plurality of substrate holders are located between the first storage container opening and the first exhaust plenum; and', 'a first exhaust port having a center located on the first exhaust plenum within 25% of a midpoint between the top substrate holder and the bottom substrate holder., 'a first storage container received in the first chamber, comprising2. The side storage pod of claim 1 , wherein the center of the first exhaust port is located on the first exhaust plenum within 15% of a midpoint between the top substrate holder and the bottom substrate holder.3. The side storage pod of claim 1 , wherein the center of the first exhaust port is located on the first exhaust plenum within 5% of a midpoint between the top substrate holder and the bottom substrate holder.4. The side storage pod of claim 1 , wherein the first storage container chamber is sealed from the first chamber.5132 ...

Подробнее
24-05-2018 дата публикации

Next generation warpage measurement system

Номер: US20180144960A1

Systems, apparatuses and methods for determining a surface profile of a substrate are provided. In one embodiment, a method includes projecting a signal having a vertical component/profile across the surface of the substrate from a plurality of locations along a first side of the substrate, capturing the projected signals at each of a plurality of respective locations across the surface of the substrate and determining a surface profile for the substrate using the captured signals. The process can be automated using a controller having predetermined projection and capture positions along respective sides of the substrate, where a surface profile of the substrate can be automatically determined by the controller using the captured signals.

Подробнее
04-06-2015 дата публикации

REDUCED CAPACITY CARRIER AND METHOD OF USE

Номер: US20150155192A1
Принадлежит:

A substrate transport apparatus is provided. The apparatus has a casing and a door. The casing is adapted to form a controlled environment therein. The casing has supports therein for holding at least one substrate in the casing. The casing defines a substrate transfer opening through which a substrate transport system accesses the substrate in the casing. The door is connected to the casing for closing the substrate transfer opening in the casing. The casing has structure forming a fast swap element allowing replacement of the substrate from the apparatus with another substrate without retraction of the substrate transport system and independent of substrate loading in the casing.

Подробнее
07-05-2020 дата публикации

RETICLE SUPPORT FOR A CONTAINER

Номер: US20200144086A1
Принадлежит:

A container for supporting a workpiece, the workpiece including a chamfer around upper and lower edges of the workpiece is disclosed. The container includes a door and a shell capable of coupling with the door to define an isolated environment within the container. The container includes a workpiece support mounted to the door. The workpiece support includes a support post. The support post is configured to support a workpiece at a corner of the workpiece. The support post includes a first sloping wall. A second sloping wall is at a bottom of the first sloping wall. The second sloping side wall is configured to support a workpiece. The first sloping wall and the second sloping wall are oriented with different non-zero slopes. 1. A container for supporting a workpiece comprising:a door and a shell, the shell capable of coupling with the door to define an isolated environment; a first sloping wall; and', 'a second sloping wall at a bottom of the first sloping wall, the second sloping wall configured to support a workpiece,', 'wherein the first sloping wall and the second sloping wall are oriented with different non-zero slopes., 'a workpiece support secured to the door, the workpiece support including a support post configured to support a workpiece, the support post including2. The container of claim 1 , wherein the first sloping wall is oriented at an angle relative to a vertical axis that is at or about 45° or less.3. The container of claim 1 , wherein the second sloping wall is oriented at an angle relative to a horizontal axis that is greater than at or about 0° and at or about 15° or less.4. The container of claim 1 , wherein one or more of the first sloping wall and the second sloping wall includes a curved claim 1 , non-planar surface.5. The container of claim 1 , wherein the first sloping wall is adjoined to or separated from the second sloping wall.6. A container for supporting a workpiece comprising:a door;a shell capable of coupling with the door to define ...

Подробнее
14-05-2020 дата публикации

MICROENVIRONMENT FOR FLEXIBLE SUBSTRATES

Номер: US20200152496A1
Принадлежит:

A substrate container () includes a shell () defining an opening and a door () for selectively sealing the opening. A cantilevered support tray () supports a substrate () within the shell (). The support tray () includes a support collar () for coupling the support tray () to a support post () such that the support tray () is cantilevered from the support post (). 1. A substrate container comprising:a shell defining an opening;a door configured to selectively seal the opening; anda cantilevered support tray configured to support a flexible substrate within the shell.2. The substrate container of claim 1 , further comprising a support post claim 1 , wherein the support tray is cantilevered from at least one support post.3. The substrate container of claim 2 , further comprising at least one support collar configured to couple the support tray to the at least one support post.4. The substrate container of claim 3 , wherein the at least one support collar surrounds the at least one support post.5. The substrate container of claim 1 , wherein the support tray comprises a registration ledge configured to contact a rearward edge of a substrate supported by the support tray.6. The substrate container of claim 5 , wherein the support tray further comprises a tab feature extending forward from the registration ledge claim 5 , the tab feature being configured to limit side-to-side movement of a substrate supported by the support tray.7. The substrate container of claim 1 , wherein the support tray comprises a pin extending upward from the support tray claim 1 , the pin being configured to mate with a registration hole of a substrate supported by the support tray.8. The substrate container of claim 1 , wherein the pin has a tapered profile near a distal end of the pin. The substrate container of claim 1 , further comprising a retention mechanism coupled to the door claim 1 , the retention mechanism being configured to prevent movement of a substrate supported by the support ...

Подробнее
14-05-2020 дата публикации

SYSTEMS, APPARATUS, AND METHODS FOR AN IMPROVED LOAD PORT BACKPLANE

Номер: US20200152497A1
Принадлежит:

A method is for sealing a backplane component of a load port system to an equipment front end module (EFEM). The method includes mounting a leveling block to the EFEM. A conical hole adjustment assembly is coupled between a first distal end of the leveling block and the backplane component. The method further includes rotating a first leveling adjustment bolt in the conical hole adjustment assembly to align the backplane component with the EFEM. 1. A method of sealing a backplane component of a load port system to an equipment front end module (EFEM) , the method comprising:mounting a leveling block to the EFEM, wherein a conical hole adjustment assembly is coupled between a first distal end of the leveling block and the backplane component; androtating a first leveling adjustment bolt in the conical hole adjustment assembly to align the backplane component with the EFEM.2. The method of further comprising:mounting a conical hole block of the conical hole adjustment assembly to the first distal end of the leveling block;mounting a first threaded block of the conical hole adjustment assembly to the backplane component; andcoupling, via the first leveling adjustment bolt of the conical hole adjustment assembly, the conical hole block with the first threaded block.3. The method of further comprising disposing first spherical washers adjacent the conical hole block on the first leveling adjustment bolt.4. The method of claim 2 , wherein the conical hole block forms a through-hole having:a first diameter at a lower end large enough to allow the first leveling adjustment bolt to swivel in the through-hole; anda second diameter at an upper end small enough to retain the first leveling adjustment bolt at a fixed location.5. The method of claim 1 , wherein a slotted adjustment assembly is coupled between a second distal end of the leveling block and the backplane component.6. The method of further comprising:coupling a first pitch adjustment bolt to the first distal end of ...

Подробнее
16-06-2016 дата публикации

Methods and devices for securing and transporting singulated die in high volume manufacturing

Номер: US20160172222A1
Принадлежит: Intel Corp

A method includes identifying a wafer position for a plurality of die on a wafer, storing the wafer position for each of the plurality of die in a database, dicing the wafer into a plurality of singulated die, positioning each of the singulated die in a die position location on a tray, and storing the die position on the tray for each of the singulated die in the database. The database includes information including the wafer position associated with each die position. The tray is transported to a processing tool, and at least one of the plurality of singulated die is removed from the die position on the tray and processed in the processing tool. The processed singulated die is replaced in the same defined location on the tray that the singulated die was positioned in prior to the processing. Other embodiments are described and claimed.

Подробнее
22-06-2017 дата публикации

INTERLOCKING NEST WAFER PROTECTOR

Номер: US20170178937A1
Принадлежит:

An interlocking ring wafer separator for reducing particles during shipment of integrated circuit wafers has a wafer shelf, an interlocking tab which prevents the edge of the wafer from coming into contact with the inner wall of the wafer shipping container, and an interlocking slot into which the interlocking tab of a second interlocking ring wafer separator may be inserted locking the two interlocking ring wafer separators together in the coin stacked wafers. 1. An interlocking ring wafer separator for shipping integrated circuit wafers in a wafer shipping container , comprising:a wafer shelf that is ring-shaped;an interlocking tab wherein the interlocking tab extends around an outer circumference of the wafer shelf, wherein the interlocking tab extends above the wafer shelf; andan interlocking groove which extends around a bottom outer circumference of the interlocking ring wafer separator wherein a width of the interlocking groove is slightly larger than a width of the interlocking tab and is located directly below the interlocking tab.2. The interlocking ring wafer separator of claim 1 , wherein a width of the wafer shelf is less than about 3 mm.3. The interlocking ring wafer separator of further comprising a foot wherein the foot extends around an inner bottom circumference of the interlocking ring wafer separator and wherein the foot extends inward toward a center of the interlocking ring wafer separator and wherein a top surface of the wafer shelf is sufficiently above the foot to allow an automatic pick-and-place loader and unloader to load and unload the wafer and the interlocking ring wafer separator.4. The interlocking ring wafer separator of further comprising surface tension release slots located at intervals around a circumference of the interlocking ring wafer separator.5. The interlocking ring wafer separator of claim 4 , wherein a width of each surface tension release slot is between 1 mm and 3 mm.6. The interlocking ring wafer separator of claim 4 ...

Подробнее
28-05-2020 дата публикации

Substrate Cushion Brace Retainer

Номер: US20200168492A1
Принадлежит:

A substrate retainer () is provided which presents a substantially uniform contact face () that can accommodate a large variation in the location of substrate registration thereon. The uniform contact face () is allowed to deflect and compress in order to eliminates the prospect of substrates () being damaged by or becoming inadvertently pinched within the substrate retainer () or from being pressed too hard by the uniform contact face (). With this arrangement, no adhesives or tapes are utilized, so there is no attendant out gassing of volatile organic compounds to contaminate the microenvironment, or complications due to incompatibility of such adhesives and tapes with washing processes. 1. A substrate retainer for a substrate container , comprising:a retainer cushion including a body portion having an outer peripheral portion, a central region and a thickness defining a thickness dimension, the body portion being formed of a compliant material that is compressible in the thickness dimension; anda mounting structure configured to secure the retainer cushion at the outer peripheral portion and to allow the central region to deflect relative to the mounting structure.2. The substrate retainer of claim 1 , wherein the compliant material is able to both compress and to deflect when pressure is applied to the central region and is formed of one of a foam material claim 1 , a rubber material or an elastomeric material.3. The substrate retainer of claim 2 , further comprising claim 2 , in combination claim 2 , a substrate container for housing substrates claim 2 , wherein the mounting structure is configured to mount to a door of the substrate container claim 2 , with the retainer cushion having a first side configured to engage the substrates and an opposite side claim 2 , facing the door and spaced from the mounting structure claim 2 , whereby the mounting structure is configured to allow the central region to deflect in order to prevent damage to the substrates.4. The ...

Подробнее
28-06-2018 дата публикации

SUBSTRATE STORING CONTAINER

Номер: US20180182655A1
Принадлежит:

The plurality of protective grooves has openings wider than thicknesses of the edge portions of the substrates W to allow the edge portions of the substrates W to be inserted. The edge portions of the substrates W are inserted into the protective grooves in a non-contact state in which a space is formed between the edge portions of the substrates W and a groove forming surface of the substrate edge portion protective portion on which the protective grooves are formed when the container main body opening portion is closed by the lid body and the edge portions of the substrates W are not in contact with the groove forming surface 1. A substrate storing container comprising:a container main body having a tubular wall portion in which a container main body opening portion is formed at one edge portion and the other edge portion is closed, a substrate storing space which is allowed to store a plurality of substrates and communicates with the container main body opening portion being formed by an inner face of the wall portion;a lid body removably attached to the container main body opening portion and allowed to close the container main body opening portion;a lid body side substrate support portion disposed at a portion of the lid body facing the substrate storing space when the container main body opening portion is closed by the lid body and allowed to support edge portions of the plurality of substrates when the container main body opening portion is closed by the lid body;a back side substrate support portion disposed to form a pair with the lid body side substrate support portion in the substrate storing space and allowed to support the edge portions of the plurality of substrates, the back side substrate support portion supporting the plurality of substrates in a state in which the edge portions of the plurality of substrates are arranged in parallel in cooperation with the lid body side substrate support portion when the container main body opening portion is ...

Подробнее
29-06-2017 дата публикации

ADAPTIVE INSET FOR WAFER CASSETTE SYSTEM

Номер: US20170186638A1
Принадлежит:

The present disclosure relates to a wafer cassette system having an adaptive inset configured to enable wafers having a first diameter to be held by a wafer cassette configured to hold wafers having a second diameter larger than the first diameter. The wafer cassette system includes a wafer cassette having a first plurality of wafer slots configured to receive one or more wafers having a first diameter. An adaptive inset is arranged in an interior cavity of the wafer cassette. The adaptive inset has a second plurality of wafer slots configured to receive one or more wafers having a second diameter that is less than the first diameter. The adaptive inset allows for the wafer cassette to hold wafers having the second diameter, thereby enabling semiconductor processing tools to processes wafer having a different diameter than those able to be held by wafer cassettes that the tools can receive. 1. A wafer cassette system , comprising:a wafer cassette having a first plurality of wafer slots respectively configured to receive a semiconductor wafer having a first diameter; andan adaptive inset arranged in an interior cavity of the wafer cassette and having a second plurality of wafer slots respectively configured to receive a semiconductor wafer having a second diameter that is smaller than the first diameter.2. The wafer cassette system of claim 1 , wherein the adaptive inset comprises one or more exterior surfaces contacting one or more interior surfaces of the interior cavity of the wafer cassette.3. The wafer cassette system of claim 1 , further comprising:one or more fastening elements configured to fasten the adaptive inset into the interior cavity of the wafer cassette in a fixed relation.4. The wafer cassette system of claim 3 , wherein the one or more fastening elements comprise one or more screws extending through upper surfaces of the wafer cassette and the adaptive inset.5. The wafer cassette system of claim 1 , further comprising:one or more support structures ...

Подробнее
20-06-2019 дата публикации

Substrate Storage Container

Номер: US20190189489A1
Автор: Hiroshi Mimura, Junya Toda
Принадлежит: Shin Etsu Polymer Co Ltd

A substrate storage container with increased mounting force of the conveyance component is provided. The substrate storage container comprises a container body having an opening closed by a lid and capable of storing a plurality of substrates, and a conveyance component detachably attached to the container body via a mounting mechanism, wherein the mounting mechanism includes at least one first mounting portion on the opening side of the container body and at least one second mounting portion on the side away from the opening. Furthermore, the conveyance component has at least one engaging portion to be engaged with the mounting mechanism, and the at least one engaging portion includes at least one first engaging portions displaced and engaged in a predetermined direction and at least one second engaging portion displaced and engaged in a direction different from the predetermined direction.

Подробнее
11-06-2020 дата публикации

Substrate Storage Container

Номер: US20200185244A1
Автор: Ogawa Osamu
Принадлежит:

A substrate storage container comprises a container body that stores substrates, a lid that closes an opening of the container body, locking mechanisms provided on the lid and locking with the locking portions of the container body, and packing interposed between the container body and the lid, wherein the packing includes a first packing attached to the container body and a second packing attached to the lid, and wherein the first packing and the second packing are located inside the container body from positions of the locking portions when viewed from the direction orthogonal to the closing direction of the lid. As a result, a substrate storage container with improved internal cleanliness can be provided while also improving the sealability with respect to internal negative pressure and positive pressure. 1. A substrate storage container comprising:a container body that stores substrates,a lid that closes an opening of the container body,locking mechanisms provided on the lid and locking with locking portions of the container body, andpacking interposed between the container body and the lid,wherein the packing includes a first packing attached to the container body and a second packing attached to the lid, andwherein the first packing and the second packing are located inside the container body from positions of the locking portions when viewed from a direction orthogonal to a closing direction of the lid.2. The substrate storage container according to claim 1 , wherein the container body is formed so as to have a first attachment groove that attaches the first packing and a second seal surface that contacts the second packing claim 1 ,wherein the lid is formed so as to have a second attachment groove that attaches the second packing and a first seal surface that contacts the first packing, andwherein the first seal surface and the second seal surface are each formed by a surface orthogonal to the closing direction of the lid.3. The substrate storage container ...

Подробнее
30-07-2015 дата публикации

FRAME CASSETTE

Номер: US20150214084A1
Принадлежит: INFINEON TECHNOLOGIES AG

According to various embodiments, a frame cassette may include: a housing; a mounting structure inserted in the housing, the mounting structure including a plurality of tape-frame slots, wherein each tape-frame slot is configured to receive a tape-frame, wherein the housing includes an opening to introduce a tape-frame into a tape-frame slot of the plurality of tape-frame slots or to remove a tape-frame from a tape-frame slot of the plurality of tape-frame slots, and a door mounted at the housing, wherein the door is configured to close the opening of the housing to seal the interior of the housing from the exterior of the housing. 1. A frame cassette comprising:a housing;a mounting structure within the housing, the mounting structure comprising a plurality of tape-frame slots, each tape-frame slot configured to receive a tape-frame,wherein the housing comprises an opening to introduce a tape-frame into a tape-frame slot of the plurality of tape-frame slots or to remove a tape-frame from a tape-frame slot of the plurality of tape-frame slots,a door mounted at the housing;wherein the door is configured to close the opening of the housing to seal the interior of the housing from the exterior of the housing.2. The frame cassette according to claim 1 , further comprising:a door guidance system mechanically connecting the door to the housing, wherein the door guidance system is configured to move the door.3. The frame cassette according to claim 2 ,wherein the door comprises a door handling flange configured to operate the door by an overhead transportation system.4. The frame cassette according to claim 1 , further comprising:a gasket connected with at least one of the housing or the door, the gasket sealing the housing air-tightly when the door is closed.5. The frame cassette according to claim 4 ,wherein the gasket completely surrounds the opening in the housing.6. The frame cassette according to claim 1 ,wherein the door is bivalved to prevent particles entering the ...

Подробнее
19-07-2018 дата публикации

SUBSTRATE CONTAINER WITH ENHANCED CONTAINMENT

Номер: US20180204751A1
Принадлежит:

Substrate containers and/or portions thereof for use in the semiconductor manufacturing industry can be formed by injection molding metal slurries. More particularly, such substrate containers and/or portions thereof can be formed by injection molding metal slurries containing magnesium or magnesium alloys. Substrate containers, wherein at least a portion of the substrate container is injection molded from a metal slurry containing magnesium or magnesium alloy can exhibit improved permeation control of moisture and oxygen over, and as compared to, comparable substrate carriers formed of polymer based materials. Exemplary substrate containers can include wafer containers, reticle pods, disk shippers and/or work-in-process boxes. 19-. (canceled)10. A substrate container comprising a containment portion of the substrate container being formed of a magnesium thixomolded material , the containment portion having a coating on the surface of the magnesium thixomolded material , the containment portion further having substrate supports.1115-. (canceled)16. The substrate container of claim 10 , the substrate supports being substantially formed of the magnesium thixomolded material.17. The substrate container of claim 10 , wherein at least a portion of the substrate container being formed of an injection molded polymer composition.18. The substrate carrier of claim 10 , the substrate container further comprising a component bonded to a coated surface of the magnesium thixomolded material.19. The substrate container of claim 10 , wherein the coating is a conversion coating.20. The substrate container of claim 10 , the coating thickness being 10to 10of an inch.21. The substrate container of claim 19 , wherein the conversion coating is selected from the group consisting of Alodine 5200 (Non Chromate) claim 19 , Alodine 5900 (Trivalent Chromate) claim 19 , Metalast TCP-HF; NH35 (Hexavalent Chromate) claim 19 , Tagnite claim 19 , Anomag claim 19 , and Keronite.22. (canceled)23. ( ...

Подробнее
28-07-2016 дата публикации

Batch Heating and Cooling Chamber or Loadlock

Номер: US20160218028A1
Принадлежит:

Wafer cassettes and methods of use that provide heating a cooling to a plurality of wafers to decrease time between wafer switching in a processing chamber. Wafers are supported on a wafer lift which can move all wafers together or on independent lift pins which can move individual wafers for heating and cooling. 1. A wafer cassette comprising:a wall supporting a plurality of cold plates, the wall allowing access to a front side of at least some of the cold plates;a plurality of LED lamps positioned against a back side of the cold plates and having a front side spaced from a front side an adjacent cold plate to form a gap, the plurality of LED lamps directed toward the front side of the adjacent cold plate; anda wafer lift positioned to support a periphery of a wafer.2. The wafer cassette of claim 1 , wherein each of the plurality of cold plates comprises at least one fluid channel through a body of the cold plate.3. The wafer cassette of claim 2 , wherein the at least one wall comprises a supply manifold in fluid communication with the fluid channels in the body of the cold plate.4. The wafer cassette of claim 3 , wherein the at least one wall further comprises a return manifold in fluid communication with the fluid channels in the body of the cold plate.5. The wafer cassette of claim 1 , wherein the gap between the front side of the LED lamps and the front side of the adjacent cold plate is in the range of about 2 mm to about 15 mm.6. The wafer cassette of claim 1 , wherein the LED lamps emit light at a wavelength of about 450 nm.7. The wafer cassette of claim 1 , wherein the wafer lift moves a plurality of wafers away from the front side of the cold plates by a distance of at least 50% of the gap.8. A system comprising:{'claim-ref': {'@idref': 'CLM-00004', 'claim 4'}, 'at least one loadlock chamber containing the wafer cassette of ,'}an inlet line in fluid communication with the supply manifold, an inlet line heater connection and an inlet line cooler connection; ...

Подробнее
25-06-2020 дата публикации

SEMICONDUCTOR DEVICE HANDLER WITH CHUCK CLAMP INTERLOCK

Номер: US20200200796A1
Принадлежит: TEXAS INSTRUMENTS INCORPORATED

An apparatus includes a clip mounted to a base that pivots about a first pivot axis between a first position and a second position. An abutment surface of the clip is spaced from a path of a carrier structure when the clip is in the first position. The abutment surface engages the carrier structure to secure the carrier structure and a device to the apparatus when the clip is in the second clip position. A cam includes a first surface that pivots the clip to the first clip position when the cam is in the first cam position. The cam includes a second surface that extends into an opening of the base when the cam is in a first position to allow a stop plate pin to engage the cam to rotate the cam from the first position to a second position when a stop plate is installed. 1. A chuck apparatus , comprising:a clip mounted to a base and pivotal about a first pivot axis between a first clip position and a second clip position, the clip including an abutment surface spaced apart from the first pivot axis and spaced apart from a path of a carrier structure when the clip is in the first clip position, the abutment surface is positioned to engage the carrier structure to secure the carrier structure and an installed device under test (DUT) to the chuck apparatus when the clip is in the second clip position;a cam mounted to the base and pivotal about a second pivot axis between a first cam position and a second cam position, the cam including a first surface that engages the clip to pivot the clip to the first clip position when the cam is in the first cam position, and a second surface that extends into an opening of the base when the cam is in the first cam position;a first spring connected between the base and the cam to bias the cam toward the first cam position; anda second spring connected between the base and the clip to bias the clip toward the second clip position.2. The chuck apparatus of claim 1 , further comprising:a stop plate; anda pin that extends outward from a ...

Подробнее
26-07-2018 дата публикации

WAFER CASSETTE

Номер: US20180211860A1
Принадлежит:

A wafer cassette for storing wafers comprises a case and a plurality of carriers for carrying the wafers. Each of the carriers is pivotally and movably mounted to a pivot of the case, and can selectively accommodate in or depart from an accommodation space of the case for benefit of the wafer loading or unloading. 1. A wafer cassette comprising:a case including a pivot and an accommodation space; anda plurality of carriers, wherein each of the carriers includes a pivotal hole and a carrying base for carrying a wafer, and each of the carriers is pivotally and movably mounted to the pivot through the pivotal hole, wherein each of the carriers selectively pivots around the pivot to lead the carrying base to accommodate in the accommodation space or depart from the accommodation space.2. The wafer cassette in accordance with claim 1 , wherein the carrying base includes a frame and an accommodation groove for accommodating the wafer claim 1 , the frame surrounds the accommodation groove and includes a first opening claim 1 , wherein the first opening communicates with the accommodation groove.3. The wafer cassette in accordance with claim 2 , wherein the first opening is substantially a circular opening which is not smaller in diameter than the wafer.4. The wafer cassette in accordance with claim 3 , wherein the carrying base includes a carrying plate for carrying the wafer claim 3 , the carrying plate connects with the frame and is located in the accommodation groove.5. The wafer cassette in accordance with claim 2 , wherein an internal side surface of the frame is an oblique side surface facing toward the first opening.6. The wafer cassette in accordance with claim 2 , wherein each of the carriers includes a handle portion connecting with the frame of the carrying base.7. The wafer cassette in accordance with claim 6 , wherein the case includes a second restricting rod and the accommodation space includes a second opening located between the pivot and the second ...

Подробнее
04-07-2019 дата публикации

WAFER STORAGE CONTAINER

Номер: US20190206708A1
Автор: Kim Young Chul, WOO Bum Je
Принадлежит:

The present invention relates generally to a wafer storage container, in which purge gas is supplied to a wafer stored in a storage chamber to remove fumes of the wafer or to remove moisture from the wafer, and more particularly, to a wafer storage container, in which it is possible to ensure easy injection of purge gas into a storage chamber and durability of an injection member, and it is possible to easily replace an injection member. 1. A wafer storage container comprising:a storage chamber configured such that a wafer is stored therein through a front opening; andan injection member provided on at least a part of a circumferential surface of the storage chamber to inject purge gas into the storage chamber,wherein the injection member includes:an inlet plate provided with an internal flow path with the purge gas introduced therein;a wall plate coupled to a first side of the inlet plate; anda plurality of injection plates coupled to a first side of the wall plate, and provided with injection holes to inject the purge gas supplied from the internal flow path into the storage chamber,wherein the wall plate includes:a wall portion constituting at least a part of the circumferential surface of the storage chamber; anda seat portion formed recessed toward a second side of the wall portion,wherein the plurality of injection plates are seated in and coupled to the seat portion.2. The wafer storage container of claim 1 , wherein the plurality of injection plates are seated in the seat portion to be arranged on top of each other.3. The wafer storage container of claim 1 , wherein a recess depth of the seat portion is equal to a thickness of the injection plate.4. The wafer storage container of claim 1 , wherein each of the plurality of injection plates is configured such that a first injection plate coupled to a first side of the seat portion and provided with a first side flow path through which the purge gas supplied from the internal flow path flows claim 1 , and a ...

Подробнее
13-08-2015 дата публикации

Methods and apparatus for forming semiconductor

Номер: US20150225876A1
Принадлежит: Applied Materials Inc

Method and apparatus for forming free-standing, substantially monocrystalline semiconductor substrates is described. A template substrate is subjected to a process of forming a porous layer on each major surface of the template substrate. The porous layer is smoothed, and then an epitaxial layer is formed on each porous layer. Mechanical energy is used to separate the epitaxial layers from the template substrate, which is recycled by removing any remaining porous and epitaxial material.

Подробнее
12-08-2021 дата публикации

Reticle Transportation Container

Номер: US20210249283A1
Автор: FANG Yu-Piao, Lee Yu-Ching
Принадлежит:

A transportation container is provided with a container body constructed of a top wall, a bottom wall, a rear wall, and two sidewalls forming a front opening for loading or unloading a reticle pod into or out of the container body; a lid for opening and closing the front opening; and a lift plate above the container body configured to connect to a carrier of an overhead hoist transfer (OHT) system. 1. A device comprising:a container body defining an opening for receiving a reticle pod into a cavity of the container body;a lid for covering the opening; anda latch mechanism configured to latch the reticle pod, the latch mechanism being drivable by the lid to shift between a latching condition to latch the reticle pod and an unlatching condition to unlatch the reticle pod, wherein the latch mechanism includes a magnetic latch and an electromagnet.2. The device of claim 1 , wherein the reticle pod includes:an inner pod configured to receive a reticle; andan outer pod disposed around the inner pod.3. The device of claim 1 , further comprising a connection member coupled to the container body claim 1 , the connection member configured to access a transport system to transport the device.4. The device of claim 3 , wherein the transport system is a material handling system in a semiconductor fabrication facility.5. The device of claim 1 , wherein the magnetic latch includes:an elastomer component; anda magnetic pole at least partially embedded within the elastomer component.6. The device of claim 1 , further comprising a switch having a first position and a second position claim 1 , wherein the switch is in the first position when the latch mechanism is in the unlatching condition and the switch is in the second position when the latch mechanism is in the latching condition claim 1 , the first position of the switch allowing current to flow to the electromagnet to generate an electric filed thereby causing the magnetic latch to be attracted towards the electromagnet claim 1 ...

Подробнее
02-07-2020 дата публикации

LATCHING MECHANISM FOR A SUBSTRATE CONTAINER

Номер: US20200211877A1
Принадлежит:

A substrate container that utilizes a rocker linkage or a linear cam arrangement in latch mechanism that is actuated by a rotary cam. The rocker linkage or linear cam is mounted to an interior panel of a door of the substrate container and may be disposed proximate an edge portion of the interior panel. The rocker linkage or linear cam may be configured to exert an axial force component on a housing of the substrate container to seat the door against a seal member. The rocker linkage or linear cam also transfers the axial latching forces to the door to reduce transfer of forces to the cam. The rocker linkage or linear cam may be arranged to transfer axial forces in a radially outward direction when the latch mechanism is engaged, to prevent push back on the rotary cam. 1. A substrate container , comprising:a housing including a door frame that defines an opening about a central axis;a door configured to mount within the opening of the door frame and including an interior panel that cooperates with the housing when the door is mounted to the door frame to define an interior chamber of the substrate container, the interior panel defining a perimeter; and a rotary cam rotatable about a cam axis;', 'a latch plate including a cam follower and a latch tip, the cam follower being coupled to the rotary cam;', 'a rocker linkage coupled to the latch plate proximate the latch tip, the rocker linkage including a first pivot coupled to the interior panel of the door and a second pivot coupled to the latch plate,, 'a latch mechanism housed within the door, includingthe latch mechanism being extendible from a retracted configuration wherein the latch tip is radially inset from the door frame, to an extended configuration wherein the latch tip extends into the door frame, the rocker linkage rotating the latch tip in a first direction away from the interior panel that is parallel to the central axis and in a second direction that is radially outward from the cam axis to engage the ...

Подробнее
19-08-2021 дата публикации

SEMICONDUCTOR WAFER STORAGE DEVICE

Номер: US20210257229A1
Автор: CHEN Yu Ju-, JHENG Ren-Hao

The present disclosure describes a method for substrate storage. The method can include respectively placing a plurality of substrates into a plurality of slots formed by a plurality of fin structures on a panel of a storage device. The method can further include binding each of the plurality of substrates to an corresponding one of the plurality of fin structures. The method can further include moving the storage device from a first location to a second location. The method can further include un-binding the plurality of substrates from the plurality of fin structures. 1. A method , comprising:providing one or more substrates at a first location;placing the one or more substrates into a storage device, wherein the storage device comprises a plurality of fin structures; and.binding the one or more substrates to the storage device via binding devices associated with each of the plurality of fin structures.2. The method of claim 1 , further comprising:locating a second location on a stored map; andtransporting the storage device to the second location.3. The method of claim 1 , further comprising un-binding the substrates from the storage device.4. The method of claim 1 , wherein placing the one or more substrates into the storage device comprises depositing the one or more substrates into respective one or more slots of the storage device claim 1 , wherein each of the one or more slots is between two adjacent fin structures of the plurality of fin structures.5. The method of claim 1 , wherein binding the one or more substrates to the storage device comprises applying a vacuum via padding layers associated with each of the plurality of fin structures.6. The method of claim 1 , wherein binding the one or more substrates to the storage device comprises providing a pressure retention via clips associated with each of the plurality of fin structures.7. The method of claim 1 , further comprising activating a gas extraction system to provide a vacuum to bind the one or more ...

Подробнее
19-08-2021 дата публикации

Container for storing wafer

Номер: US20210257240A1
Принадлежит: Individual

The present invention relates to a container for storing a wafer, particularly to a container for storing a wafer in which a plurality of purging areas is vertically partitioned in the interior of a storage chamber, and a purge gas is sprayed into the plurality of purging areas, thereby allowing not only uniform purging of the wafer to be assured but also efficient purging of the wafer without waste of the purge gas to be achieved.

Подробнее
16-08-2018 дата публикации

WAFER SUPPORT COLUMN WITH INTERLOCKING FEATURES

Номер: US20180233390A1
Принадлежит: ENTEGRIS, INC.

A substrate support assembly for a substrate container. The assembly may include a pair of substrate support columns each including a stack of a plurality of shelf members and a plurality of support rods inserted through the stack for securing the stack together. Each shelf member may include a blade portion having first and second opposing surfaces and an inner and outer periphery. Each surface of the blade portion may include a rib structure and a plurality of bosses positioned towards the outer periphery and extending from a forward portion to a rearward portion of the blade portion. Interlocking features may be defined on the rib structures. The stack of the shelf members may include each shelf member stacked with one or more adjacent shelf members via the rib structures, thereby interlocking each shelf member with the one or more adjacent shelf members via the interlocking features. 1. A substrate support assembly comprising: a first rib structure extending from the first surface and a second rib structure extending from the second surface, the first and second rib structures positioned proximate the outer periphery and extending from the forward portion to the rearward portion;', 'a first plurality of bosses extending from the first surface and a second plurality of bosses extending from the second surface, the first and second plurality of bosses positioned proximate the outer periphery and each including a forward boss positioned at the forward portion and a rearward boss positioned at the rearward portion, the forward bosses and rearward bosses defining apertures through the shelf member; and', 'a first group of interlocking features defined on an edge of the first rib structure and a second group of interlocking features defined on an edge of the second rib structure, the first group of interlocking features including projections and the second group of interlocking features including corresponding recesses for complementary interlocking between adjacent ...

Подробнее
16-08-2018 дата публикации

Cooling system for rf power electronics

Номер: US20180235110A1
Принадлежит: Lam Research Corp

A cooling apparatus is provided. At least one power electronic component is provided. A fluid tight enclosure surrounds the at least one power electronic component. An inert dielectric fluid at least partially fills the fluid tight container and is in contact with the at least one power electronic component.

Подробнее