Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 27279. Отображено 199.
10-01-2002 дата публикации

ВОЛОКОННО-ОПТИЧЕСКИЙ СОЕДИНИТЕЛЬ С ИСПОЛЬЗОВАНИЕМ УПРУГОСТИ ВОЛОКНА И ЦЕНТРИРУЮЩЕЙ КАНАВКИ

Номер: RU2178193C2

Изобретение относится к устройствам для соединения оптических волокон. Соединитель содержит штекер и гнездо. Штекер имеет держатель и корпус. Корпус имеет паз и скользящую створку. Гнездо содержит корпус и другой держатель волокна с выступом или пальцем. Выступ имеет центрирующую волокно канавку. При вводе штекера конец волокна штекера проскальзывает в центрирующую волокно канавку в пальце и входит в контакт с торцевой поверхностью волокна гнезда. Продолжение ввода ведет к изгибанию волокна штекера с целью создания сжимающей нагрузки. Изобретение позволяет соединять множество пар волокон или присоединять волокно к активному оптическому устройству. 4 с. и 16 з. п. ф-лы, 9 ил.

Подробнее
27-06-2015 дата публикации

СПОСОБ ОЧИСТКИ ДЛЯ УСТАНОВОК НАНЕСЕНИЯ ПОКРЫТИЙ

Номер: RU2554838C2

Изобретение относится к способу очистки вспомогательных поверхностей установок для нанесения покрытий, которые содержат камеру для нанесения покрытия. Перед нанесением покрытия наносят антиадгезионный слой на вспомогательные поверхности камеры для нанесения покрытия. После нанесения покрытия осуществляют обработку вспомогательных поверхностей с помощью струйной обработки сухим льдом и/или снегом CO. В результате упрощается очистка поверхности детали и при этом исключается их износ. 3 з.п. ф-лы, 4 ил.

Подробнее
27-08-2007 дата публикации

СПОСОБ СОЕДИНЕНИЯ СТЕКЛА С СУБСТРАТОМ БЕЗ ИСПОЛЬЗОВАНИЯ ГРУНТОВКИ

Номер: RU2305079C2

Изобретение относится к способу соединения стекла с субстратом, не требующему грунтовки поверхности субстрата. Технический результат изобретения заключается в улучшении адгезии клеев к окрашенным субстратам. Поверхность незагрунтованного субстрата обрабатывают воздушной плазмой на открытом воздухе при атмосферном давлении. Затем осуществляют нанесение на обработанный субстрат клея, приведение стекла и субстрата в контакт с расположенным между ними клеем и отверждение клея. 2 н. и 10 з.п. ф-лы, 2 табл.

Подробнее
27-08-2009 дата публикации

СПОСОБ И УСТРОЙСТВО ДЛЯ ОЧИСТКИ ПОВЕРХНОСТИ МЕТАЛЛИЧЕСКОГО ИЗДЕЛИЯ ОТ КРАСКИ

Номер: RU2365435C2

Изобретение относится к области очистки поверхности металлического изделия от краски. Способ заключается в том, что электрическими токами нагревают поверхность металлического изделия под краской, размещают индуктор вблизи очищаемой поверхности металлического изделия со стороны слоя краски и кратковременным индукционным нагревом доводят температуру очищаемой поверхности металлического изделия до температуры выделения газов из краски на поверхности раздела металл-краска, с последующим резким удалением образовавшимися газами слоя краски с очищаемой поверхности металлического изделия. Длительность устанавливают меньше длительности прогрева всего слоя краски до температуры плавления, а мощность генератора, форму и размеры индуктора выбирают по условию поддержания процесса резкого удаления газами слоя краски с очищаемой поверхности металлического изделия. Устройство для реализации способа содержит соединенные последовательно генератор высокочастотного тока, коммутационное устройство, устройство ...

Подробнее
20-03-2003 дата публикации

СПОСОБ УДАЛЕНИЯ ЗАГРЯЗНЕНИЙ И ПОКРЫТИЙ

Номер: RU2200638C2

Изобретение относится к удалению загрязнений на ходовых частях пассажирских вагонов и локомотивов, в частности для удаления масломазутных загрязнений и снежно-ледовых масс, образующихся при скоростном движении высокоскоростных поездов. Способ включает подачу воздуха под давлением в инжектирующее устройство, смешивание его с очищающим материалом, разгон полученной смеси воздуха с очищающим материалом и подачу указанной смеси на объект, подлежащий очистке При этом в качестве очищающего материала используют снежную пыль свежевыпавшего снега, частицы наста или смеси снежной пыли с частицами наста. Способ обеспечивает снижение эксплуатационных затрат и не требует сложного оборудования при реализации способа. 7 з.п. ф-лы.

Подробнее
06-09-2019 дата публикации

Комбинированный способ очистки внутренней поверхности технологических трубопроводов нефтеперекачивающих станций при подготовке к перекачке светлых нефтепродуктов

Номер: RU2699618C2

Изобретение относится к области трубопроводного транспорта, а именно к способам очистки внутренней поверхности технологических трубопроводов. Согласно способу освобождают технологические трубопроводы от нефти и/или нефтепродуктов, разделяют каждый из технологических трубопроводов на участки для проведения гидродинамической очистки и на участки для проведения химической очистки, проводят одновременно гидродинамическую и химическую очистку разделенных участков технологических трубопроводов. При гидродинамической очистке участка технологического трубопровода последовательно осуществляют предварительную промывку внутренней поверхности струей воды под давлением от 1 до 20 МПа, промывку внутренней поверхности струей воды под давлением от 20 до 170 МПа, дозачистку внутренней поверхности струей воды давлением от 1 до 20 МПа, контроль качества очистки при помощи модуля визуально-измерительного контроля. Внутренний объем закольцованного участка технологического трубопровода заполняют светлым нефтепродуктом ...

Подробнее
22-07-2022 дата публикации

Устройство для очистки поверхностей бункера от налипших веществ

Номер: RU2776627C2

Изобретение относится к очистке поверхностей от налипших веществ. Устройство для очистки от налипших веществ содержит систему обвязки, электромагнитную катушку с якорем. Катушка подключена к импульсному источнику электропитания. Система обвязки с механизмом пружинного поджатия и подавлении ответных реакций непосредственно крепится к очищаемой емкости. Жесткость системы обвязки с демпфированием K=Епр./Еист.пит. должна быть не менее 0.001 и не более 0.01, где Еист.пит. - запасаемая энергия импульсного источника питания, Дж; Епр. - энергия сжатия цилиндрической пружины, Дж. Достигается повышение эффективности очистки и универсальности устройства, позволяя применять его на очищаемых емкостях без нарушения герметичности, соосности и деформации очищаемых поверхностей, возникающих при сварочных работах. 3 ил.

Подробнее
10-11-2013 дата публикации

УСТРОЙСТВО ДЛЯ ОБРАБОТКИ ОТХОДОВ И СПОСОБ ОБРАБОТКИ ОТХОДОВ

Номер: RU2497606C2

Изобретение относится к устройству для обработки отходов, включающих органические отходы и муниципальные твердые отходы, а также к способу обработки отходов. Устройство содержит удлиненную рабочую камеру с зоной обработки для проведения обработки отходов при повышенной температуре, которая имеет входное отверстие для введения отходов, выходное отверстие для удаления обработанных твердых частиц, первые средства для введения горячих газов в камеру, расположенные в радиально отдаленной области камеры, и экстракционные средства для извлечения газа из центральной области камеры, при этом рабочая камера имеет первую зону для извлечения воздуха и/или влаги из отходов и вторую зону для извлечения синтетического газа, расположенную ниже по ходу первой зоны. Экстракционные средства выполнены с возможностью извлечения воздуха и/или влаги отдельно от синтетического газа. Способ обработки отходов содержит этапы: введение отходов в зону обработки, введение горячих газов в зону из радиально отдаленной ...

Подробнее
27-04-2007 дата публикации

СПОСОБ ОЧИСТКИ ПОВЕРХНОСТИ И УСТРОЙСТВО ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2297886C2

Группа изобретений относится к области очистки поверхностей лазерным излучением и может быть использована, в частности, в шинной и резинотехнической промышленности для очистки пресс-форм для вулканизации покрышек и резинотехнических изделий, очистки металлических поверхностей от ржавчины и грязи при подготовке их к окраске, очистки поверхностей исторических памятников. Способ осуществляют путем сканирования поверхности лазерным лучом, подаваемым расположенным в закрытой и безопасной зоне с возможностью перемещения, по меньшей мере, одним блоком импульсного генерирования лазерного луча с оптико-механической системой, включающей, по меньшей мере, одну фокусирующую линзу, при относительном перемещении располагаемой непосредственно под блоком поверхности и/или блока, в котором формирование требуемых частоты следования импульсов и их длительности осуществляют соответственно в диапазоне 5-100 Гц, 1-20 нс посредством блока программного управления. В кожух оптико-механической системы подают газовый ...

Подробнее
10-11-1999 дата публикации

СПОСОБ И УСТРОЙСТВО ДЛЯ КАПСУЛИРОВАНИЯ МАКРОЧАСТИЦ

Номер: RU2141139C1

Разработан усовершенствованный способ и устройство для дезактивации загрязненной рабочей зоны, в соответствии с которыми осуществляется образование мелкодисперсного аэрозоля инкапсулянта, предназначенного для использования при капсулировании загрязняющих частиц внутри загрязненной среды. Аэрозоль образуется с помощью множества ультразвуковых преобразователей, расположенных ниже поверхности резервуара, содержащего улавливающую жидкость. Выходной сигнал преобразователей фокусируется в точке рядом с границей раздела жидкости, чтобы вызвать возмущение поверхности, которое приводит к образованию аэрозоля инкапсулянта из улавливающей жидкости. Аэрозоль образует тонкое покрытие из инкапсулянта на опасном материале, создавая возможность безопасного удаления опасного материала из рабочей зоны или долговременного прилипания его к стенкам рабочей зоны. Способ особенно эффективен при удалении радиоактивной пыли из зараженной рабочей зоны. 2 с.и 8 з.п. ф-лы, 4 ил., 1 табл.

Подробнее
27-11-1999 дата публикации

ВЫБОРОЧНОЕ УДАЛЕНИЕ МАТЕРИАЛА ОБЛУЧЕНИЕМ

Номер: RU2141879C1

Способ удаления нежелательного материала с обрабатываемой поверхности подложки содержит операции: подачи вокруг нежелательного материала потока газа, практически инертного по отношению к упомянутому нежелательному материалу, и облучения упомянутого нежелательного материала излучением. Удаляемый нежелательный материал является практически непрерывной пленкой нежелательного материала, которой покрыт желательный материал. Облучение упомянутого нежелательного материала включает воздействие на предварительно определенную поверхность упомянутой практически непрерывной пленки энергетическими фотонами с пространственной и временной концентрациями в пределах предварительно определенной поверхности, достаточными для высвобождения соответствующего поверхности раздела участка пленки нежелательного материала с обрабатываемой поверхности и недостаточными для повышения температуры желательного материала выше температуры, при которой плавится желательный материал или физические свойства желательного материала ...

Подробнее
16-04-1999 дата публикации

УСТРОЙСТВО ДЛЯ УДАЛЕНИЯ ОТЛОЖЕНИЙ

Номер: RU9592U1

... 1. Устройство удаления отложений, содержащее последовательно соединенные накопитель энергии, ограничитель тока и коаксиальный кабель, отличающееся тем, что оно дополнительно содержит релейный блок и блок управления, вход которого соединен с выходом накопителя энергии, а выходы - с управляющими входами накопителя энергии и релейного блока соответственно, причем релейный блок установлен на входе накопителя в линии его электропитания.2. Устройство по п.1, отличающееся тем, что конец коаксиального кабеля устройства выполнен открытым или снабжен разрядными насадками.3. Устройство по п.1, отличающееся тем, что накопитель энергии выполнен магнитным и/или емкостным с преобразованием частоты.4. Устройство по п. 1, отличающееся тем, что блок управления содержит плату органов ручного управления, первый выход который соединен через схему релаксации и схему ИЛИ с управляющим входом релейного блока, второй выход платы соединен через вторую схему сравнения со вторым входом схемы ИЛИ и выходом преобразователя ...

Подробнее
20-12-2013 дата публикации

УСТРОЙСТВО ДЛЯ ОЧИСТКИ МЕТАЛЛИЧЕСКИХ ПОВЕРХНОСТЕЙ ОТ КРАСКИ И ОКИСНЫХ ЗАГРЯЗНЕНИЙ

Номер: RU135545U1

... 1. Устройство для очистки металлических поверхностей от краски и окисных загрязнений, состоящее из высокочастотного генератора, коммутационного элемента, коаксиального кабеля-волновода, отличающееся тем, что состоит из высокопотенциального электрода и низкопотенциального электрода, между которыми находится загрязненная металлическая поверхность и изолирующая вставка со стороны высокопотенциального электрода, который последовательно соединен с высокочастотным генератором, регулятором мощности высокочастотного генератора, коммутирующим элементом и цепью силового подключения соответственно.2. Устройство для очистки металлических поверхностей от краски и окисных загрязнений по п. 1, отличающееся тем, что функцию низкопотенциального электрода выполняет заземленная металлическая поверхность, на которой имеется краска и окисные загрязнения.

Подробнее
27-12-2003 дата публикации

ФЕРМЕНТНЫЙ ПРЕПАРАТ, ОБЛАДАЮЩИЙ ЭНДОГИДРОЛАЗНОЙ АКТИВНОСТЬЮ РАЗЛОЖЕНИЯ РАМНОГАЛАКТУРОНАНА-II (RG-II), СПОСОБ ЕГО ПОЛУЧЕНИЯ, ШТАММЫ ГРИБА PENICILLIUM DALEAE И PENICILLIUM SIMPLICISSIMUM, ОБЛАДАЮЩИЕ ЭНДОГИДРОЛАЗНОЙ АКТИВНОСТЬЮ РАЗЛОЖЕНИЯ РАМНОГАЛАКТУРОНАНА-II(RG-II) (ВАРИАНТЫ), СПОСОБ ОТБОРА ШТАММОВ, ОБЛАДАЮЩИХ ЭНДОГИДРОЛАЗНОЙ АКТИВНОСТЬЮ РАЗЛОЖЕНИЯ РАМНОГАЛАКТУРОНАНА-II(RG-II) И ПРИМЕНЕНИЕ ФЕРМЕНТНОГО ПРЕПАРАТА

Номер: RU2220203C2

Изобретение относится к биотехнологии и касается ферментного препарата разложения рамногалактуронана II (RG-II) с активностью эндо-β-L-рамнопиранозил -(1-->3')-D- апиофуранозил-гидролазы и эндо-α-L-фукопиранозил -(1-->4)-L-рамнопиранозил-гидролазы, получаемого из штамма Penicillium daleae CNCN 1-1578 (LAV 2) и штамма Penicillium simplicis-simum CNCN 1-1577 (IPVI). Ферментный препарат получают посредством культивирования штамма Penicillium 1-1577 или 1-1578, депонированных в СТСМ, выделения его из надосадочной культуральной жидкости или из надосадочной жидкости измельченных штаммов. Способ отбора штаммов, обладающих указанной активностью, предусматривает отбор штаммов, проросших на культуральной среде, содержащей мономерный RG-II. Полученный ферментный препарат используют в качестве средства для разрушения и модификации RG-II, в качестве средства для улучшения фильтруемости, или облегчения приготовления концентрированного фруктового сока, или для улучшения осветления в качестве средства ...

Подробнее
14-08-2018 дата публикации

Устройство для термической очистки демонтированных труб нефтяного сортамента от асфальтосмолопарафиновых отложений

Номер: RU182331U1

Полезная модель относится к нефтегазодобывающей промышленности и может быть использована для термической очистки демонтированных труб нефтяного сортамента от асфальтосмолопарафиновых отложений (АСПО).Задача полезной модели - уменьшение энергопотребления, увеличение скорости процесса термической очистки демонтированных труб нефтяного сортамента от асфальтосмолопарафиновых отложений (АСПО) и уменьшение вредных выбросов в окружающую среду.Задача полезной модели достигается тем, что для термической очистки демонтированных труб нефтяного сортамента от асфальтосмолопарафиновых отложений устройство содержит два нагревателя, выполненных в виде кольцевого плазмотрона, подключенного к источнику постоянного тока, которые двигаясь соосно, нагревают очищаемую трубу с асфальтосмолопарафиновыми отложениями с наружной стороны, тем самым расплавляя пристеночный слой асфальтосмолопарафиновых отложений за счет высокой теплопроводности материала трубы. 3 фиг. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 182 331 U1 (51) МПК B08B 9/023 (2006.01) B08B 7/00 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ПОЛЕЗНОЙ МОДЕЛИ К ПАТЕНТУ (52) СПК B08B 9/023 (2018.05); B08B 7/00 (2018.05); B08B 7/0035 (2018.05); B01J 19/08 (2018.05); H05H 1/24 (2018.05) (21)(22) Заявка: 2018104120, 02.02.2018 02.02.2018 14.08.2018 Приоритет(ы): (22) Дата подачи заявки: 02.02.2018 1 8 2 3 3 1 R U Адрес для переписки: 423812, Республика Татарстан, г. Набережные Челны, пр. Сююмбике, 10А, Набережночелнинский институт (филиал) федерального государственного автономного образовательного учреждения высшего образования "Казанский (Приволжский) федеральный университет", отдел научноинновационной деятельности, Андреевой С.И. (56) Список документов, цитированных в отчете о поиске: RU 161034 U1, 10.04.2016. RU 158106 U1, 20.12.2015. RU 143764 U1, 27.07.2014. JP 2007234297 A, 13.09.2007. (54) Устройство для термической очистки демонтированных труб нефтяного сортамента от асфальтосмолопарафиновых отложений ...

Подробнее
30-06-2020 дата публикации

Устройство для очистки поверхности образцов для электронной микроскопии

Номер: RU198294U1

Устройство предназначено для очистки поверхности образцов для электронной микроскопии. Очистка производится с помощью коронного разряда в воздушной среде при атмосферном давлении.Устройство содержит рабочую камеру с закрепленными в ней внешним коронирующим электродом малой площади с иглами на поверхности и опорным электродом-экстрактором, на котором располагается образец. Электроды подключены к вторичной обмотке высоковольтного импульсного трансформатора, на первичную обмотку которого непрерывно подается импульсное напряжение от внешнего источника, которое трансформатором повышается до 10 кВ или больше, что приводит к загоранию коронного разряда над поверхностью очищаемого образца.

Подробнее
10-11-2003 дата публикации

СПОСОБ УДАЛЕНИЯ ПОКРЫТИЯ С МЕТАЛЛИЧЕСКОЙ ПОВЕРХНОСТИ

Номер: RU2215595C1

Изобретение относится к способам удаления покрытий, нанесенных или прикрепленных связующей пленкой к металлической поверхности. Способ включает высокочастотный индукционный нагрев граничного слоя металлической поверхности, обращенной к покрытию или к связующей пленке покрытия, нагрев граничного слоя покрытия или связующей пленки от граничного слоя металлической поверхности за счет теплопроводности до температуры, при которой сцепление граничного слоя или связующей пленки с металлической поверхностью ослабевает или нарушается, и удаление покрытия. Индукционный нагрев производят токами высокой частоты ультразвукового диапазона частот, а удаление покрытия или связующее пленки осуществляют воздействуя на покрытие ультразвуковыми колебаниями, индукционную и ультразвуковую обработку производят на одной и той же частоте, для чего используют один и тот же высокочастотный генератор, а рабочий ультразвуковой инструмент располагают в непосредственной близости от индуктора, перемещая его вместе с индуктором ...

Подробнее
09-08-1995 дата публикации

ВАКУУМНЫЙ ПРЕСС

Номер: RU2041062C1

Использование: изобретение относится к вакуумному прессу для непрерывного производства выдавливаемых пластичных масс и может найти применение при производстве керамики. Сущность изобретения: в вакуумном прессе, преимущественно для керамических масс, корпус пресса предварительного сжатия и нижний пресс снабжены каждый двумя корпусными элементами для ограничения каналов прохода смеси через прессы. Корпусные элементы соответствуют по форме контурам приемных полостей прессов, контуру шнека и рабочего элемента. Корпусные элементы смонтированы на каркасе пресса с возможностью радиального поворота посредством горизонтально перемещаемых держателей. Корпус пресса предварительного сжатия выполнен в виде ряда элементов, включая полуцилиндры, установленные с возможностью поворота в горизонтальном направлении. Полуцилиндры корпуса связаны фланцевым соединением с держателем пластины сита. Держатель пластины сита смонтирован с возможностью поворота в горизонтальном направлении на V-образной опоре каркаса ...

Подробнее
19-06-1995 дата публикации

СПОСОБ ОЧИСТКИ ПОВЕРХНОСТИ МАТЕРИАЛОВ И УСТРОЙСТВО ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2037342C1

Использование: технология очистки поверхности. Сущность изобретения: пиковую мощность лазерного источника света регулируют в диапазоне 17 -50 МВт, длительность импульсов последнего изменяют в интервале значений от 10 до 30 нс, а диаметр пучка света лазерного источника света на очищаемую поверхность выбирают из условия получения на данной поверхности плотности пиковой мощности в диапазоне значений 22-53 МВт/см2. Устройство содержит механический комплект, лазер, блок питания, корпус, лазерные пучки, оптические волокна, ручную деталь, оптическое средство для рекомбинации лазерных пучков, оптическое средство регулирования диаметра. 2 с. и 6 з.п. ф-лы, 3 ил.

Подробнее
09-02-1995 дата публикации

СПОСОБ УНИЧТОЖЕНИЯ ВРЕДИТЕЛЕЙ В МЕХОВЫХ, ШЕРСТЯНЫХ ИЗДЕЛИЯХ И ПРОДУКТАХ ПИТАНИЯ

Номер: RU2028397C1

Использование: уничтожение вредителей в меховых, шерстяных изделиях и продуктах питания. Сущность: способ уничтожения вредителей в меховых, шерстяных изделиях и продуктах питания путем обработки изделий и продуктов вакуумом при давлении от 20000 - 13 Па в течение 1 - 10 мин.

Подробнее
19-06-1995 дата публикации

УСТРОЙСТВО ДЛЯ ОЧИСТКИ ВНУТРЕННЕЙ ПОВЕРХНОСТИ ЦИЛИНДРИЧЕСКИХ ДЕТАЛЕЙ ТИПА КОЛПАЧКОВ

Номер: RU2037343C1

Использование: в электронной и радиотехнической отраслях промышленности. Сущность изобретения: устройство содержит реактор с вакуумной системой откачки и рабочей камерой с размещенными в ней емкостной системой возбуждения ВЧ-разряда и карасульным держателем, имеющим установленное на валу вращения кольцо, по периферии которого расположены стержневые опоры для колпачков, снабжено каналами для подвода плазмы к внутренней поверхности колпачков, а вал для вращения имеет соединенный с вакуумной системой откачки центральный и радиальный каналы. 3 ил.

Подробнее
27-09-1995 дата публикации

СПОСОБ ОЧИСТКИ НАСЫПНОГО МАТЕРИАЛА И УСТРОЙСТВО ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2044576C1

Использование: для очистки поверхности загрязненного насыпного материала, в частности литейного горелого формовочного песка. На очищаемый насыпной материал определенным образом подают сжатый газ и приводят материал в псевдоожиженное состояние. Очистку осуществляют с предупреждением нагрузок частиц от ударов с неподвижными или подвижными стенками, за счет нагрузки частиц от трения, соответственно истирания. Устройство имеет емкость, снабженную впуском и выпуском для насыпного материала. Емкость оснащена для образования псевдоожиженного слоя перфорированным днищем и газопроницаемым основанием. Выше основания расположено по меньшей мере одно сопло. Оно соединено с источником сжатого воздуха. Для псевдоожижения материала, сжатый воздух подается в емкость ниже сопел по трубопроводу. 2 с. и 10 з. п.ф-лы, 2 ил.

Подробнее
10-08-2005 дата публикации

ЭЛЕКТРОГИДРАВЛИЧЕСКИЙ БУР-ОЧИСТИТЕЛЬ

Номер: RU2257964C1

Изобретение относится к литейному производству и может быть использовано для очистки отливок для обеспечения повышения эффективности очистки отливок от пригара преимущественно внутренних цилиндрических поверхностей. Устройство содержит систему электродов, конический рабочий элемент с каналами и устройством очистки, а также оно снабжено электроразрядными полостями, выполненными в виде неполных параболических цилиндроидов с осями, совпадающими с осями каналов электрического разряда. 2 з.п. ф-лы, 2 ил.

Подробнее
10-07-2012 дата публикации

УСТРОЙСТВО И СПОСОБ ДЛЯ ОЧИСТКИ СВАРОЧНЫХ ГОРЕЛОК

Номер: RU2455134C1

Изобретение относится к устройству (1) и способу для очистки сварочных горелок (5). Очистительное устройство содержит, по меньшей мере, две катушки (4, 11) и отверстие (3) для установки сварочной горелки (5), соединенное с катушками (4, 11) устройство (7) энергообеспечения и при необходимости с устройством для нанесения моющей жидкости на наконечник сварочной горелки (5. Все компоненты расположены в общем корпусе (2) и регулируются одним устройством (8) управления. Для повышения качества очистки и увеличения области очистки при очистке сварочной горелки катушки (4, 11) могут снабжаться электрической энергией от устройства (7) энергообеспечения независимо друг от друга. Имеется также охлаждающее устройство (14) для охлаждения катушек (4, 11). 2 н. и 11 з.п. ф-лы, 3 ил.

Подробнее
02-09-2021 дата публикации

ГОЛОВКА, СИСТЕМА И СПОСОБ ДЛЯ ОБРАБОТКИ ЛОКАЛЬНОЙ ОБЛАСТИ ПОВЕРХНОСТИ ПОДЛОЖКИ

Номер: RU2754491C1

Изобретение относится к головке для обработки, системе для обработки и способу обработки локальной области поверхности подложки с использованием плазмы. Головка для обработки области поверхности подложки содержит корпус, имеющий основную поверхность, выполненную с возможностью размещения рядом с подлежащей обработке областью поверхности подложки и обращенную к этой области; вытяжное отверстие, выполненное в основной поверхности корпуса, способное соединяться с вытяжным устройством посредством канала для вытягивания газа, образованного по меньшей мере в части корпуса; радиационный нагреватель, размещенный в корпусе, предназначенный для испускания теплового излучения через отверстие для выхода излучения, выполненное в основной поверхности; источник плазмы, размещенный в корпусе, для выпуска струи плазмы через отверстие для выхода плазмы, выполненное в основной поверхности; выпускное отверстие в основной поверхности корпуса, способное соединяться с источником газа посредством газового канала ...

Подробнее
27-12-2013 дата публикации

СПОСОБ УДАЛЕНИЯ МЕТАЛЛИЧЕСКИХ ПОКРЫТИЙ С ПОВЕРХНОСТИ ДЕТАЛЕЙ ИЗ РАДИОАКТИВНОГО ХИМИЧЕСКИ АКТИВНОГО МЕТАЛЛА

Номер: RU2502567C1

Изобретение относится к ядерной технике, касается технологии удаления комбинированных гальванических покрытий путем химической очистки и дезактивации поверхностей радиоактивных материалов и обеспечивает повышение эффективности удаления слоев комбинированных гальванических металлопокрытий, защиты поверхности деталей от повторного окисления на открытом воздухе и снижение экологической нагрузки на окружающую среду. В способе удаления металлических покрытий с поверхности деталей, нанесенных гальваническим методом, включающим химическую обработку в реагенте в сочетании с воздействием физического фактора, воздействие физического фактора на поверхность деталей осуществляют методом термовакуумной обработки деталей в диапазоне температур 600-650°C в течение не менее 3 часов с последующей химической очисткой поверхности деталей от термически-деформированных слоев гальванических комбинированных покрытий с использованием в качестве реагента для очистки поверхностности деталей кислотных растворов травления ...

Подробнее
14-02-2019 дата публикации

УСТРОЙСТВО ДЛЯ НАНЕСЕНИЯ ЖИДКОЙ СРЕДЫ, ПОДВЕРГАЕМОЙ УЛЬТРАФИОЛЕТОВОМУ ОБЛУЧЕНИЮ, НА ПОДЛОЖКУ

Номер: RU2680059C1

Изобретение относится к устройству для нанесения жидкой среды, подвергаемой ультрафиолетовому облучению, на подложку. Устройство содержит: кожух, имеющий продолговатую камеру, по меньшей мере одно впускное отверстие, которое открыто в камеру, и по меньшей мере одно щелевое выпускное отверстие, противоположное впускному отверстию, которое проходит по длине камеры. Оно также имеет трубчатый элемент, который проходит через камеру в продольном направлении, частично являющийся прозрачным для ультрафиолетового излучения. Трубчатый элемент расположен в камере таким образом, что между трубчатым элементом и стенкой камеры формируется пространство для потока. Это пространство для потока является симметричным относительно продольной центральной плоскости камеры. Продольная центральная плоскость рассекает выпускное отверстие в его середине, и при этом трубчатый элемент проходит в щелевое выпускное отверстие в кожухе и таким образом формирует две проходящие продольно выпускные щели между трубчатым элементом ...

Подробнее
10-08-1998 дата публикации

СПОСОБ ОЧИСТКИ ВНУТРЕННИХ ПОВЕРХНОСТЕЙ ЖЕЛЕЗНОДОРОЖНЫХ ВАГОНОВ ТИПА "ХОППЕР" ОТ ОТЛОЖЕНИЙ АПАТИТА И МИНЕРАЛЬНЫХ УДОБРЕНИЙ

Номер: RU2116905C1
Автор:

Изобретение относится к области очистки сложных внутренних поверхностей железнодорожных вагонов типа "хоппер" от остатков перевозимых минеральных удобрений и (или) апатитового концентрата и может быть использовано в химической промышленности и на железнодорожном транспорте. Технический результат изобретения - упрощение процесса за счет исключения стадии очистки воздуха от пыли и снижение энергопотребления. Сущность способа очистки заключается в обработке внутренних поверхностей железнодорожных вагонов типа "хоппер" от слоистых отложений апатита и минеральных удобрений потоками дроби размером 0,008 - 0,01 м в поле центробежных сил со скоростью 30 - 70 м/с, последовательно подаваемой под различными углами при непрерывном перемещении зоны обработки в вертикальном направлении от нижней части вагона к верхней, одновременно в горизонтальной плоскости и плоскости, развернутой на 3 - 45o к обрабатываемой поверхности, при плотности потока дроби 10 - 30 кг/м2 и подводимой мощности 20 - 32 кВт. 4 ...

Подробнее
10-06-2005 дата публикации

СПОСОБ ПЛАЗМЕННОЙ ОЧИСТКИ ПОВЕРХНОСТИ МАТЕРИАЛА С ПОКРЫТИЕМ ИЗ ОРГАНИЧЕСКОГО ВЕЩЕСТВА И УСТАНОВКА ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2004127923A
Принадлежит:

... 1. Способ очистки поверхности материала с покрытием из органического вещества, отличающийся тем, что он содержит следующие этапы: введение материала в обрабатывающую камеру, внутри которой давление составляет от 10 мбар до 1 бара, и в которую подается газовый поток с содержанием кислорода не менее 90 об.%, получение плазмы пропусканием электрического разряда между поверхностью материала и электродом с диэлектрическим покрытием с целью разложения органического веществ под действием образующихся при этом свободных радикалов О*. 2. Способ по п.1, отличающийся тем, что повторно диссоциируют молекулы кислорода и/или озона, образовавшиеся в результате рекомбинации свободных радикалов О*, возникших в указанной плазме. 3. Способ по п.1 или 2, отличающийся тем, что повторная диссоциация проводится посредством ультрафиолетового облучения при соответствующей длине волны. 4. Способ по п.1, отличающийся тем, что приложенное напряжение для инициирования разряда является синусоидальным и характеризуется ...

Подробнее
10-05-2006 дата публикации

УСТРОЙСТВО (ВАРИАНТЫ) И СПОСОБ ОЧИСТКИ ПОВЕРХНОСТИ ВНУТРИ РЕЗЕРВУАРА

Номер: RU2004133925A
Принадлежит:

... 1. Устройство для очистки поверхности внутри резервуара, имеющего стенку, отделяющую внутреннее пространство резервуара от наружного, в которой выполнено отверстие, отличающееся тем, что оно снабжено вытянутой трубой, имеющей первый конец, вышерасположенный по направлению потока, и второй конец, нижерасположенный по направлению потока, и установленной с возможностью направления ударной волны от второго конца во внутреннее пространство резервуара, источником топлива и окислителя, присоединенным к трубе с возможностью подачи в нее топлива и окислителя, и инициирующим средством, причем труба содержит первую часть, имеющую первую характеристическую площадь поперечного сечения, и вторую часть, расположенную ниже по направлению потока от первой части и имеющую вторую характеристическую площадь поперечного сечения, превышающую первую характеристическую площадь поперечного сечения, инициирующее средство установлено с возможностью инициирования быстрого горения топлива и окислителя в первой части ...

Подробнее
10-06-1999 дата публикации

ВЫБОРОЧНОЕ УДАЛЕНИЕ МАТЕРИАЛА ОБЛУЧЕНИЕМ

Номер: RU97106102A
Принадлежит:

... 1. Способ выборочного удаления практически непрерывного слоя нежелательного материала с обрабатываемой поверхности подложки без воздействия на физические свойства материала, который желательно оставить на обрабатываемой поверхности рядом с нежелательным материалом или под ним, содержащий операции: подача вокруг нежелательного материала потока газа, практически инертного по отношению к подложке; и облучение упомянутого нежелательного материала энергетическими фотонами с пространственной и временной концентрациями, достаточными для высвобождения нежелательного материала с обрабатываемой поверхности и недостаточными для изменения физических свойств желательного материала. 2. Способ выборочного удаления практически непрерывного слоя нежелательного материала с обрабатываемой поверхности подложки без воздействия на физические свойства материала, который желательно оставить на обрабатываемой поверхности рядом с нежелательным материалом или под ним, содержащий операции: подача вокруг нежелательного ...

Подробнее
10-06-2007 дата публикации

СПОСОБ ОБРАБОТКИ ПОВЕРХНОСТИ ИЗДЕЛИЙ ДУГОВЫМ РАЗРЯДОМ И УСТРОЙСТВО ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2005135891A
Принадлежит:

... 1. Способ обработки поверхности изделий дуговым разрядом, включающий создание вакуума или защитной атмосферы в боксе, возбуждение электрического разряда между одним или несколькими электродами, находящимися в боксе, и обрабатываемой поверхностью изделия, перемещение катодных пятен по обрабатываемой поверхности, крупногабаритные изделия обрабатывают по частям, бокс имеет открытую часть и этой открытой частью его герметично накладывают на обрабатываемый участок изделия и по мере очистки изделия перемещают его по поверхности крупногабаритного изделия. 2. Устройство для обработки поверхности изделий дуговым разрядом, содержащее бокс, систему вакуумирования бокса или наполнения его защитным газом, электроды, размещенные внутри бокса, электромагнитные катушки для перемещения дуг по обрабатываемой поверхности изделия, отличающееся тем, что оно снабжено открытой частью бокса, герметизирующим устройством по периметру открытой части бокса, механизмом или приспособлением для перемещения бокса по поверхности ...

Подробнее
10-08-2005 дата публикации

СПОСОБ ОЧИСТКИ ВНУТРЕННЕЙ ПОВЕРХНОСТИ ТРУБЫ ОТ ТВЕРДЫХ НАПОЛНЕНИЙ

Номер: RU2257962C1

Изобретение относится к области очистки внутренних поверхностей труб от твердых наполнений, в частности труб, расположенных в массивах строительных материалов (бетоне, железобетоне и пр.), например труб системы преднапряжений защитной оболочки (СП30) реакторов энергоблоков. Изобретение обеспечивает расширение функциональных возможностей. В способе очистки внутренней поверхности трубы от твердых наполнений путем определения местонахождения твердого наполнения и его дробления под действием источников взрыва дробление твердого наполнения производят по частям, чередуя его с определением местонахождения твердого наполнения. Местонахождение определяют посредством видеообзора внутренней поверхности трубы при инфракрасном излучении, определяя при этом степень перекрытия проходного сечения трубы, а дробление производят путем скола твердого наполнения кумулятивными струями, образованными кумулятивными зарядами, количество которых выбирают в зависимости от степени перекрытия проходного сечения трубы ...

Подробнее
10-08-2005 дата публикации

УСТРОЙСТВО ОЧИСТКИ ВНУТРЕННЕЙ ПОВЕРХНОСТИ ТРУБЫ ОТ ТВЕРДЫХ НАПОЛНЕНИЙ

Номер: RU2257963C1

Изобретение относится к устройствам очистки внутренних поверхностей труб от твердых наполнений, в частности труб, расположенных в массивах строительных материалов (бетон, железобетон и пр.), например труб системы преднапряжений защитной оболочки (СПЗО) реакторов энергоблоков атомных электростанций (АЭС). Устройство обеспечивает повышение эффективности очистки. Оно содержит блок доставки, в основании которого шарнирно установлены центрирующие элементы, имеющие закругленную внутрь конфигурацию, а также источники видеосъемки и инфракрасного излучения, установленные на основании, центрирующие элементы которого подпружинены, и располагаемые над твердым наполнением кумулятивные заряды. 1 ил.

Подробнее
14-02-2020 дата публикации

Способ очистки наружной поверхности трубчатых змеевиков внутри нагревательного оборудования

Номер: RU2714424C1

Изобретение относится к области нефтехимической переработки, в частности к оборудованию для нагрева углеводородного сырья, и может быть использовано в печах и в других видах нагревательного оборудования с трубчатыми змеевиками для обеспечения эффективной очистки их наружных поверхностей от зольно-сажевых отложений. Способ включает обработку загрязненных участков поверхности направленной под давлением струей распыленного реагента. Реагент представляет собой воздушную смесь, состоящую из трех компонентов: диамида угольной или диамида щавелевой кислоты; солей аммония угольной или щавелевой кислоты и оксида кремния, посредством которого обеспечивают химико-механическое воздействие на обрабатываемый слой загрязненной поверхности, способствующее растрескиванию, размягчению, растворению, а также срыву с поверхности зольно-сажевых углеводородных отложений с образованием мелкодисперсной и газообразной среды и их удаление под воздействием струи. Очистку проводят в процессе работы нагревательного ...

Подробнее
16-10-2018 дата публикации

СПОСОБ УДАЛЕНИЯ ПЕРЕНАПЫЛЁННЫХ УГЛЕВОДОРОДНЫХ СЛОЁВ

Номер: RU2669864C1

Изобретение относится к технологии очистки вакуумных камер и других элементов в вакууме, находящихся в труднодоступных для очистки местах, от перенапыленных углеводородных слоев и может быть использовано в установках с обращенными к плазме элементами из углеродных материалов и в технологических установках. Сущность способа заключается в создании вакуума в рабочем объеме, зажигании ВЧ плазмы в атмосфере рабочего газа при давлении, достаточном для генерации плазмы. Используемую для удаления перенапыленных углеводородных слоев, ВЧ плазму создают с помощью электронного пучка в продольном магнитном поле не менее 1000 Гс, направленного на приемный электрод с коэффициентом вторичной эмиссии >1. При этом на приемный электрод подают напряжение смещения, необходимое для перехода разряда в автоколебательный режим. После перехода разряда в автоколебательный режим в примыкающих к разряду стенках наводятся высокочастотные токи. А в полостях и щелях возникают сильные переменные поля, способствующие появлению ...

Подробнее
20-04-2009 дата публикации

УСТРОЙСТВО И СПОСОБ ДЛЯ УДАЛЕНИЯ КРАСКИ И ГЕРМЕТИКА

Номер: RU2007137822A
Принадлежит:

... 1. Устройство для удаления вещества с поверхности, содержащее ленту, имеющую маскирующее покрытие, адаптированное для приклеивания к поверхности, и стриппер, в котором применение маскировочного материала и стриппера может осуществляться в одной стадии. ! 2. Устройство по п.1, в котором также содержится матрица, содержащая стриппер. ! 3. Устройство по п.2, в котором матрица содержит резервуар, в котором содержится стриппер. ! 4. Устройство по п.2, в котором матрица содержит резервуар, содержащий стриппер и волокнистый слой, при этом матрица располагается в центре по отношению к продольной оси ленты и маскирующее покрытие располагается на боковых частях ленты. ! 5. Устройство по п.1, в котором лента содержит съемный материал подложки, присоединенный к ленте. ! 6. Устройство по п.1, в котором маскирующее покрытие ограничивает стриппер и определяет периферию применения стриппера. ! 7. Устройство по п.1, в котором маскирующее покрытие содержит клей. ! 8. Устройство по п.1, в котором лента является ...

Подробнее
10-06-2013 дата публикации

СПОСОБ ОЧИСТКИ ИЗДЕЛИЙ ОТ ЗАГРЯЗНЕНИЙ

Номер: RU2011148556A
Принадлежит:

Способ очистки изделий от загрязнений, осуществляемый импульсными ударами бойка о наружную поверхность оболочки тары с загрязнениями на внутренней поверхности за счет колебательного контура, отличающийся тем, что силу импульсного удара бойка ограничивают пределом упругой деформации оболочки тары, который устанавливают расчетным или экспериментальным путем, и передают информацию об образующейся при этом амплитуде вибраций на регулятор частоты, где частоту импульсов регулируют в сторону увеличения амплитуды до достижения наибольшей величины.

Подробнее
10-07-1999 дата публикации

СИСТЕМА И СПОСОБ ПЕРЕРАБОТКИ МАТЕРИАЛОВ

Номер: RU97115768A
Принадлежит:

... 1. Способ обработки материалов из отходов продуктов, имеющих несколько слоев указанных материалов, включая бумагу, соединенную с небумажным материалом, в котором используют по меньшей мере один модуль, выполненный с возможностью удерживания жидкости, и корзину с отверстиями, выполненную с возможностью вращения в модуле, при этом отверстия расположены по периферии корзины, загружают воду и щелочь в первую из указанных корзин, загружают отходы продуктов в указанную первую корзину, вращают первую корзину и/или последующую корзину, в которую загружены продукты, для перемешивания этих продуктов так, что при этом происходит отделение бумажных материалов от небумажных материалов и превращение в пульпу бумажных материалов, удаляют превращенный в пульпу бумажный материал по меньшей мере из одной из указанных корзин через отверстия при удерживании небумажных материалов в корзине. 2. Способ по п. 1, отличающийся тем, что операция использования по меньшей мере одного модуля, выполненного с возможностью ...

Подробнее
27-01-2002 дата публикации

СПОСОБ ВАКУУМНО-ДУГОВОЙ ОЧИСТКИ ПРОВОЛОКИ И УСТРОЙСТВО ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2000106238A
Принадлежит:

... 1. Способ вакуумно-дуговой очистки проволоки путем ее ввода в вакуумную камеру через гермоввод, непрерывной транспортировки через зону вакуумно-дугового разряда между электродным узлом и проволокой и вывода очищенной проволоки из камеры через выходное уплотнение, отличающийся тем, что проволоку выводят из вакуумной камеры в процессе ее деформации, например, волочения. 2. Устройство для вакуумно-дуговой очистки проволоки, содержащее вакуумную камеру, размещенные в ней средства поддержания дугового разряда между проволокой и электродным узлом, узлы герметичного ввода и вывода проволоки и систему ее непрерывного транспорта в камеру, через узел очистки и из камеры, отличающееся тем, что его узел герметичного вывода проволоки из камеры выполнен в виде волочильного инструмента - волоки, снабженной системами охлаждения и смазки, герметизированными относительно вакуумного объема.

Подробнее
10-05-2008 дата публикации

СПОСОБ И УСТРОЙСТВО ДЛЯ ГЕНЕРИРОВАНИЯ ИМПУЛЬСОВ ГАЗА

Номер: RU2006137333A
Принадлежит:

... 1. Способ генерирования импульсов газового давления в устройстве для очистки от отложений пыли, содержащем камеру (1) сгорания, объединенную с усиливающим рупором (6), в котором горючий газ и кислород подают для получения горючей газовой смеси в камеру (1) сгорания, по существу, удлиненной формы с двумя противоположными концами (2, 3), воспламеняют газовую смесь для генерирования импульса давления посредством симметрично размещенных средств (5) зажигания в зоне воспламенения, расположенной вблизи одного конца (2) камеры сгорания, с обеспечением первоначального взрыва, вызывающего волну давления, отражающуюся от внутренних стенок указанного конца камеры сгорания с формированием зоны столкновения, в которой первоначальный взрыв, по меньшей мере, частично преобразуется в детонацию, и обеспечивают высвобождение импульса давления из камеры сгорания и его передачу в усиливающий рупор (6) для создания усиленного импульса, отличающийся тем, что волна горения газовой смеси, сжигаемой в камере (1 ...

Подробнее
20-04-2005 дата публикации

СПОСОБ ОЧИСТКИ ВНУТРЕННЕЙ ПОВЕРХНОСТИ ТРУБЫ ОТ ТВЕРДЫХ НАПОЛНЕНИЙ

Номер: RU2003132215A
Принадлежит:

Способ очистки внутренней поверхности трубы от твердых наполнений путем определения местонахождения твердого наполнения и его дробления под воздействием источников взрыва, отличающийся тем, что местонахождение твердого наполнения и его дробление производят поочередно, при этом местонахождение определяют посредством видеообзора внутренней поверхности трубы при инфракрасном излучении, определяя при этом степень перекрытия проходного сечения трубы, а дробление производят путем скола твердого наполнения куммулятивными струями, образованными куммулятивными зарядами, количество которых выбирают в зависимости от степени перекрытия проходного сечения трубы и размещают над твердым наполнением на расстоянии, обеспечивающим эффективное формирование кумулятивных струй.

Подробнее
27-02-2008 дата публикации

УСТРОЙСТВО ДЛЯ ЛАЗЕРНОЙ ОБРАБОТКИ ПОВЕРХНОСТЕЙ

Номер: RU2006130238A
Принадлежит:

... 1. Устройство для лазерной обработки поверхностей, содержащее источники лазерного излучения, средства сканирования лазерного излучения, средства удаления продуктов обработки из зоны очистки и средства для установки и перемещения обрабатываемых поверхностей, выполненные с возможностью доступа лазерного излучения к упомянутым поверхностям, отличающееся тем, что средства сканирования лазерного излучения выполнены в виде отражающих призм по числу лазерных лучей, установленных на каретках с возможностью обеспечения наклонного падения лазерных лучей на обрабатываемые поверхности, при этом каретки снабжены приводами для перемещения по направляющим относительно обрабатываемых поверхностей, при этом каждая призма снабжена, по крайней мере, двумя отражающими гранями и приводом углового поворота для обращения упомянутых отражающих граней к лазерным лучам, а источники лазерного излучения выполнены в виде импульсно-периодических задающих генераторов-усилителей, снабженных средствами регулирования частоты ...

Подробнее
30-10-1992 дата публикации

INSTALLATION FOR CLEANING OF STRIPS BY ELECTRIC ARC DISCHARGE IN VACUUM

Номер: RU1311082C
Автор:
Принадлежит:

Подробнее
30-07-1987 дата публикации

Способ очистки металлических поверхностей от намерзших загрязнений

Номер: SU1326353A1
Принадлежит:

Изобретение относится к очистке металлических поверхностей от загрязнений, преимущественно намерзших грунтов. Цель изобретения - по- вьшение качества и производительности за счет того, что при включении питающей сети через блок 5 задержки времени включается источник электромагнитной энергии 1, к которому подключен индуктор 2. Электромагнитное . поле от индуктора 2, проходя через слой намерзшего грунта, поглощается поверхностью металлического сосуда 4, в небольшом ее слое происходит уменьшение сил сцепления металлической поверхности 4 с намерзшим грунтом. Нагрев приконтактного слоя грунта производится до температуры начала таяния свободной влаги грунта, после чего производится механическая очистка поверхности с помощью вибратора 3. При этом намерзший грунт, не теряя своей формы, будет отсоединяться от очищаемой поверхности отдельными монолитными частями. 1 з.п. ф-лы, 1 ил. i О) ...

Подробнее
23-04-1987 дата публикации

Способ очистки металлических изделий от неметаллических загрязнений и устройство для его осуществления

Номер: SU1304933A1
Принадлежит:

Изобретение позволяет повысить качество и производительность очистки за счет того, что при прохождении изделия вдоль индуктора I происходит нагрев изделия токами высокой частоты, наводимыми в материал изделия электромагнитньм полем, создаваемым индуктором. Нагрев производится до температуры размягчения загрязнения или лакокрасочного покрытия на изделии. При прохождении изделия . вдоль индуктора игзменяют направление электромагнитного поля, что приводит к тому, что разнонаправленные элементы изделия подвергаются интенсивному нагреву в момент прохода от- .носительно соответствующего участка индуктора. Изменение направления со о 4 СО 00 РО фиг / ...

Подробнее
15-04-1988 дата публикации

Способ очистки металлических изделий от неметаллических загрязнений

Номер: SU1388117A1
Принадлежит:

Изобретение позволяет повысить качество очистки металлических изделий от неметаллических загрязнений. При подаче переменного электрического тока в индуктор в поверхности металлического изделия индуцируются вихревые токи. Поверхностный слой изделия нагревается при этом до температуры , при которой разрушается загрязнение , после чего изделие очищают щеткой. Для очистки изделия, имеющего шероховатую поверхность, необходимо нагрев производить токами, распространяющимися в металле на глубину, равную высоте неровности шероховатой поверхности. При этом очистка производится во всех микровпадинах поверхности изделия. Частоту переменного тока регулируют в пределах 8-55 кГц, что позволяет очищать изделия с высотой неровности 0,025-1600 мкм. 1 ил.

Подробнее
07-01-1992 дата публикации

Способ очистки металлической поверхности

Номер: SU1703207A1
Принадлежит:

Изобретение относится к очистке металлических поверхностей сочетанием различных способов, может быть использовано 2 в различных областях машиностроения и направлено на повышение качества и производительности очистки от неравномерных по толщине и плотности слоев загрязнений. Предложенный способ состоит а том, что очищаемую поверхность сначала подвергают механической обработке путем образования на слое загрязнений рисок , а затем воздействуют на нее устойчивым электродуговым разрядом при этом перекрывают катодным пятном расстояние между, по меньшей мере, двумя соседними рисками. Воздействие электродуговым разрядом может производиться в вакууме. 1 ил., 1 табл.

Подробнее
07-03-1989 дата публикации

Способ очистки внутренней поверхности трубы от твердых отложений

Номер: SU1463356A1
Принадлежит:

Изобретение относится к способам очистки поверхности труб от твердых отложений, например в нефтяной промышленности от отложений солей, и обеспечивает повьшение качества очистки , снижение энергоемкости процесса и предотвращение разрушения труб. Способ заключается в воздействии на стенку трубопровода ударной волной посредством инициирования детонирующих шнуров, которые располагают вдоль образующей трубы с равномерным шагом по ее диаметру в виде групп плотно прилегающих друг к другу по меньшей мере двух детонирующих шнуров, причем все шнуры имеют одинаковую длину, а коииы их иншщируют одновременно. 2 ил. i (Л ...

Подробнее
07-12-1978 дата публикации

Номер: DE0002547742C3

Подробнее
06-05-1999 дата публикации

Decontamination of equipment involved in chemical warfare

Номер: DE0019823163A1
Принадлежит:

Items packed on a trolley are passed through a vacuum tunnel in which they are heated by infrared radiation. The combination of heat and vacuum is the most favorable condition for the release of the chemicals, which can then be sucked out of the tunnel and passed through a condenser, adsorber and molecular sieve, placed in the suction of the vacuum pump.

Подробнее
12-11-1974 дата публикации

Номер: DD0000109529A1
Автор:
Принадлежит:

Подробнее
11-03-2004 дата публикации

Preliminary surface treatment of workpieces prior to lacquering etc. - with a stream of a reactive medium produced by plasma discharge combined with supply of a working gas

Номер: DE0029624481U1
Автор:
Принадлежит: PLASMATREAT GMBH

The method concerns preliminary surface treatment of workpieces (36) by means of electric discharge. A stream (34) of a reactive medium is produced by plasma discharge combined with supply of a working gas, and that the surface to be treated is swept by this stream. Also claimed is an appts. serving for implementation of the method.

Подробнее
02-09-2021 дата публикации

Modulares Unterwasserfahrzeug mit zueinander ausrichtbaren Modulen

Номер: DE102020105384A1
Принадлежит:

Die Erfindung betrifft ein Unterwasserfahrzeug (2) zur Reinigung, Inspektion und/oder Überwachung von Unterwasserstrukturen A, mit zumindest einem Arbeitsmittel (6) zur Reinigung, Inspektion und/oder Überwachung von Unterwasserstrukturen A, umfassend mehrere miteinander verbundene Module (4), die relativ zueinander ausrichtbar sind, wobei die Module (4) hintereinander angeordnet sind, und das Unterwasserfahrzeug (2) von einer langgestreckten Bewegungskonfiguration in eine U-förmige, C-förmige, spiralförmige und/oder eine ringförmige Arbeitskonfiguration und zurück überführbar ist.

Подробнее
15-12-2011 дата публикации

Method for cleaning and drying film, particularly electrode film or separator film for manufacturing battery cells, involves treating surface of film by plasma cleaning or laser cleaning

Номер: DE102010012714A1
Принадлежит:

The method involves treating the surface of the film by plasma cleaning or laser cleaning. A parameter is adjusted during plasma cleaning and laser cleaning such that the material of the film to be cleaned or dried is not affected. An independent claim is also included for a method for manufacturing battery cells.

Подробнее
13-03-2003 дата публикации

Entetikettierverfahren und zugehörige Vorrichtung

Номер: DE0010193944D2
Принадлежит: HERMANN WERNER, HERMANN, WERNER

Подробнее
17-06-2004 дата публикации

Verfahren zum Reinigen einer Prozesskammer

Номер: DE0010255988A1
Принадлежит:

Erläutert wird ein Verfahren zum Reinigen einer Prozesskammer (10) in einer Reinigungsbetriebsart. Zur Reinigung werden fluorhaltige Verbindungen (G1) und Zusatzverbindungen (G2) eingesetzt. Das Verfahren ermöglicht eine preiswerte und umweltschonende Reinigung der Prozesskammer (10).

Подробнее
05-08-1999 дата публикации

Mobile cleaning unit for removal of deposits, dirt from the surface of facades, monuments

Номер: DE0019804834A1
Принадлежит:

The mobile cleaning device has a movable support (1) , e.g. motor vehicle, ship. rail vehicle, with a sound-absorbing lagging (9) . An energy source (7) and laser device (4), with a thermal insulator between, are arranged on the floor of the support structure. A laser gun (5) is connected via a light guide with the laser device and is directable to the outside via an outlet (16) .

Подробнее
11-11-1999 дата публикации

Recycling used chipboard and fiberboard materials

Номер: DE0019820833A1
Принадлежит:

To reduce the formaldehyde emissions from chips and fibers of used chipboards and fiberboards, the board materials are ground and then treated with a low moisture level at temperatures \- 100 deg C for a sufficient time span. The chipboard and fiberboard materials have been produced using a urea formaldehyde resin as a bonding agent. The heat treatment is preferably at temperatures of 140-160 deg C, in an autoclave under pressure. The initial moisture content of the chips or fibers is 6-10% or 30% before processing, and no additional moisture is delivered during the heat treatment phase. Before heating, the chips have a pH value of <= 7. The heat is applied by high frequency heating.

Подробнее
05-03-1998 дата публикации

Dry separation of materials having different melting points

Номер: DE0019606125A1
Принадлежит:

Components having widely varying melting points compounded into containers (especially those for biological nutrients), are separated by dry separation. The surface of one material is warmed to a melting point so that it can separate as the materials are agitated. Heat is supplied by air that is circulated through a heater. The agitation is provided, by the air, but could also be supplied through a vibrating screen or a rotating drum sieve. Containers are broken up before the separation stage and the separated components are further reduced for subsequent recycling or composting. An air stream at an elevated temperature then deals both with disinfection and the evaporation of liquids. Also claimed is an apparatus for carrying out the process.

Подробнее
23-11-2000 дата публикации

Verfahren zur Entfernung von Oberflächenkontamination

Номер: DE0069610652D1

Подробнее
30-03-1995 дата публикации

Surface treatment with barrier discharge

Номер: DE0004332866A1
Принадлежит:

The invention relates to the treatment, in particular the cleaning, of surfaces, in particular foil and metal surfaces. They are mostly covered with an oil film or greased immediately after their production. Greases and oils must, however, be removed before subsequent processing of the surfaces or of the foils, in order to permit acceptable coating or adhesive bonding. It is intended for this to be carried out quickly and with low energy expenditure, and it is achieved by the invention in that a dielectrically hindered discharge (12, 2, 3, 13a) acts on the surface of the foil strip (10), for example. By the action of highly energetic charged particles and by the action of photons from the UV spectral range, the oil or grease film is removed after just a short time to the extent that subsequent processing (coating or adhesive bonding) is possible, without the occurrence of increased energy expenditure or incidence of damage to the surface. ...

Подробнее
03-04-2008 дата публикации

Cleaning of unwanted coatings from carriers for articles to be coated using high vacuum vaporization uses pulsed, focused laser beam which vaporizes coating as it is moved from point to point over carrier

Номер: DE102006046116A1
Автор: NACHTRÄGLICH
Принадлежит:

Cleaning of unwanted coatings from carriers for articles to be coated using high vacuum vaporization uses a pulsed, focused laser beam which vaporizes the coating as it is moved from point to point over the carrier.

Подробнее
31-01-2008 дата публикации

Haltevorrichtung für zu beschichtende Teile

Номер: DE602006000336D1
Принадлежит: FIME

Подробнее
30-07-2009 дата публикации

Verfahren zum Entfernen eines restliches Metall enthaltenden Polymermaterials und von ionenimplantiertem Photoresistmaterial in einem stromabwärtigen atmosphärischen Plasmabearbeitungssystem

Номер: DE0010121188B4

Verfahren zum Entfernen eines Metall enthaltenden Nachätzpolymerrückstands oder polymeren Photoresistmaterials von der Oberfläche eines Halbleiterwafers unter Verwendung eines Plasmabearbeitungssystems, wonach: der Nachätzpolymerrückstand oder das polymere Photoresistmaterial zunächst in einen lösbaren Zustand transformiert wird, indem: Radikale mit hoher Energie und hoher Dichte aus atmosphärischem Plasma dadurch erzeugt werden, indem ein Reaktionsgas in das Plasma eingeleitet und durch die Plasmazone auf die Oberfläche des Halbleiterwafers geleitet wird; und die Oberfläche des Halbleiterwafers derart in einem Abstand von der Plasmazone plaziert wird, dass eine Ionenreaktion auf der Oberfläche minimal gestaltet wird, während jedoch die Entfernungswirkung der Radikale auf der Oberfläche aufrechterhalten wird; und der in den lösbaren Zustand transformierte Nachätzpolymerrückstand oder das polymere Photoresistmaterial anschließend in einem Naßprozessschritt abgewaschen wird.

Подробнее
04-12-2003 дата публикации

Verfahren und Vorrichtung zur Plasmabehandlung von Werkstücken

Номер: DE0010224395A1
Принадлежит:

Das Verfahren und die Vorrichtung dienen zur Plasmabehandlung von Werkstücken. Das Werkstück wird in eine zumindest teilweise evakuierbare Kammer einer Behandlungsstation eingesetzt und zur Unterstützung einer Handhabung der Werkstücke wird mindestens ein Teil der Behandlungsstation relativ zu einem weiteren Teil bewegt. Die Bewegung wird derart durchgeführt, daß eine hülsenförmige Kammerwandung relativ zu einem Kammerboden sowie relativ zu einem Kammerdeckel positioniert wird.

Подробнее
22-06-2011 дата публикации

Multiple impulse cleaning devices

Номер: GB0002476357A
Принадлежит:

Systems and methods for removing debris from a surface are defined. A systein 20 can include a first impulse cleaning device 22 and a second impulse cleaning device 23, each impulse cleaning device generating shockwaves directed to a surface to be cleaned, wherein the first impulse cleaning device 22 and the second impulse cleaning device 23 are oriented such that the respective shock waves intersect at or proximate the surface. The system 20 further includes a controller 26 in operable communication with the first impulse cleaning device 22 and the second impulse cleaning device 23, wherein the controller 26 is configured to selectively cause phased operation of the first impulse cleaning device 22 and the second impulse cleaning device 23 such that the phased or time delayed operation selectively controls the location of the intersection of the respective shock waves.

Подробнее
19-06-1985 дата публикации

TREATING WAFERS WITH FLUIDS

Номер: GB0008512533D0
Автор:
Принадлежит:

Подробнее
16-09-1987 дата публикации

CLEANING PRESS TOOL

Номер: GB0008718829D0
Автор:
Принадлежит:

Подробнее
15-11-1972 дата публикации

Номер: GB0001296512A
Автор:
Принадлежит:

Подробнее
05-02-1975 дата публикации

SYSTEM FOR SUPPLYING CONTROLLED AMOUNTS OF AT LEAST ONE LIQUID PRODUCT TO A WASHING MACHINE

Номер: GB0001382899A
Автор:
Принадлежит:

... 1382899 Dispensing liquids ECONOMICS LABORATORY INC 15 Dec 1972 [22 Dec 1971] 57949/72 Heading BIC [Also in Division D1] The invention relates to a system for automatically dispensing predetermined quantities of at least one liquid product in a carrier liquid to a washing machine having a main water supply controlled in a predetermined machine cycle. Illustrated is a washing machine 12 to which liquid products in containers 15a-15e have to be fed. A conduit 18 conducts a carrier liquid, water, therethrough. Electrically actuated valves 25a-25c are positioned in the conduit. The conduits leading from the valves pass through siphon breakers 28a-28c and then may divide into two. Namely conduit 18a passes into conduits 19a and 19b, conduit 18c passes into conduits 19d and 19e whilst conduit 18b passes into conduit 18c. The conduits 19a-19e have venturi-aspirators 30a-30e to which conduits 16a-16e are connected. A trigger signal generating means 20 associated with the washing machine generates ...

Подробнее
19-08-1998 дата публикации

Metals removal process

Номер: GB2322235A
Принадлежит:

A process for removing metallic material, for instance copper, iron, nickle and their oxides, from a surface of a substrate such as a silicon, silicon oxide or gallium arsenide substrate. The process includes the steps of: a) placing the substrate in a reaction chamber; b) providing in the reaction chamber a gas mixture, the mixture comprising a first component which is fluorine or a fluorine-containing compound which will spontaneously dissociate upon adsorption on the substrate surface and a second component which is a halosilane compound, the halosilane, and the fluorine if present, being activated by: i) irradiation with UV; ii) heating to a temperature of about 800 ‹C or higher; or iii) plasma generation, to thereby convert said metallic material to a volatile metal-halogen-silicon compound, and c) removing the metal-halogen-silicon compound from the substrate by volatilization. The process may be used to remove both dispersed metal and bulk metal films or islands.

Подробнее
18-05-2005 дата публикации

Apparatus and method for removing adhesive labels from garment hangers

Номер: GB0002383943B
Принадлежит: MAINETTI, * MAINETTI

Подробнее
03-08-2005 дата публикации

Method for cleaning cookware and tableware with film forming liquid dishwashing compositions

Номер: GB0002410501A
Принадлежит:

A method for cleaning cookware and tableware with film-forming liquid dishwashing compositions. The method includes the steps of applying the composition to soiled cookware/tableware, allowing the composition to form a film and act on the soil, and removing the film with the soil, leaving a clean surface. The compositions comprise a film-forming polymer, a plasticizer, a cleaning active and a carrier.

Подробнее
20-09-2006 дата публикации

Surface cleaning apparatus

Номер: GB0002418601B
Принадлежит: TEKNEK HOLDINGS LTD

Подробнее
14-02-2007 дата публикации

Surface cleaning apparatus

Номер: GB0002428965A
Принадлежит:

The invention provides a means for engaging and disengaging the cleaning and adhesive rollers 20, 22 provided within surface cleaning apparatus with a drive means 24. The respective rollers 20, 22 are mounted within slidable cartridges such that drive and pinion gear wheels 24, 26 provided on a base unit of the apparatus and the cleaning roller 20 respectively can be moved into and out of engagement with each other. A cam member 30 is mounted on the axle 28 of the cleaning roller 20 and is provided with frustoconical surfaces 32, 34. During insertion/removal of the cleaning roller 20 into/from the base unit, the cam member 30 engages the upstanding member 36 such that the distal end of the axle 28 is forced upwards. This vertical direction component ensures that the gear teeth of the gear wheels 24, 26 engage/disengage in a radial direction. Accordingly, the gear wheels (24, 26) do not collide as they move laterally towards each other.

Подробнее
29-10-1986 дата публикации

CLEANING SUBSEA SURFACES

Номер: GB0002174105A
Принадлежит:

A method for cleaning a subsea structure in which a jet of sea-water is directed from an electrode (11) on to an adjacent subsea structure (10) while applying an electric voltage between the electrode and the structure, the jet pressure and the electric current being such as to remove material from the surface of the structure. If desired, abrasive material may be injected into the jet. The jet may be scanned over the structure. The nozzle 11 may be insulated except at the face from which the water emerges and may have an insulating skirt 14. ...

Подробнее
28-08-2002 дата публикации

Process for preventing or removing deposits in the exhaust gas region of a vacuum installation

Номер: GB0000216888D0
Автор:
Принадлежит:

Подробнее
25-08-1982 дата публикации

Electric arc apparatus for surfacing elongated stock

Номер: GB0002092936A
Принадлежит:

The apparatus, particularly for cleaning elongated stock, comprises a body (1) having an electrode arranged therein made up of at least two elements (3) secured on the body (1) and capable of displacement relative to the stock (2), a power source (5) for arc feeding connected with the stock (2) and the electrode, and a magnetic system for causing arc rotation in the gap between the stock and the electrode. The apparatus can be used for cleaning contaminants, such as industrial grease or scale, from the surface of conductive stock, e.g. wire or for refining the surface. ...

Подробнее
11-04-1985 дата публикации

ELECTROCHEMICAL MACHINING

Номер: GB0008506255D0
Автор:
Принадлежит:

Подробнее
21-09-1988 дата публикации

VESSEL & APPARATUS FOR TREATING WAFERS WITH FLUIDS

Номер: GB0008819770D0
Автор:
Принадлежит:

Подробнее
02-07-2003 дата публикации

Cleaning filter elements

Номер: GB0000312281D0
Автор:
Принадлежит:

Подробнее
15-08-2018 дата публикации

Cleaning method

Номер: GB0002559615A
Принадлежит:

A method for cleaning a component for use in an ultra-high vacuum. The method comprises the steps of placing the component to be cleaned in a vacuum furnace chamber, plasma cleaning the component at a temperature of greater than about 80°C and evacuating the chamber to a pressure of less than about 10E-5mbar. Apparatus for performing such method and kits comprising said components are also provided. Also disclosed is use of a plasma to clean a component of a vacuum in a vacuum furnace at a temperature of greater than about 80°C.

Подробнее
02-05-1979 дата публикации

Cleaning stone

Номер: GB0002006256A
Принадлежит:

A technique for cleaning sulphated stone that is substantially non-abrasive, effectively cleans fine detail in a substantially even manner and does not require a large amount of skilled operator time involves coating the surface with a mud, consisting of a water absorbing mineral and an aqueous solution of organic nitrogen and carbon sources, covering the mud with a water-tight sheet, leaving the mud-pack in position for 4-5 weeks, and removing, consecutively, the sheet and the mud, the latter by a combination of scraping and water- washing. Suitable water-absorbing minerals include magnesium silicates such as Attapulgite or, preferably, Sepiolite. The organic nitrogen source may comprise at least one compound which contains one or more amino groups, and is preferably urea. The organic carbon source may be at least one compound which contains one or more hydroxy groups and may be methane, ethylene glycol, glucose, sucrose, dextran or, preferably, glycerol. The water-tight sheet preferably ...

Подробнее
04-02-1959 дата публикации

Removing flash from moulded articles

Номер: GB0000808521A
Автор: PHILIP THOMAS BRUCE
Принадлежит:

... 808,521. Tumbling. DISTILLERS CO. Ltd. May 23, 1957 [June 26, 1956], No. 19693/56. Class 60. Flash is removed from moulded articles e.g. of rubber or polyvinylchloride by placing them in a rotatable container through an aperture, the container being of decreasing cross-sectional area along the axis of rotation from the aperture, reducing the temperature within the container, reducing the effective area of the aperture, rotating the container at a suitable speed until the flash is substantially removed, increasing the effective area of the aperture while the container is still rotating to allow the moulded articles to be ejected and collecting the articles thus ejected. A container 2 with an aperture 10 at the top has internal projections 9, metal pieces or other additives may be used. The container is rotated through belt 8 by motor 7. Liquid carbon dioxide is passed through pipe 3 and nozzle 4 into the lower end of the container, and when the desired temperature is obtained, the supply ...

Подробнее
30-04-2010 дата публикации

Environmentally-neutral processing with condensed phase cryogenic fluids

Номер: AP0201005193D0
Автор: DE STRULLE RONALD
Принадлежит:

Подробнее
30-04-2010 дата публикации

Environmentally-neutral processing with condensed phase cryogenic fluids

Номер: AP2010005193A0
Автор: DE STRULLE RONALD
Принадлежит:

Подробнее
10-05-2012 дата публикации

Laser ashing of polyimide for semiconductor manufacturing

Номер: US20120111496A1
Принадлежит: International Business Machines Corp

A method for laser ashing of polyimide for a semiconductor manufacturing process using a structure, the structure comprising a supporting material attached to a semiconductor chip by a polyimide glue, includes releasing the supporting material from the polyimide glue, such that the polyimide glue remains on the semiconductor chip; and ashing the polyimide glue on the semiconductor chip using an ablating laser.

Подробнее
04-10-2012 дата публикации

System and Method for Sub-micron Level Cleaning of Surfaces

Номер: US20120247504A1
Автор: Khaled Nasr, Waleed Nasr
Принадлежит: Individual

An apparatus is used for removing contaminants from a surface and includes a chamber filled with a clean process gas, a surface positioning device, a carbon dioxide snow spray nozzle, a laser beam generator and focusing device and a process gas nozzle. The nozzles and a focal point of the laser beam are linearly aligned. The surface is held at a desired position and bombarded with carbon dioxide snow and with a high pressure wave to release the contaminants from the surface whereupon the released materials are swept to one side of the surface by a jet of the process gas. The process may proceed with point to point contamination removal based on prior surface examination and discovery of contamination sites, or may be scanned with essentially continuous contamination removal.

Подробнее
18-10-2012 дата публикации

Oxidative cleaning method and apparatus for electron microscopes using uv excitation in an oxygen radical source

Номер: US20120260936A1
Автор: Ronald A. Vane
Принадлежит: Vane Ronald A

An improved method and apparatus for the production of oxygen radicals that may be used for cleaning portions high vacuum instruments. The apparatus comprises a VUV vacuum ultraviolet light source or lamp placed in an irradiation chamber for the photo disassociation of oxygen in communication with the main chamber on a specimen chamber port or inside the specimen chamber. Air or other oxygen-containing gas is admitted to the irradiation chamber for photo disassociation. The VUV source radiates UV wavelengths below 193 nm that are used to disassociate oxygen in the gas to create the oxygen radicals and the pressure is held high enough for complete absorption of the light. The oxygen radicals are differentially pumped into main chamber at pressure below 100 milliTorr to prevent recombination to clean hydrocarbons from the surfaces instrument by oxidation to volatile oxide gases. The oxide gases are then removed by the vacuum pump.

Подробнее
29-11-2012 дата публикации

Anti-smudging, better gripping, better shelf-life of products and surfaces

Номер: US20120298133A1
Принадлежит: Individual

A device to provide improved anti-smudging, better gripping and longer shelf-life to products and surfaces includes an electric superheated steam generator and an electric low-ion plasma generator to provide superheated steam and low-ion plasma to the surfaces of products including plastics. One embodiment envisions the superheated steam generator and the low-ion plasma generator being contained in a housing while another embodiment anticipates a conveyor means positioned in front of the superheated steam generator and the low-ion plasma generator. A method for the improving of anti-smudging, gripping and shelf-life for properties includes the application of superheated steam and low-ion plasma by means of a superheated steam generator and a low-ion plasma generator to products for specific periods of time and at specific distances to attain desired surface and bulk properties. The superheated steam and low-ion plasma may be applied individually, simultaneously or sequentially.

Подробнее
28-03-2013 дата публикации

PROCESS FOR PICKLING OR DESCALING A CONCRETE SURFACE

Номер: US20130074881A1

A method for pickling or descaling a concrete surface employing at least one jet of liquid nitrogen at cryogenic temperature below −100° C. at a pressure of at least 500 bar, distributed by the discharge orifice of at least one nozzle for distributing the jet of liquid nitrogen. At least a portion of the distributing nozzle is protected by a resistant material haying a hardness of at least 7 on Mohs' scale. Preferably, the resistant material is deposited externally on at least a portion of wall of nozzle. Advantageously, the material is selected from tungsten carbide, boron carbide, titanium carbide, silicon carbide, cubic boron nitride, alumina and corundum. 110-. (canceled)11. A method for pickling or descaling a concrete surface employing at least one jet of liquid nitrogen at a cryogenic temperature below −100° C. , and at a pressure of at least 500 bar , distributed by the discharge orifice of at least one nozzle for distributing the jet of liquid nitrogen , wherein at least a portion of the distributing nozzle is protected by a resistant material having a hardness of at least 7 on Mohs' scale.12. The method of claim 11 , wherein said resistant material has a hardness of at least 8 on Mohs' scale.13. The method of claim 11 , wherein the nozzle has a surface coating of said resistant material deposited externally on at least a portion of the wall of nozzle.14. The method of claim 11 , wherein said resistant material is deposited on at least a portion of the external wall of nozzle in the region of the bottom of the nozzle with the opening of the discharge orifice.15. The method of claim 11 , wherein the wall of nozzle is made entirely or partly of said resistant material.16. The method of claim 15 , wherein at least the bottom of the nozzle with the opening of the discharge orifice is made of said resistant material.17. The method of claim 11 , wherein the wall of nozzle is protected by a protective shield made of said resistant material or made of stainless ...

Подробнее
11-04-2013 дата публикации

Methods for in-situ chamber clean utilized in an etching processing chamber

Номер: US20130087174A1
Принадлежит: Applied Materials Inc

Embodiments of the invention include methods for in-situ chamber dry cleaning a plasma processing chamber utilized for gate structure fabrication process in semiconductor devices. In one embodiment, a method for in-situ chamber dry clean includes supplying a first cleaning gas including at least a boron containing gas into a processing chamber in absence of a substrate disposed therein, supplying a second cleaning gas including at least a halogen containing gas into the processing chamber in absence of the substrate, and supplying a third cleaning gas including at least an oxygen containing gas into the processing chamber in absence of the substrate.

Подробнее
25-04-2013 дата публикации

System for Oscillating a Roller

Номер: US20130102444A1
Принадлежит: Seratek LLC

A system for oscillating a roller driven by rolling contact with a moving substrate. An oscillating assembly comprises first and second opposed gudgeons rotatably mounted on a shaft, the gudgeons being connected by either a plurality of rods or the roller. An oscillation mechanism comprises a reduction transmission assembly having differently toothed input and output pulleys. A cam groove in an oscillation cam is engaged by one or more oscillation pin(s) connected to the gudgeons. An input drive pulley turns with the gudgeons, and an output pulley turns with the oscillation cam. An intermediate double-pulley has second and third pulleys on a common hub. First and second timing belts connect, respectively, the input pulley to the second hub pulley, and the third hub pulley to the output pulley. A currently preferred step-down ratio between the input pulley and the output pulley is about 391:1.

Подробнее
16-05-2013 дата публикации

DRY CLEANING HOUSING, DRY CLEANING DEVICE, AND DRY CLEANING METHOD

Номер: US20130118525A1
Принадлежит:

A dry cleaning housing causes a cleaning medium to be scattered by an airflow and brings the cleaning medium into contact with a cleaning object to clean the cleaning object. The dry cleaning housing includes an internal space where the cleaning medium is scattered; an opening configured to be brought into contact with the cleaning object to cause the cleaning medium to collide with the cleaning object; a ventilation path configured to supply air from an outside to the internal space; a suction port configured to suction the air introduced into the internal space via the ventilation path to generate a rotating airflow inside the internal space; and a porous unit configured to allow a substance eliminated from the cleaning object to pass through. 1. A dry cleaning housing that causes a cleaning medium which comprises a flaky cleaning piece to be scattered by an airflow and brings the cleaning medium into contact with a cleaning object to clean the cleaning object , the dry cleaning housing comprising:an internal space where the cleaning medium is scattered;an opening configured to be brought into contact with the cleaning object to cause the cleaning medium to collide with the cleaning object;a ventilation path configured to supply air from an outside to the internal space;a suction port configured to suction the air introduced into the internal space via the ventilation path to generate a rotating airflow inside the internal space; anda porous unit configured to allow a substance eliminated from the cleaning object to pass through.2. The dry cleaning housing according to claim 1 , whereinan exit of the ventilation path is arranged at a position such that a static pressure near the exit of the ventilation path becomes equal to or gets close to an atmospheric pressure when the opening is moved away from a front surface of the cleaning object.3. The dry cleaning housing according to claim 1 , whereinthe ventilation path straightens the air from the outside toward the ...

Подробнее
13-06-2013 дата публикации

DEVICE AND PROCESS FOR CLEANING PLASTIC CHIPS

Номер: US20130146105A1
Принадлежит: KRONES AG

The present invention relates to a device () for cleaning plastic chips, comprising a container () for the reception of the plastic chips and a stirring device () which is rotatably arranged about a rotational axis () in the container for stirring the plastic chips, wherein the stirring device () has a rotational body () which extends along the rotational axis (), wherein the rotational body () has a cross section having a varying distance (a) from the rotational axis (). 1124400454005400400. A device () for cleaning plastic chips comprising a container () for the reception of the plastic chips and a stirring device () which is rotatably arranged about a rotational axis () in the container for stirring the plastic chips , wherein the stirring device () has a rotational body () which extends along the rotational axis () , characterized in that the rotational body () has a cross section perpendicular to the rotational axis () whose distance (a) thereof from the rotational axis () varies.2558. The device according to claim 1 , characterized in that the cross section of the rotational body () has at least one corner () claim 1 , preferably two claim 1 , three claim 1 , four claim 1 , five claim 1 , six claim 1 , seven or eight corners.3558. The device according to claim 1 , characterized in that the cross section of the rotational body () comprises a polygon claim 1 , preferably a planar claim 1 , regular claim 1 , simple polygon claim 1 , especially preferred a planar claim 1 , regular claim 1 , simple polygon having three claim 1 , four claim 1 , five claim 1 , six claim 1 , seven or more corners ().45400. The device according to claim 1 , characterized in that the rotational body () is arranged eccentrically to the rotational axis () and the cross section preferably is circular claim 1 , oval and/or cam type.55400. The device according to claim 1 , characterized in that the cross section of the rotational body () along the rotational axis () is essentially constant. ...

Подробнее
20-06-2013 дата публикации

DEVICE FOR CLEANING MEDICAL DEVICE

Номер: US20130152982A1
Принадлежит:

A medical device cleaning device includes a washing tank, and an electrical discharge generator. An endoscope to be cleaned is housed in the washing tank, and immersed in wash water. An electrical discharge unit of the electrical discharge generator is placed in the washing tank, and immersed in the wash water. A direct-current power supply is connected to a pair of electrodes of the electrical discharge unit. When the direct-current power supply applies a voltage to the pair of electrodes, streamer discharge is performed between the pair of electrodes to produce hydrogen peroxide. The endoscope is sterilized in the washing tank by using the hydrogen peroxide produced by the streamer discharge. 1. A medical device cleaning device , comprising:a washing tank for housing a medical device, the medical device in the washing tank being cleaned with wash water, whereinan electrical discharge generator including a pair of electrodes for inducing streamer discharge in the wash water, and a power supply configured to apply a voltage to the pair of electrodes is provided to produce hydrogen peroxide in the wash water by streamer discharge between the pair of electrodes, andthe medical device in the washing tank is cleaned with the wash water containing the hydrogen peroxide produced by the streamer discharge between the pair of electrodes.2. The medical device cleaning device of claim 1 , wherein the pair of electrodes of the electrical discharge generator is placed in the washing tank.3. The medical device cleaning device of further comprising:an auxiliary tank in which the wash water is stored, and in which the pair of electrodes of the electrical discharge generator are placed; anda conduit configured to carry the wash water containing the hydrogen peroxide from the auxiliary tank into the washing tank.4. The medical device cleaning device of claim 1 , whereinthe power supply is formed by a direct-current power supply configured to apply a direct-current voltage to the ...

Подробнее
27-06-2013 дата публикации

METHODS AND APPARATUS FOR CLEANING SUBSTRATE SURFACES WITH ATOMIC HYDROGEN

Номер: US20130160794A1
Принадлежит: Applied Materials, Inc.

Methods and apparatus for cleaning substrate surfaces are provided herein. In some embodiments, a method of cleaning a surface of a substrate may include providing a hydrogen containing gas to a first chamber having a plurality of filaments disposed therein; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a process temperature sufficient to decompose at least some of the hydrogen containing gas; and cleaning the surface of the substrate by exposing the substrate to hydrogen atoms formed from the decomposed hydrogen containing gas for a period of time. 1. A method of cleaning a surface of a substrate , comprising:providing a hydrogen containing gas to a first chamber having a plurality of filaments disposed therein;flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a process temperature sufficient to decompose at least some of the hydrogen containing gas; andcleaning the surface of the substrate by exposing the substrate to hydrogen atoms formed from the decomposed hydrogen containing gas.2. The method of claim 1 , wherein the hydrogen containing gas comprises hydrogen (H) claim 1 , hydrogen (H) and nitrogen (N) claim 1 , or ammonia (NH).3. The method of claim 1 , wherein cleaning the surface of the substrate comprises:moving the substrate linearly through a region containing the hydrogen atoms to expose the substrate to the hydrogen atoms.4. The method of claim 1 , further comprising:preheating the substrate prior to cleaning the surface of the substrate.5. The method of claim 4 , further comprising:preheating the substrate in a preheat chamber; andtransferring the substrate out of the preheat chamber prior to cleaning the surface of the substrate.6. The method of claim 4 , further comprising:preheating the substrate in a cleaning chamber; andcleaning the surface of the substrate in the cleaning chamber.7. The method of claim 6 , wherein the ...

Подробнее
27-06-2013 дата публикации

PROCESSES AND SYSTEMS FOR REDUCING UNDESIRED DEPOSITS WITHIN A REACTION CHAMBER ASSOCIATED WITH A SEMICONDUCTOR DEPOSITION SYSTEM

Номер: US20130160802A1
Автор: Bertram, JR. Ronald Thomas
Принадлежит: SOITEC

Processes and systems are used to reduce undesired deposits within a reaction chamber associated with a semiconductor deposition system. A cleaning gas may be caused to flow through at least one gas flow path extending through at least one gas furnace, and the heated cleaning gas may be introduced into a reaction chamber to remove at least a portion of undesired deposits from within the reaction chamber. 1. A method for reducing undesired deposits within a reaction chamber associated with a semiconductor deposition system , the method comprising:heating a cleaning gas by flowing the cleaning gas through at least one gas flow path extending through at least one gas furnace;introducing the cleaning gas into the reaction chamber through a process gas injector; andremoving at least a portion of the undesired deposits from within the reaction chamber by reacting the cleaning gas with the portion of the undesired deposits to form at least one reaction product and exhausting the at least one reaction product from the reaction chamber.2. The method of claim 1 , further comprising selecting the cleaning gas to comprise one or more of a chlorine containing gas and hydrogen gas.3. The method of claim 2 , further comprising selecting the chlorine containing gas to comprise one or more of elemental chlorine (Cl) claim 2 , chlorine gas (Cl) claim 2 , and hydrochloric acid.4. The method of claim 1 , wherein flowing the cleaning gas through the at least one gas flow path extending through at least one gas furnace further comprises flowing the cleaning gas through at least one gas flow path section having a coil configuration.5. The method of claim 1 , further comprising heating the cleaning gas to a temperature of approximately 600° C. or more.6. The method of claim 1 , wherein removing a least a portion of undesired deposits further comprises:removing a portion of the undesired deposits preferentially from a first zone within the reaction chamber in a first cleaning stage; ...

Подробнее
27-06-2013 дата публикации

RADIATION SOURCE WITH CLEANING APPARATUS

Номер: US20130161542A1
Принадлежит: ASML, Netherlands B.V.

A radiation source includes an uncapped Mo/Si multilayer mirror, and a cleaning apparatus configured to remove a deposition comprising Sn on the uncapped Mo/Si multilayer mirror. The cleaning apparatus is configured to provide a gas comprising one or more of H, Dand HD and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the radiation source, to produce hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas, and to supply the hydrogen and/or deuterium radicals and radicals of the one or more additional compounds to the uncapped Mo/Si multilayer mirror to remove at least part of the deposition. 1. A radiation source comprising:an uncapped Mo/Si multilayer mirror; anda cleaning apparatus configured to remove a deposition comprising Sn on the uncapped Mo/Si multilayer mirror, the cleaning apparatus being configured{'sub': 2', '2, 'to provide a gas comprising one or more of H, Dand HD and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the radiation source,'}to produce hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas, andto supply the hydrogen and/or deuterium radicals and radicals of the one or more additional compounds to the uncapped Mo/Si multilayer mirror to remove at least part of the deposition.2. The radiation source according to claim 1 , wherein the cleaning apparatus is configured to provide a gas comprising Hand one or more additional compounds selected from hydrocarbon compounds and/or silane compounds;to produce hydrogen radicals and radicals of the one or more additional compounds; andto bring the uncapped Mo/Si multilayer mirror into contact with at least part of the hydrogen radicals and radicals of the one or more additional compounds.3. The radiation source according to claim 1 , wherein the radicals from the one or more ...

Подробнее
04-07-2013 дата публикации

METHODS AND APPARATUS TO REDUCE BIOLOGICAL CARRYOVER USING INDUCTION HEATING

Номер: US20130167872A1
Принадлежит:

Methods, systems, apparatus and machine readable media are disclosed to reduce biological carryover. An example method includes generating an alternating electromagnetic field and introducing an aspiration and dispense device into the electromagnetic field. The example method also includes inductively heating the aspiration and dispense device with the electromagnetic field to at least one of denature or deactivate at least one of a protein or a biological entity on a surface of the aspiration and dispense device. 1. A method comprising:generating an alternating electromagnetic field;introducing an aspiration and dispense device into the electromagnetic field; andinductively heating the aspiration and dispense device with the electromagnetic field to at least one of denature or deactivate at least one of a protein or a biological entity on a surface of the aspiration and dispense device.2. The method of further comprising washing the aspiration and dispense device prior to introducing the aspiration and dispense device into the electromagnetic field.3. The method of further comprising washing the aspiration and dispense device after inductively heating the aspiration and dispense device with the electromagnetic field.4. The method of claim 4 , wherein the washing comprises washing with a cooling wash to lower a temperature of the aspiration and dispense device.5. The method of further comprising washing the aspiration and dispense device during inductively heating the aspiration and dispense device with the electromagnetic field.6. The method of claim 1 , wherein the generating the electromagnetic field comprises flowing a current through an electrically conducting media using a frequency that is based on a diameter of the aspiration and dispense device.7. The method of claim 6 , wherein the electrically conducting media is a coil.8. The method of claim 1 , wherein the generating the electromagnetic field comprises flowing a current through an electrically ...

Подробнее
18-07-2013 дата публикации

Deposition chamber cleaning method including stressed cleaning layer

Номер: US20130180543A1
Принадлежит: International Business Machines Corp

A method for cleaning a deposition chamber includes forming a deposited layer over an interior surface of the deposition chamber, wherein the deposited layer has a deposited layer stress and a deposited layer modulus; forming a cleaning layer over the deposited layer, wherein a material comprising the cleaning layer is selected such that the cleaning layer adheres to the deposited layer, and has a cleaning layer stress and a cleaning layer modulus, wherein the cleaning layer stress is higher than the deposited layer stress, and wherein the cleaning layer modulus is higher than the deposited layer modulus; and removing the deposited layer and the cleaning layer from the interior of the deposition chamber.

Подробнее
01-08-2013 дата публикации

SUBSTRATE CLEANING CHAMBER AND CLEANING AND CONDITIONING METHODS

Номер: US20130192629A1
Принадлежит:

A substrate cleaning chamber includes a contoured ceiling electrode having an arcuate surface that faces a substrate support and has a variable cross-sectional thickness to vary the gap size between the arcuate surface and the substrate support to provide a varying plasma density across the substrate support. A dielectric ring for the cleaning chamber comprises a base, a ridge, and a radially inward ledge that covers the peripheral lip of the substrate support. A base shield comprises a circular disc having at least one perimeter wall. Cleaning and conditioning processes for the cleaning chamber are also described. 1. A process for removing material from one or more substrates , comprising:(a) removing an amount of material from each substrate in a first batch of substrates in a process chamber, wherein removing the material from each substrate in the first batch forms a first process residue on an internal surface of the process chamber;(b) depositing a conditioning layer comprising a conditioning material over the first process residue by sputtering a material from a surface of a conditioner substrate, the conditioning material being different than the material removed from the substrates in the first batch; and(c) removing an amount of material from each substrate in a second batch of substrates in the process chamber, wherein removing the material from each substrate in the second batch forms a second process residue over the conditioning layer.2. The process of claim 1 , further comprising sequentially repeating steps (b) and (c) at least 10 times before removing a process kit on which the internal surface is formed.3. The process of claim 1 , wherein the conditioner substrate comprises a silicon containing substrate having a layer of material disposed over a surface claim 1 , wherein the conditioning material comprises a metal.4. The process of claim 1 , wherein the conditioning material comprises aluminum or titanium.5. The process of claim 1 , wherein the ...

Подробнее
08-08-2013 дата публикации

FILM-FORMING APPARATUS, AND METHOD FOR MAINTAINING FILM-FORMING APPARATUS

Номер: US20130199572A1
Принадлежит: ULVAC, INC.

Ignition sections are provided at two locations on each of lower portions of the side surfaces on both sides of a film-forming chamber so as to be provided at four locations in total. A flowing current is applied to the ignition sections when a flammable by-product is ignited. A first detecting section for measuring a pressure in the film-forming chamber is formed on the side surface of the film-forming chamber. A second detecting section is formed at the lower portion of the side surface of the film-forming chamber. A third detecting section for measuring a spatial temperature in the film-forming chamber is formed at an upper portion of the film-forming chamber. 1. A film-forming apparatus comprising:a film-forming chamber in which a film is formed on a substrate under reduced pressure;an ignition section that ignites a flammable by-product formed in the film-forming chamber;a first gas charging section that supplies an oxygen gas to the film-forming chamber;a second gas charging section that supplies a nitrogen gas to the film-forming chamber; anda first detecting section that measures a pressure in the film-forming chamber.2. The film-forming apparatus according to claim 1 , wherein the film-forming chamber is provided with a second detecting section that measures a temperature of the by-product.3. The film-forming apparatus according to claim 1 , wherein the film-forming chamber is provided with a third temperature detecting section that measures a spatial temperature in the film-forming chamber.4. A method for maintaining a film-forming apparatus that forms a film on a substrate under reduced pressure claim 1 , the method comprising:conveying the substrate having the film formed thereon from an inside of a film-forming chamber of the film-forming apparatus to an outside of the film-forming chamber;charging an oxygen gas into the film-forming chamber;igniting a flammable by-product formed by film forming;burning the by-product;charging a nitrogen gas into the ...

Подробнее
22-08-2013 дата публикации

METHOD AND SYSTEM FOR SUPPLYING A CLEANING GAS INTO A PROCESS CHAMBER

Номер: US20130213574A1
Принадлежит:

A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region. 1. A processing chamber comprising: a first entry port formed above the first processing region and coupled to a first gas distribution assembly disposed over a top portion of the first processing region;', 'a second entry port formed above the second processing region and coupled to a second gas distribution assembly disposed over a top portion of the second processing region;', 'a first vertical passage extending downward through a chamber wall;', 'one or more first cross channels open to a bottom portion of the first processing region and intersecting the first vertical passage;', 'a second vertical passage extending downward through the chamber wall; and', 'one or more second cross channels open to a bottom portion of the second processing region and intersecting the second vertical passage;, 'a chamber body defining at least a first processing region and a second processing region, wherein the chamber body hasa remote plasma source configured to provide a cleaning plasma towards the top portions and/or bottom portions of the first and second processing regions;a first gas conduit connecting the remote plasma source to the first ...

Подробнее
29-08-2013 дата публикации

REACTOR BOX CHAMBER CLEANING USING MOLECULAR FLUORINE

Номер: US20130220364A1
Принадлежит:

Methods and apparatus for the cleaning reactor box chambers using molecular fluorine as the cleaning material. The molecular fluorine is dissociated in-situ in the chamber using the chamber RF power source. 1. A methods for of cleaning a reactor box chamber comprising:introducing molecular fluorine to the chamber;dissociating the molecular fluorine to create fluorine radicals;allowing the fluorine radicals to react with unwanted deposits in the chamber; andremoving the resultant gas from the chamber.2. The method according to wherein dissociating the molecular fluorine comprises exposing the molecular fluorine to an RF power source.3. The method according to wherein the chamber is enclosed in a vacuum chamber having a backpressure and the method further comprises carrying out the method at a backpressure between 0.1 mbar and 10 mbar.4. The method according to wherein the backpressure is between 0.25 mbar and 2.5 mbar.5. The method according to wherein the backpressure is between 0.5 mbar and 2 mbar.6. The method according to wherein the chamber pressure is between 10% and 200% of the backpressure.7. The method according to wherein the chamber pressure is between 10% and 90% of the backpressure.8. An apparatus for cleaning a reactor box chamber comprising:a reactor box chamber; anda source of molecular fluorine communicating with the reactor box chamber.9. The apparatus according to further comprising a vacuum chamber enclosing the reactor box chamber. The present invention relates to new methods for the cleaning reactor box chambers and to apparatus therefore.Plasma deposition chambers, also known as “reactor boxes” or “plasma boxes” are used to deposit thin films primarily for photovoltaic applications and devices. These reactor boxes are particularly useful for the formation of thin films for solar panels, TFT display panels and plasma display panels. For example, a reactor box is described in U.S. Pat. No. 4,798,739 (Schmitt), as having a low-pressure tank placed ...

Подробнее
12-09-2013 дата публикации

METHOD FOR REMOVING PHOTORESIST

Номер: US20130233357A1
Принадлежит: SHARP KABUSHIKI KAISHA

The invention relates to a method for removing a photoresist capable of attaining a sufficient removal rate even using a general-purpose cleaning apparatus. A photoresist formed on a surface of a substrate is removed using supersaturated water solution of ozone. Further, it is preferred that a removal operation is performed under a condition of suppressing reduction in ozone concentration of the supersaturated water solution. 1. A method for removing a photoresist , comprising:performing a removal operation of removing a photoresist formed on a surface of a substrate using supersaturated water solution of ozone.2. The method of claim 1 , wherein the removal operation is performed under a condition of suppressing reduction in ozone concentration of the supersaturated water solution claim 1 ,3. The method of claim 2 , wherein the removal operation is an operation for immersing the substrate on which a photoresist is formed in a dipping vat storing the supersaturated water solution claim 2 , andthe dipping vat is composed of an airtight container in which the substrate is immersed under a condition where a pressure in the airtight container is higher than atmospheric pressure.4. The method of claim 2 , wherein the removal operation is an operation for discharging the supersaturated water solution from a nozzle to spray the supersaturated water solution to the photoresist formed on the surface of the substrate claim 2 , andthe nozzle is brought close to the photoresist to perform spraying to the photoresist under a condition where a pressure applied on the supersaturated water solution is higher than atmospheric pressure. The present invention relates to a method for removing a photoresist used in a manufacturing process of a semiconductor device, a liquid crystal display, or the like.In a manufacturing process of a semiconductor device, a liquid crystal display or the like, photolithography or etching is used as a method of processing a fine circuit pattern, for ...

Подробнее
03-10-2013 дата публикации

System and method for cleaning surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma

Номер: US20130255717A1
Принадлежит: KLA Tencor Corp

A system and method to clean surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma are disclosed. The surface may be the surface of an optical component in a vacuum chamber or an interior wall of the vacuum chamber. A cathode and an anode may be used to generate the glow discharge plasma. The negative glow associated with the cathode may be isolated and the positive column associated with the anode may be used to clean the optical component or the interior wall of the vacuum chamber. As such, an in situ cleaning process, where the cleaning is done within the vacuum chamber, may be performed.

Подробнее
24-10-2013 дата публикации

CHEMICAL VAPOR DEPOSITION CHAMBER CLEANING WITH MOLECULAR FLUORINE

Номер: US20130276820A1
Принадлежит:

Methods and apparatus for the cleaning PECVD chambers that utilize molecular fluorine as the cleaning material. 1. A method of cleaning a chemical vapor deposition chamber comprising:introducing molecular fluorine into the chamber;allowing the molecular fluorine to react with unwanted deposits in the chamber; andevacuating the chamber.2. A method according to wherein the chamber is a plasma enhanced chemical vapor deposition chamber.3. A method according to wherein the chamber is maintained at a fixed pressure during the cleaning process.4. A method according to wherein the fixed pressure is between 5 Torr and 9 Torr.5. A method of cleaning a chemical vapor deposition chamber comprising:introducing molecular fluorine into the chamber;allowing the molecular fluorine to react with unwanted deposits in the chamber;evacuating the chamber;introducing fluorine into the chamber;igniting a plasma in the chamber to create fluorine radicals;allowing the fluorine radicals to react with any residual unwanted deposits in the chamber; andevacuating the chamber.6. A method according to wherein the chamber is a plasma enhanced chemical vapor deposition chamber.7. An apparatus for cleaning a chemical vapor deposition chamber comprising:a deposition chamber; anda source of molecular fluorine connected to the deposition chamber.8. The apparatus of wherein the chamber is a plasma enhanced chemical vapor deposition chamber.9. The apparatus of further comprising means to maintain a fixed pressure in the chamber. The present invention relates to new methods for the cleaning chemical vapor deposition (CVD) chambers, particularly plasma-enhanced chemical vapor deposition (PECVD) chambers and to apparatus therefore.Amorphous and microcrystalline thin films are used to fabricate photovoltaic devices and are generally deposited using chemical vapor deposition techniques. In particular PECVD methods deposit thin films from a gas state to a solid state onto the surface of a substrate by ...

Подробнее
31-10-2013 дата публикации

METHOD FOR UV BASED SILYLATION CHAMBER CLEAN

Номер: US20130284204A1
Принадлежит:

Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals. 1. A method for cleaning a thermal processing chamber , comprising:flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber;exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals; andremoving unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals.2. The method of claim 1 , wherein the low pressure stage comprises maintaining a total chamber pressure at a range between about 500 milliTorr and about 20 Torr for about 15 seconds to about 5 minutes claim 1 , and the high pressure stage comprises maintaining a total chamber pressure at a range between about 30 Torr and about 200 Torr for about 15 seconds to about 5 minutes.3. The method of claim 1 , wherein the oxygen-containing gas comprises ozone (O) gas claim 1 , oxygen (O) gas claim 1 , nitrous oxide (NO) claim 1 , nitrogen ...

Подробнее
21-11-2013 дата публикации

Contamination Removal Apparatus and Method

Номер: US20130306101A1
Принадлежит: Rave N P Inc

A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel.

Подробнее
12-12-2013 дата публикации

APPARATUS AND METHOD FOR GENERATING OXIDATIVELY AND THERMALLY-ENHANCED TREATMENT LIQUIDS

Номер: US20130327353A1
Принадлежит:

A cleaning system comprising a liquid source configured to provide a feed liquid at a first temperature, and an electrolysis cell configured to receive the feed liquid and to electrochemically activate the feed liquid to provide an electrochemically-activated liquid, wherein the electrochemical activation also heats the feed liquid such that the electrochemically-activated liquid is at an elevated temperature that is greater than the first temperature. The cleaning system also includes a dispenser configured to dispense the electrochemically-activated liquid. 1. A cleaning system comprising:a liquid source configured to provide a feed liquid at a first temperature;an electrolysis cell configured to receive the feed liquid and to electrochemically activate the feed liquid to provide an electrochemically-activated liquid, wherein the electrochemical activation also heats the feed liquid such that the electrochemically-activated liquid is at an elevated temperature that is greater than the first temperature; anda dispenser configured to dispense the electrochemically-activated liquid.2. The cleaning system of claim 1 , and further comprising a heating element disposed upstream from the electrolysis cell claim 1 , the heating element being configured to heat the feed liquid from the first temperature to a second temperature claim 1 , and further configured to provide the heated feed liquid to the electrolysis cell.3. The cleaning system of claim 1 , and further comprising a heating element disposed downstream from the electrolysis cell claim 1 , the heating element being configured to heat the electrochemically-activated liquid from the elevated temperature to a second temperature that is greater than the elevated temperature claim 1 , and further configured to provide the heated electrochemically-activated liquid to the dispenser.4. The cleaning system of claim 1 , and further comprising:a mobile cleaning unit housing;a plurality of wheels configured to move the ...

Подробнее
13-02-2014 дата публикации

METHOD FOR CLEANING MICROWAVE PROCESSING APPARATUS

Номер: US20140041682A1
Принадлежит: TOKYO ELECTRON LIMITED

A method for cleaning a microwave processing apparatus including a processing chamber for accommodating therein an object to be processed, a microwave introducing unit for introducing microwaves into the chamber, and a gas introducing unit for introducing a gas into the processing chamber is provided. The method includes loading an object for cleaning into the processing chamber, introducing a gas into the processing chamber, introducing microwaves into the processing chamber, and unloading the object from the processing chamber. 1. A method for cleaning a microwave processing apparatus including a processing chamber for accommodating therein an object to be processed , a microwave introducing unit for introducing microwaves into the chamber , and a gas introducing unit for introducing a gas into the processing chamber , the method comprising:loading an object for cleaning into the processing chamber;introducing a gas into the processing chamber;introducing microwaves into the processing chamber; andunloading the object from the processing chamber.2. The method of claim 1 , wherein a series of procedures including the loading of the object claim 1 , the introduction of the gas claim 1 , the introduction of the microwaves and the unloading of the object are repeated.3. The method of claim 1 , wherein a power value of the microwaves introduced into the processing chamber is greater than a power value of microwaves introduced into the processing chamber in the case of performing treatment using microwaves on an object to be processed for manufacturing semiconductor devices.4. The method of claim 2 , wherein a power value of the microwaves introduced into the processing chamber is greater than a power value of microwaves introduced into the processing chamber in the case of performing treatment using microwaves on an object to be processed for manufacturing semiconductor devices.5. The method of claim 1 , wherein the amount of the microwaves absorbed by the object for ...

Подробнее
13-02-2014 дата публикации

Techniques For Improving The Performance And Extending The Lifetime Of An Ion Source

Номер: US20140041684A1
Принадлежит:

A system and method of improving the performance and extending the lifetime of an ion source is disclosed. The ion source includes an ion source chamber, a suppression electrode and a ground electrode. In the processing mode, the ion source chamber may be biased to a first positive voltage, while the suppression electrode is biased to a negative voltage to attract positive ions from within the chamber through an aperture and toward the workpiece. In the cleaning mode, the ion source chamber may be grounded, while the suppression electrode is biased using a power supply having a high current capability. The voltage applied to the suppression electrode creates a plasma between the suppression electrode and the ion source chamber, and between the suppression electrode and the ground electrode. 1. An ion source comprising:an ion source chamber for generation of a plasma during a processing mode, having an extraction aperture disposed on one surface;a suppression electrode having a suppression electrode aperture disposed therein, said suppression electrode disposed proximate said extraction aperture;a ground electrode having a ground electrode aperture disposed therein, said ground electrode disposed proximate said suppression electrode;an extraction power supply in communication with said ion source chamber, configured to supply a first extraction voltage and current during said processing mode and a second extraction voltage and current during a cleaning mode; anda suppression power supply in communication with said suppression electrode, configured to supply a first suppression voltage and current during said processing mode and a second suppression voltage and current during said cleaning mode,wherein a difference between said second extraction voltage and said second suppression voltage is sufficient to create a plasma in a volume defined between said extraction aperture and said suppression electrode.2. The ion source of claim 1 , comprising a feed source ...

Подробнее
27-02-2014 дата публикации

METHOD AND HARDWARE FOR CLEANING UV CHAMBERS

Номер: US20140053866A1
Принадлежит: Applied Materials, Inc.

A cleaning method for a UV chamber involves providing a first cleaning gas, a second cleaning gas, and a purge gas to one or more openings in the chamber. The first cleaning gas may be an oxygen containing gas, such as ozone, to remove carbon residues. The second cleaning gas may be a remote plasma of NFand Oto remove silicon residues. The UV chamber may have two UV transparent showerheads, which together with a UV window in the chamber lid, define a gas volume proximate the UV window and a distribution volume below the gas volume. A purge gas may be flowed through the gas volume while one or more of the cleaning gases is flowed into the distribution volume to prevent the cleaning gases from impinging on the UV transparent window. 1. An apparatus for processing a substrate , comprising:a chamber enclosing a substrate support;a UV source positioned to illuminate the substrate support; and a window substantially transparent to UV radiation between the UV source and the substrate support;', 'a first showerhead between the window and the substrate support, the first showerhead comprising a plurality of through holes wherein a size of the through holes is larger at a periphery of the showerhead than at a central portion of the showerhead; and', 'a second showerhead between the first showerhead and the substrate support., 'a chamber lid, comprising2. The apparatus of claim 1 , wherein the chamber lid further comprises a first gas flow channel between the window and the first showerhead and a second gas flow channel between the first showerhead and the second showerhead.3. The apparatus of claim 2 , wherein the chamber lid further comprises a first annular recess that receives the first showerhead and a second annular recess that receives the second showerhead.4. The apparatus of claim 3 , wherein each of the first and second showerheads is substantially transparent to UV radiation.5. The apparatus of claim 4 , wherein at least one of the first showerhead and the second ...

Подробнее
27-02-2014 дата публикации

PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER

Номер: US20140053867A1
Принадлежит: NOVELLUS SYSTEMS, INC.

Improved methods and apparatuses for removing residue from the interior surfaces of the deposition reactor are provided. The methods involve increasing availability of cleaning reagent radicals inside the deposition chamber by generating cleaning reagent radicals in a remote plasma generator and then further delivering in-situ plasma energy while the cleaning reagent mixture is introduced into the deposition chamber. Certain embodiments involve a multi-stage process including a stage in which the cleaning reagent mixture is introduced at a high pressure (e.g., about 0.6 Torr or more) and a stage the cleaning reagent mixture is introduced at a low pressure (e.g., about 0.6 Torr or less). 1. A method of cleaning a residue from interior surfaces of a semiconductor deposition chamber , the method comprising: (a) introducing one or more cleaning reagents into a remote plasma generator;', '(b) generating activated species from the cleaning reagents in the remote plasma generator by delivering a first plasma energy to the cleaning reagents;', '(c) introducing a cleaning mixture into the deposition chamber, wherein the cleaning mixture comprises the activated species;', '(d) exposing the interior surfaces of the deposition chamber to the cleaning mixture while delivering a second plasma energy to the cleaning reagents from an in-situ plasma generator, wherein delivering the second plasma energy comprises applying a power to the deposition chamber; and', '(e) reacting the residue with the cleaning mixture to form volatile products to be removed from the deposition chamber;, 'a first stage performed at a chamber pressure of at least about 0.6 Torr, the first stage comprisinga second stage performed at a chamber pressure of no more than 0.6 Torr, the second stage comprising repeating operations (a) through (e), wherein the applied power during the first stage is less than the applied power during the second stage.2. The method of claim 1 , wherein the first stage is performed ...

Подробнее
06-03-2014 дата публикации

IN-SITU TCO CHAMBER CLEAN

Номер: US20140060574A1
Принадлежит: MATHESON TRI-GAS

The present invention discloses new chamber clean chemistries for low temperature, gas phase, in-situ removal of fluorine doped tin oxide (FTO) films. These new in-situ cleaning chemistries will enable solar glass and low-emissivity glass manufacturers to improve the quality of FTO films produced, as well as reduce costs associated manual cleaning of FTO deposition systems. The end result is increased production throughput and better quality FTO films. This is achieved by using gas phase, in-situ cleaning molecules, such as, but not limited to, HI, CHI, and HBr, in the FTO deposition chamber to remove unwanted buildup of FTO from chamber walls and components. Significant revenue can be derived from this customer benefit through molecule and technology solution sales related to in-situ FTO TCO chamber cleaning. 1. A method of cleaning metal-containing contaminants from a process chamber , the method comprising: introducing a cleaning gas mixture comprising at least one halogenated , mixed halogenated , and/or oxy-halogenated to the contaminated process chamber , wherein the cleaning gas mixture reacts with at least a portion of the metal-containing contaminants to form one or more gas-phase reaction products; and evacuating the gas-phase reaction products from the process chamber.2. The method of claim 1 , further comprising introducing reducing components to the claim 1 , or are in reductive matrix claim 1 , to allow the in-situ cleaning of process chambers used in the deposition metal oxide materials and metals.3. The method of claim 1 , further comprising introducing thermally unstable components to the matrix claim 1 , to allow the in-situ cleaning of the process chambers used in the deposition of metal oxide materials and metals.4. The method of claim 1 , wherein the metal is a Group IIB claim 1 , IIIA claim 1 , or IVA element.5. The method of claim 1 , wherein the metal is tin claim 1 , indium claim 1 , or zinc.6. The method of claim 1 , wherein a cleaning gas ...

Подробнее
20-03-2014 дата публикации

REMOVING RESIDUES FROM SUBSTRATE PROCESSING COMPONENTS

Номер: US20140076354A1
Принадлежит: QUANTUM GLOBAL TECHNOLOGIES LLC

Residues are removed from a surface of a substrate processing component which has a polymer coating below the residues. In one version, the component surfaces are contacted with an organic solvent to remove the residues without damaging or removing the polymer coating. The residues can be process residues or adhesive residues. The cleaning process can be conducted as part of a refurbishment process. In another version, the residues are ablated by scanning a laser across the component surface. In yet another version, the residues are vaporized by scanning a plasma cutter across the surface of the component. 1. A method of cleaning a substrate processing component , the method comprising:(a) contacting a surface of the substrate processing component having residues with a plasma stream; and(b) scanning the plasma stream across the surface of the substrate processing component at a temperature that is sufficiently high to vaporize the residues.2. A method according to wherein the plasma stream comprises oxygen or air.3. A method according to wherein the plasma stream comprises argon claim 1 , nitrogen or helium.4. A method according to wherein the plasma stream is generated by a plasma cutter. This application is a division of U.S. application Ser. No. 13/345,317, filed Jan. 6, 2012 which is a division of U.S. application Ser. No. 11/551,114, filed Oct. 19, 2006 (now abandoned). The contents of each of these applications are incorporated by reference herein in their entirety.Embodiments of the present invention relate to the cleaning of residues from the surfaces of substrate processing components.The surfaces of substrate processing chamber components which are exposed to a process environment in the processing of substrates, are periodically cleaned between process cycles. During substrate processing, a substrate is placed in the process chamber and exposed to an energized gas to deposit or etch material on the substrate. Process residues which deposit on the ...

Подробнее
05-01-2017 дата публикации

Monitoring of optical fiber stripping

Номер: US20170001224A1
Автор: QI Wu
Принадлежит: CORNING OPTICAL COMMUNICATIONS LLC

An apparatus for removing a coating from a lengthwise section of an optical fiber includes a heater at least partially defining an elongate heating region configured for receiving the lengthwise section of the optical fiber, wherein the heater is configured for heating the heating region to a temperature above a thermal decomposition temperature of the at least one coating; a sensor configured for providing a signal indicative of explosive removal of the at least one coating from the lengthwise section of the optical fiber; and at least one device operatively associated with the sensor and the heater for receiving and processing the at least one signal from the sensor, and deactivating the heater. The at least one device may be configured for determining how much time passes between occurrence of the heater being deactivated and the at least one coating being removed from the lengthwise section of the optical fiber.

Подробнее
07-01-2021 дата публикации

Plasma Processing Apparatus With Post Plasma Gas Injection

Номер: US20210005431A1

Plasma processing with post plasma gas injection is provided. In one example implementation, a plasma processing apparatus includes a plasma chamber. The apparatus includes a processing chamber separated from the plasma chamber. The processing chamber includes a substrate holder operable to support a workpiece. The apparatus includes a plasma source configured to generate a plasma in the plasma chamber. The apparatus includes a separation grid separating the plasma chamber from the processing chamber. The separation grid can be configured to filter one or more ions generated in the plasma and allow the passage of neutral particles from the plasma chamber to the processing chamber. The apparatus can include at least one gas port configured to inject a gas into neutral particles passing through the separation grid.

Подробнее
12-01-2017 дата публикации

APPARATUS FOR TREATING SUBSTRATES USING SUPERCRITICAL FLUIDS, SUBSTRATE TREATMENT SYSTEM INCLUDING THE SAME AND METHOD OF TREATING SUBSTRATES USING THE SAME

Номер: US20170008040A1
Принадлежит:

Disclosed are an apparatus for treating a substrate and a method of treating substrates. The apparatus includes an inlet valve through which the supercritical fluid flows into the process chamber until an inner pressure of the process chamber reaches a first pressure and a turbulent flow generator turbulently supplementing the supercritical fluid into the process chamber until the inner pressure of the process chamber is recovered to the first pressure. A pressure drop module partially removes a supercritical mixture from the process chamber until the inner pressure of the process chamber is dropped to the second pressure. A pressure drop mode and a supplemental mode may be alternately repeated by the flow controller. 1. An apparatus for treating substrates , the apparatus comprising:a process chamber to treat the substrates using a supercritical fluid;a supply module to supply the supercritical fluid into the process chamber, the supply module having an inlet valve through which the supercritical fluid flows into the process chamber until an inner pressure of the process chamber reaches a first pressure and a turbulent flow generator to turbulently supplement the supercritical fluid into the process chamber until the inner pressure of the process chamber is recovered to the first pressure;a pressure drop module to drop the inner pressure of the process chamber to a second pressure below the first pressure by partially removing a supercritical mixture of the supercritical fluid and chemicals that separated from the substrate from the process chamber until the inner pressure of the process chamber is dropped to the second pressure from the first pressure; anda flow controller alternately repeating a pressure drop mode and a supplemental mode, the supercritical mixture partially flowing out from the process chamber when the inner pressure reaches the first pressure by the pressure drop module in the pressure drop mode, and the supercritical fluid turbulently flowing ...

Подробнее
15-01-2015 дата публикации

CLEANING DEVICE FOR CONTAMINATION OF CONTACT OF ELECTROMAGNETIC CONTACTOR

Номер: US20150013085A1
Автор: AN Jung Sik, JOO Hyun Woo
Принадлежит: LSIS CO., LTD.

The present invention relates to a device for cleaning contaminants on a contact portion of an electromagnetic contactor, and more particularly, a device for cleaning contaminants on a contact portion of an electromagnetic contactor in which a magnetic force the acting direction of which is changed in time is generated to the outside of the electromagnetic contactor for cleaning contaminants generated at a contact portion thereof with an arc extinguishing method, thereby effectively and broadly performing the cleaning of contaminants. 1. A device for cleaning contaminants on a contact portion of an electromagnetic contactor , the device comprising:a housing;a drive unit provided within the housing to generate a driving force;a rotating plate rotatably provided within the housing to be rotated by receiving the driving force; anda magnetic body coupled to both end portions of the rotating plate, respectively, to generate a magnetic force exerted from one side to the other side.2. The device of claim 1 , wherein a drive motor is provided in the drive unit.3. The device of claim 2 , wherein a driven gear is coupled to a central shaft of the rotating plate claim 2 , and the driven gear is teeth combined with a driving gear of the drive motor to receive a rotational force of the drive motor so as to rotate the rotating plate.4. The device of claim 3 , further comprising:a controller configured to control the rotational speed of the drive motor so as to adjust the rotational speed, rotational direction and rotation angle of the rotating plate.5. The device of claim 1 , further comprising:a support provided at a lower portion of the housing to accommodate an electromagnetic contactor therein.6. The device of claim 5 , wherein the support is height adjustable. Pursuant to 35 U.S.C. §119(a), this application claims the benefit of earlier filing date and right of priority to Korean Patent Application No. 10-2013-0079885, filed on Jul. 8, 2013, the contents of which is ...

Подробнее
12-01-2017 дата публикации

PULSED REMOTE PLASMA METHOD AND SYSTEM

Номер: US20170011889A1
Автор: Winkler Jereld Lee
Принадлежит:

A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber. 1. A method for providing excited species to a reaction chamber of a reactor , the method comprising the steps of:providing a first gas to a remote plasma unit;controlling a pressure of the remote plasma unit;forming a plasma in a remote plasma unit; andpulsing first excited species to the reaction chamber, while maintaining steady-state conditions for the remote plasma unit.2. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising the steps of:providing a second reactant to the remote plasma unit to form a second excited species; andpulsing the second excited species to the reaction chamber.3. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of controlling a pressure of the remote plasma unit comprises using a closed-loop upstream pressure controller.4. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of forming a plasma in a remote plasma unit comprises forming a plasma using a unit selected from the group consisting of inductively couple plasma unit and microwave unit.5. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of pulsing the first excited species to the reaction chamber comprises controlling a valve between the remote plasma unit and the reaction chamber.6. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of depositing material onto a surface of a substrate.7. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of ...

Подробнее
17-01-2019 дата публикации

METHODS AND SYSTEMS FOR SEPARATING A PLURALITY OF DIRECTED SELF-ASSEMBLED DIAMAGNETIC COMPONENTS

Номер: US20190016108A1
Автор: Durniak Mark
Принадлежит: SelfArray, Inc.

Methods for separating a plurality of diamagnetic directed self-assembled diamagnetic components are provided. One method includes, for instance: contacting a release substrate to the plurality of diamagnetic components, the plurality of diamagnetic components including a non-diamagnetic component affixed to a diamagnetic portion by a first adhesive, and removing the release substrate, the non-diamagnetic component being affixed to a final substrate by a second adhesive and the release substrate being affixed to the diamagnetic portion by a third adhesive, and wherein the removing removes the diamagnetic portion and at least a portion of the first adhesive from the non-diamagnetic component. 1. A method for separating a plurality of diamagnetic components , the method comprising:contacting a release substrate to the plurality of diamagnetic components, the plurality of diamagnetic components including a non-diamagnetic component affixed to a diamagnetic portion by a first adhesive; andremoving the release substrate, the non-diamagnetic component being affixed to a final substrate by a second adhesive and the release substrate being affixed to the diamagnetic portion by a third adhesive, and wherein the removing removes the diamagnetic portion and at least a portion of the first adhesive from the non-diamagnetic component.2. The method of claim 1 , wherein the first adhesive has a first adhesion force which is weaker than a second adhesion force of the second adhesive and a third adhesion force of the third adhesive.3. The method of claim 1 , wherein the plurality of diamagnetic components are affixed to the final substrate prior to the contacting.4. The method of claim 1 , wherein the plurality of diamagnetic components are affixed to the final substrate following the contacting.5. The method of claim 1 , wherein the removing includes lifting claim 1 , peeling claim 1 , or shearing.6. The method of claim 1 , wherein the first claim 1 , second claim 1 , and third ...

Подробнее
18-01-2018 дата публикации

Non-Thermal Soft Plasma Cleaning

Номер: US20180019106A1
Автор: CHAN Chia Sern
Принадлежит:

The present invention provides a Soft Plasma Cleaning (SPC) system () including a Guided Soft-Plasma Cleaning (G-SPC) (). The SPC system is a non-thermal, low temperature process and operable at atmosphere pressure, in both air and liquid medium. In an embodiment, a feedstock gas () is supplied to provide a discharging fluid () in the cleaning chamber (). A plasma guiding and amplifying component () guides and expands the discharging fluid to cover a large ablation area over the workpiece (), thereby also suppressing ion and electron bombardment damage or etching. The plasma guiding and amplifying component () may be formed with dielectric plates or tubes () with each dielectric having an aperture (a). The electric field and ion energy in the cleaning chamber can be additionally controlled via a floating electrode (), so as to suppress plasma damage during SPC. 1. A non-thermal soft plasma cleaning system comprising:a cleaning chamber containing fluid at atmospheric pressure;an electrode disposed in the cleaning chamber, wherein the electrode is connected to a power generator through a power matching unit; anda dielectric member disposed adjacent to the electrode to guide or direct a plasma generated between the electrode and a workpiece to reach the workpiece in a sweeping direction;wherein the workpiece is cleaned by the plasma without causing any plasma damage.2. The non-thermal soft plasma cleaning system according to claim 1 , wherein the dielectric member has an aperture claim 1 , so that the plasma goes through the aperture to reach the workpiece in said sweeping direction.3. The non-thermal soft plasma cleaning system according to claim 1 , wherein the fluid in the cleaning chamber is air or a gas; further comprising a feedstock gas to combine with the plasma into a discharging fluid flow claim 1 , to guide the plasma to the workpiece claim 1 , and to expand a cleaning area claim 1 , so as to with the feedstock gas adding plasma species into the plasma.4. ...

Подробнее
18-01-2018 дата публикации

FOCUS RING REPLACEMENT METHOD AND PLASMA PROCESSING SYSTEM

Номер: US20180019107A1
Автор: Ishizawa Shigeru
Принадлежит:

A method performed by a processor of a plasma processing system including a transfer device and a plasma processing apparatus that includes a process chamber. The process chamber includes a mount table on a surface of which a first focus ring is placed. The method includes controlling the transfer device to transfer the first focus ring out of the process chamber without opening the process chamber to the atmosphere; after the first focus ring is transferred out of the process chamber, controlling the plasma processing apparatus to clean the surface of the mount table; and after the surface of the mount table is cleaned, controlling the transfer device to transfer a second focus ring into the process chamber and place the second focus ring on the surface of the mount table without opening the process chamber to the atmosphere. 1. A method performed by a processor of a plasma processing system including a transfer device and a plasma processing apparatus that includes a process chamber , the method comprising:controlling the transfer device to transfer a first focus ring out of the process chamber without opening the process chamber to atmosphere, the process chamber including a mount table on a surface of which the first focus ring is placed;after the first focus ring is transferred out of the process chamber, controlling the plasma processing apparatus to clean the surface of the mount table; andafter the surface of the mount table is cleaned, controlling the transfer device to transfer a second focus ring into the process chamber and place the second focus ring on the surface of the mount table without opening the process chamber to the atmosphere.2. The method as claimed in claim 1 , further comprising:controlling the plasma processing apparatus to clean the process chamber before the first focus ring is transferred out of the process chamber.3. The method as claimed in claim 1 , further comprising:controlling the plasma processing apparatus to perform plasma ...

Подробнее
18-01-2018 дата публикации

DRYING HIGH ASPECT RATIO FEATURES

Номер: US20180019119A1
Принадлежит: Applied Materials Inc,

Methods of drying a semiconductor substrate may include applying a drying agent to a semiconductor substrate, where the drying agent wets the semiconductor substrate. The methods may include heating a chamber housing the semiconductor substrate to a temperature above an atmospheric pressure boiling point of the drying agent until a vapor-liquid equilibrium of the drying agent within the chamber has been reached. The methods may further include venting the chamber, where the venting vaporizes the liquid phase of the drying agent from the semiconductor substrate. 1. A method of drying a semiconductor substrate , the method comprising:applying a drying agent to a semiconductor substrate, wherein the drying agent wets the semiconductor substrate;heating a chamber housing the semiconductor substrate to a temperature above an atmospheric pressure boiling point of the drying agent until vapor-liquid equilibrium of the drying agent is reached within the chamber; andventing the chamber, wherein the venting vaporizes the drying agent liquid phase from the semiconductor substrate.2. The method of drying a semiconductor substrate of claim 1 , further comprising:pressure-sealing the semiconductor substrate within the chamber;heating the chamber housing the semiconductor substrate, the heating causing vapor and liquid phases of the drying agent to reach equilibrium of vapor partial pressure and liquid saturation pressure; andcontinuing to heat the chamber housing the semiconductor substrate to a temperature of at least about 100° C.3. The method of drying a semiconductor substrate of claim 1 , wherein the drying agent is miscible with water.4. The method of drying a semiconductor substrate of claim 3 , wherein the drying agent comprises isopropyl alcohol.5. The method of drying a semiconductor substrate of claim 1 , wherein the semiconductor substrate comprises patterned features having an aspect ratio greater than 5 claim 1 , and wherein the drying agent wets the patterned ...

Подробнее
22-01-2015 дата публикации

THERMOPLASTIC RESIN COMPOSITION FOR CLEANING MOLDING PROCESSING MACHINE

Номер: US20150021802A1
Автор: WAKITA Naoki
Принадлежит:

To provide a thermoplastic resin composition for cleaning a molding processing machine, which has good cleaning performance and workability in cleaning an inner side of a molding processing machine of thermoplastic resin composition, such as an extruder and an injection molding machine. A thermoplastic resin composition for cleaning a molding processing machine, which includes (A) an olefin-based resin, (B) a thermoplastic resin which is not compatible with the olefin-based resin of the component (A), (C) a thermoplastic resin for making the olefin-based resin of the component (A) and the thermoplastic resin of the component (B) compatible with each other, (D) an alkaline metal salt of a fatty acid, (E) a nonionic surfactant, and (F) a metal salt of an acidic phosphoric ester. 1. A thermoplastic resin composition for cleaning a molding processing machine , comprising:(A) an olefin-based resin,(B) a thermoplastic resin which is not compatible with the olefin-based resin of the component (A),(C) a thermoplastic resin for making the olefin-based resin of the component (A) and the thermoplastic resin of the component (B) compatible with each other,(D) an alkaline metal salt of a fatty acid,(E) a nonionic surfactant, and(F) a metal salt of an acidic phosphoric ester.2. The thermoplastic resin composition for cleaning a molding processing machine according to claim 1 ,wherein, in the total mass of the components (D) and the component (E), a content ratio of the component (D) is 30 to 70% by mass, and a content ratio of the component (E) is 70 to 30% by mass, andwherein a content ratio of the component (F) is 10 to 100 parts by mass relative to 100 parts by mass of the total amount of the component (D) and the component (E).3. The thermoplastic resin composition for cleaning a molding processing machine according to claim 1 ,wherein the salt of an acidic phosphoric ester of the component (F) is one selected from magnesium stearyl acid phosphate, calcium stearyl acid ...

Подробнее
17-01-2019 дата публикации

APPARATUS AND METHOD FOR REMOVAL OF OXIDE AND CARBON FROM SEMICONDUCTOR FILMS IN A SINGLE PROCESSING CHAMBER

Номер: US20190019670A1
Принадлежит:

A system and method for removing both carbon-based contaminants and oxygen-based contaminants from a semiconductor substrate within a single process chamber is disclosed. The invention may comprise utilization of remote plasma units and multiple gas sources to perform the process within the single process chamber. 1. An apparatus for processing a semiconductor substrate comprising:a reaction chamber;a susceptor configured to hold a substrate;a first gas source for providing a first gas;a second gas source for providing a second gas;a first remote plasma unit configured to receive the first gas and produce a first radical gas;a gas distribution device configured to flow the first radical gas and the second gas onto the substrate; anda transport path connecting the remote plasma unit to the gas distribution device, wherein the first radical gas passes through the gas distribution device onto the substrate;{'sub': 2', '3', '2', '3', '2', '3', '2', '2', '3', '2', '2', '3, 'wherein the gas distribution device, the reaction chamber, the transport path, and the susceptor are coated with at least one of: anodized aluminum oxide (AlO); atomic layer deposition (ALD)-formed aluminum oxide; plasma sprayed AlO; bare aluminum parts with native aluminum oxide; yttrium oxide (YO); yttrium oxide stabilized zirconium oxide (YSZ); zirconium oxide (ZrO); lanthanum zirconium oxide (LZO); yttrium aluminum garnet (YAG); yttrium oxyfluoride (YOF); aluminum oxide (AlO); zirconium oxide (ZrO); yttrium oxide (YO); or yttrium oxide stabilized zirconium oxide (YSZ).'}2. The apparatus of claim 1 , wherein the first gas comprises at least one of: NF claim 1 , CF claim 1 , CF claim 1 , CF claim 1 , CF claim 1 , COF claim 1 , SF claim 1 , or WF.3. The apparatus of claim 1 , wherein the second gas comprises at least one of: H claim 1 , NH claim 1 , HO claim 1 , O claim 1 , or O.4. The apparatus of claim 1 , further comprising:a third gas source for providing a third gas; anda fourth gas source for ...

Подробнее
16-01-2020 дата публикации

CHAMBER CLEANING PROCESS

Номер: US20200020512A1
Принадлежит:

A method and apparatus for obtaining at least one normalized baseline spectrum for a processing volume of a processing chamber; calculating a distribution value of the normalized baseline spectrum; obtaining a plurality of normalized cleaning process spectrums; calculating a distribution function of the plurality of normalized cleaning process spectrums; comparing the distribution value to the distribution function; and determining an end point by identifying when the distribution function approaches the distribution value. A method includes: initiating a cleaning process in a processing chamber, flowing a cleaning gas into a processing volume of the processing chamber; obtaining a normalized baseline spectrum; measuring a plurality of intensity spectrums; calculate a plurality of normalized cleaning process spectrums; comparing a distribution value of the normalized baseline spectrum to a distribution function of the plurality of normalized cleaning process spectrums; and determining an end point by identifying when the distribution function approaches the distribution value. 1. A method comprising:obtaining at least one normalized baseline spectrum for a processing volume of a processing chamber;calculating a distribution value of the at least one normalized baseline spectrum;obtaining a plurality of normalized cleaning process spectrums for the processing volume over a time period during a cleaning process of the processing chamber;calculating a distribution function of the plurality of normalized cleaning process spectrums;comparing the distribution value to the distribution function over the time period; anddetermining an end point for the cleaning process by identifying when the distribution function approaches the distribution value.2. The method of claim 1 , wherein comparing the distribution value to the distribution function over the time period comprises:calculating a spectrum ratio function from the distribution value and the distribution function, ...

Подробнее
16-01-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: US20200020550A1
Принадлежит:

A substrate processing apparatus includes a drying processing unit a drain line L an acquisition device and a determination unit C. The drying processing unit is configured to perform, by bringing a supercritical fluid into contact with a substrate having a surface wet by a liquid to replace the liquid with the supercritical fluid, a drying processing on the substrate. The drain line L is provided in the drying processing unit and configured to drain the fluid from the drying processing unit The acquisition device is provided on the drain line L and configured to acquire optical information upon the fluid drained from the drying processing unit The determination unit C is configured to detect presence or absence of the liquid within the drying processing unit based on the optical information acquired by the acquisition device 1. A substrate processing apparatus , comprising:a drying processor configured to perform, by bringing a supercritical fluid into contact with a substrate having a surface wet by a liquid to replace the liquid with the supercritical fluid, a drying processing on the substrate;a drain line through which the fluid is drained from the drying processor, the drain line being provided in the drying processor;an acquisition unit configured to acquire optical information upon the fluid drained from the drying processor, the acquisition unit being provided on the drain line; anda detector configured to detect presence or absence of the liquid within the drying processor based on the optical information acquired by the acquisition unit.2. The substrate processing apparatus of claim 1 , further comprising:a notification unit configured to make a notification when the liquid is found not to be replaced with the supercritical fluid even after a lapse of a preset time after the drying processing is begun as a result of the detection upon the presence or absence of the liquid within the drying processor by the detector.3. The substrate processing apparatus of ...

Подробнее
21-01-2021 дата публикации

Method for treating substrate

Номер: US20210020430A1
Принадлежит: Semes Co Ltd

Disclosed is a method of treating a substrate. In one embodiment, supercritical fluid is supplied to a treatment space in a chamber such that the substrate in the treatment space is treated. The supercritical fluid is supplied to the treatment space while exhausting the treatment space. A temperature of the supercritical fluid supplied when exhausting the treatment space is higher than a temperature of the supercritical fluid supplied to the treatment space for treating the substrate.

Подробнее
24-04-2014 дата публикации

SHADOW FRAME SUPPORT

Номер: US20140109940A1
Принадлежит: Applied Materials, Inc.

The present invention generally provides a processing chamber having shadow frame supports that direct cleaning gas flow to the corners of the chamber. The shadow frame supports are disposed along part of the chamber walls, thus leaving the corners unoccupied. During cleaning, the shadow frame is disposed in a way that it rests on both the substrate support and the shadow frame supports. Therefore, the cleaning gas flowing along the chamber walls is blocked by the shadow frame supports and the cleaning gas is forced to the corners since the shadow frame supports do not extend to the corners. 1. A processing apparatus , comprising:a chamber body having an interior defined by a plurality of walls, at least one wall having an opening therethrough;a first shadow frame support extending from a first wall of the plurality of walls and having a first length that is less than the length of the first wall;a second shadow frame support extending from a second wall of the plurality of walls and having a second length that is less than the length of the second wall;a third shadow frame support extending from a third wall of the plurality of walls and having a third length that is less than the length of the third wall; anda fourth shadow frame support extending from a fourth wall of the plurality of walls and having a fourth length that is less than the length of the fourth wall.2. The processing apparatus of claim 1 , wherein the first shadow frame support is positioned so that there are gaps between both ends of the first shadow frame support and the walls adjacent to the first wall.3. The processing apparatus of claim 2 , wherein the second shadow frame support is positioned so that there are gaps between both ends of the second shadow frame support and the walls adjacent to the second wall.4. The processing apparatus of claim 3 , wherein the third shadow frame support is positioned so that there are gaps between both ends of the third shadow frame support and the walls ...

Подробнее
29-01-2015 дата публикации

METHOD OF CLEANING A TORCH OF A PLASMA-COATING PLANT AND A PLASMA-COATING PLANT

Номер: US20150027497A1
Автор: Distler Bernd, Ernst Peter
Принадлежит:

The invention provides for a system and method of cleaning a plasma coating torch, wherein the method comprises subjecting a plasma coating torch to a cleaning agent in order to removed spray material particles which have adhered during coating with the plasma coating torch and during said subjecting, the cleaning agent exits a nozzle and, upon exiting the nozzle, directly changes to a gaseous state from either a solid state or a liquid state. 112-. (canceled)13. A method of cleaning a plasma coating torch , comprising:subjecting a plasma coating torch to a cleaning agent in order to remove spray material particles which have adhered during coating with the plasma coating torch; andduring said subjecting, the cleaning agent exits a nozzle and, upon exiting the nozzle, directly changes to a gaseous state from either a solid state or a liquid state.14. The method of claim 13 , wherein the subjecting occurs during an interruption of a coating process.15. The method of claim 13 , wherein the adhered spray material particles are cooled to a point of crack formation so that the cleaning agent can penetrate cracks and expand to such an extent that the adhered spray material particles are split-off.16. The method of claim 13 , wherein during said subjecting claim 13 , the cleaning agent exits a nozzle and claim 13 , upon exiting the nozzle claim 13 , directly changes to a gaseous state from a solid state.17. The method of claim 13 , wherein during said subjecting claim 13 , the cleaning agent exits a nozzle and claim 13 , upon exiting the nozzle claim 13 , directly changes to a gaseous state from a liquid state.18. The method of claim 13 , wherein the cleaning agent is dry ice.19. The method of claim 13 , wherein the cleaning agent is liquid nitrogen.20. The method of claim 13 , wherein the cleaning agent is liquid carbon dioxide.21. The method of claim 13 , further comprising claim 13 , prior to the subjecting claim 13 , rotating the plasma coating torch during coating.22. ...

Подробнее
25-01-2018 дата публикации

Edge Exclusion Control With Adjustable Plasma Exclusion Zone Ring

Номер: US20180025893A1
Автор: Kim Keechan, Kim Yansung
Принадлежит:

Systems and methods for edge exclusion control are described. One of the systems includes a plasma chamber. The plasma processing chamber includes a lower electrode having a surface for supporting a substrate. The lower electrode is coupled with a radio frequency (RF) power supply. The plasma processing chamber further includes an upper electrode disposed over the lower electrode. The upper electrode is electrically grounded. The plasma processing chamber includes an upper dielectric ring surrounding the upper electrode. The upper dielectric ring is moved using a mechanism for setting a vertical position of the upper dielectric ring separate from a position of the upper electrode. The system further includes an upper electrode extension surrounding the upper dielectric ring. The upper electrode extension is electrically grounded. The system also includes a lower electrode extension surrounding the lower dielectric ring. The lower electrode extension is arranged opposite the upper electrode extension. 1. A system for controlling a size of an edge exclusion region , comprising:an upper electrode;an upper plasma exclusion zone (PEZ) ring located besides the upper electrode;an upper electrode extension located besides the upper PEZ ring;a system controller configured to generate signals regarding a first position and a second position of the upper PEZ ring;an actuator; anda position controller coupled to the system controller and the actuator, wherein the position controller is configured to receive the signals from the system controller, wherein the position controller is configured to control the actuator based on the signals to achieve the first position and the second position, wherein the first and second positions are achieved independent of any movement of the upper electrode.2. The system of claim 1 , further comprising a lower PEZ ring positioned below the upper PEZ ring claim 1 , wherein an edge processing region is formed between the upper PEZ ring and the ...

Подробнее
25-01-2018 дата публикации

Precleaning Apparatus and Substrate Processing System

Номер: US20180025901A1
Принадлежит:

A precleaning apparatus includes a chamber having an internal space in which a substrate is cleaned, a substrate support disposed in the chamber and configured to support the substrate, a plasma generation unit disposed in the chamber and configured to generate plasma gas, a heating unit configured to heat the substrate on the substrate support, a cleaning gas supply unit configured to supply gas for oxide etching to the internal space of the chamber, and a hydrogen gas supply unit configured to supply hydrogen gas to the internal space of the chamber. 1. A precleaning apparatus comprising:a chamber having an internal space in which a substrate is cleaned;a substrate support disposed in the chamber and configured to support the substrate;a plasma generation unit disposed in the chamber and configured to generate plasma gas;a heating unit configured to heat the substrate on the substrate support;a cleaning gas supply unit configured to supply gas for oxide etching to the internal space of the chamber; anda hydrogen gas supply unit configured to supply hydrogen gas to the internal space of the chamber.2. The precleaning apparatus of claim 1 , further comprising a halogen gas supply unit configured to supply halogen gas for silicon etching or silicon compound etching to the internal space of the chamber.3. The precleaning apparatus of claim 2 , wherein the halogen gas comprises chlorine-based gas.4. The precleaning apparatus of claim 3 , wherein the chlorine-based gas is at least one of Cl claim 3 , SiCl claim 3 , CHCl claim 3 , CHCl claim 3 , CCland BCl.5. The precleaning apparatus of claim 1 , wherein the cleaning gas supply unit comprises a first gas supply unit configured to supply fluorine-based gas claim 1 , a second gas supply unit configured to supply nitrogen-based gas claim 1 , and a third gas supply unit configured to supply inert gas.6. The precleaning apparatus of claim 5 , wherein the fluorine-based gas is at least one of CHF claim 5 , CF claim 5 , CF ...

Подробнее
04-02-2016 дата публикации

REMOTE PLASMA CLEAN SOURCE FEED BETWEEN BACKING PLATE AND DIFFUSER

Номер: US20160032451A1
Принадлежит:

Embodiments of the present disclosure provide an apparatus having a remote plasma clean source in which the remote plasma clean source delivers radicals from the remotely generated plasma to the chamber at a location disposed between a backing plate and a diffuser. 1. An apparatus , comprising:a chamber body;a gas distribution plate disposed in the chamber body;a backing plate disposed in the chamber body and spaced from the gas distribution plate;a blocker plate assembly disposed within chamber body between the gas distribution plate and the backing plate; anda remote plasma clean source coupled to the chamber body, wherein the remote plasma clean source has at least one outlet in the chamber body and wherein the at least one outlet is disposed between the gas distribution plate and the blocker plate assembly.2. The apparatus of claim 1 , wherein the at least one outlet comprises a plurality of outlets.3. The apparatus of claim 2 , wherein the plurality of outlets are disposed at corners of the chamber body.4. The apparatus of claim 3 , wherein the plurality of outlets are additionally disposed in the middle of chamber walls of the chamber body.5. The apparatus of claim 2 , wherein the plurality of outlets are disposed in the middle of chamber walls of the chamber body.6. The apparatus of claim 1 , wherein the blocker plate has a first flow conductance and the gas distribution plate has a second flow conductance and wherein the second flow conductance is greater than the first flow conductance.7. An apparatus claim 1 , comprising:a chamber body;a gas distribution plate disposed in the chamber body;a backing plate disposed in the chamber body and spaced from the gas distribution plate;a first blocker plate disposed between the gas distribution plate and the backing plate;a second blocker plate disposed between the first blocker plate and the backing plate; anda remote plasma clean source coupled to the chamber body, wherein the remote plasma clean source has at ...

Подробнее
08-02-2018 дата публикации

ALUMINUM FLUORIDE MITIGATION BY PLASMA TREATMENT

Номер: US20180036775A1
Принадлежит:

Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a semiconductor substrate-processing chamber. In one implementation, the method comprises forming a reactive fluorine species from a fluorine-containing cleaning gas mixture. The method further comprises delivering the reactive fluorine species into a processing volume of a substrate-processing chamber. The processing volume includes one or more aluminum-containing interior surfaces having unwanted deposits formed thereon. The method further comprises permitting the reactive fluorine species to react with the unwanted deposits and aluminum-containing interior surfaces of the substrate-processing chamber to form aluminum fluoride. The method further comprises exposing nitrogen-containing cleaning gas mixture to in-situ plasma to form reactive nitrogen species in the processing volume. The method further comprises permitting the reactive nitrogen species to react with the ammonium fluoride to convert the aluminum fluoride to aluminum nitride. 1. A method for cleaning a substrate-processing chamber , comprising:forming a reactive fluorine species from a fluorine-containing cleaning gas mixture;delivering the reactive fluorine species into a processing volume of a substrate-processing chamber, wherein the processing volume includes one or more aluminum-containing interior surfaces having unwanted deposits formed thereon;permitting the reactive fluorine species to react with the unwanted deposits and aluminum-containing interior surfaces of the substrate-processing chamber to form aluminum fluoride;exposing a nitrogen-containing cleaning gas mixture to an in-situ plasma to form reactive nitrogen species in the processing volume; andpermitting the reactive nitrogen species to react with the ammonium fluoride to convert the aluminum fluoride to aluminum nitride.2. The method of claim 1 , wherein the fluorine- ...

Подробнее
30-01-2020 дата публикации

FOCUS RING REPLACEMENT METHOD AND PLASMA PROCESSING SYSTEM

Номер: US20200035470A1
Автор: Ishizawa Shigeru
Принадлежит:

A method performed by a processor of a plasma processing system including a transfer device and a plasma processing apparatus that includes a process chamber. The process chamber includes a mount table on a surface of which a first focus ring is placed. The method includes controlling the transfer device to transfer the first focus ring out of the process chamber without opening the process chamber to the atmosphere; after the first focus ring is transferred out of the process chamber, controlling the plasma processing apparatus to clean the surface of the mount table; and after the surface of the mount table is cleaned, controlling the transfer device to transfer a second focus ring into the process chamber and place the second focus ring on the surface of the mount table without opening the process chamber to the atmosphere. 1. A system , comprising: at least one vacuum plasma process chamber,', 'a vacuum transfer chamber connected to the vacuum plasma process chamber,', 'a first load lock chamber connected to the vacuum transfer chamber, and', 'a second load lock chamber connected to the vacuum transfer chamber; and, 'an apparatus including'} transfer a first focus ring placed in the vacuum plasma process chamber to the first load lock chamber without opening the vacuum plasma process chamber to atmosphere, and', 'transfer a second focus ring placed in the second load lock chamber to the vacuum plasma process chamber without opening the vacuum plasma process chamber to the atmosphere., 'a controller configured to control the apparatus to'}2. The system as claimed in claim 1 , whereinthe apparatus further includes an atmospheric transfer chamber connected to the first load lock chamber and the second load lock chamber, the atmospheric transfer chamber being also connected to at least one container; and transfer the first focus ring placed in the first load lock chamber to the container, and', 'transfer the second focus ring placed in the container to the second ...

Подробнее
30-01-2020 дата публикации

FOCUS RING REPLACEMENT METHOD AND PLASMA PROCESSING SYSTEM

Номер: US20200035471A1
Автор: Ishizawa Shigeru
Принадлежит:

A method performed by a processor of a plasma processing system including a transfer device and a plasma processing apparatus that includes a process chamber. The process chamber includes a mount table on a surface of which a first focus ring is placed. The method includes controlling the transfer device to transfer the first focus ring out of the process chamber without opening the process chamber to the atmosphere; after the first focus ring is transferred out of the process chamber, controlling the plasma processing apparatus to clean the surface of the mount table; and after the surface of the mount table is cleaned, controlling the transfer device to transfer a second focus ring into the process chamber and place the second focus ring on the surface of the mount table without opening the process chamber to the atmosphere. 1. A system , comprising:a transfer device;a plasma processing apparatus that includes a process chamber, the process chamber including a support having a first surface and a second surface, the first surface being configured to support a substrate, the second surface being configured to support a first focus ring, the second surface surrounding the first surface; and control the transfer device to transfer the first focus ring out of the process chamber without opening the process chamber to atmosphere,', 'control the plasma processing apparatus to clean the second surface after the first focus ring is transferred out of the process chamber and before a second focus ring is transferred into the process chamber, and', 'control the transfer device to transfer the second focus ring into the process chamber and place the second focus ring on the second surface without opening the process chamber to the atmosphere., 'a controller configured to'}2. The system as claimed in claim 1 , wherein the controller is configured to control the plasma processing apparatus to perform plasma processing to season the process chamber after the second focus ring ...

Подробнее
04-02-2021 дата публикации

SEMICONDUCTOR PROCESSING CHAMBER AND METHODS FOR CLEANING THE SAME

Номер: US20210035781A1
Принадлежит: Applied Materials, Inc.

A processing chamber may include a gas distribution member, a metal ring member below the gas distribution member, and an isolating assembly coupled with the metal ring member and isolating the metal ring member from the gas distribution member. The isolating assembly may include an outer isolating member coupled with the metal ring member. The outer isolating member may at least in part define a chamber wall. The isolating assembly may further include an inner isolating member coupled with the outer isolating member. The inner isolating member may be disposed radially inward from the metal ring member about an central axis of the processing chamber. The inner isolating member may define a plurality of openings configured to provide fluid access into a radial gap between the metal ring member and the inner isolating member. 1. A processing chamber , comprising:a gas distribution member;a metal ring member below the gas distribution member; and an outer isolating member coupled with the metal ring member, wherein the outer isolating member at least in part defines a chamber wall; and', 'an inner isolating member coupled with the outer isolating member, wherein the inner isolating member is disposed radially inward from the metal ring member about an central axis of the processing chamber, and wherein the inner isolating member defines a plurality of openings configured to provide fluid access into a radial gap between the metal ring member and the inner isolating member., 'an isolating assembly coupled with the metal ring member and isolating the metal ring member from the gas distribution member, wherein the isolating assembly comprises2. The processing chamber of claim 1 , wherein a portion of the inner isolating member is radially aligned with the metal ring member.3. The processing chamber of claim 1 , wherein the inner isolating member comprises:a first inner isolating component coupled with the outer isolating member; anda second inner isolating component ...

Подробнее
11-02-2016 дата публикации

EDGE EXCLUSION CONTROL WITH ADJUSTABLE PLASMA EXCLUSION ZONE RING

Номер: US20160042927A1
Автор: Kim Keechan, Kim Yansung
Принадлежит:

Systems and methods for edge exclusion control are described. One of the systems includes a plasma chamber. The plasma processing chamber includes a lower electrode having a surface for supporting a substrate. The lower electrode is coupled with a radio frequency (RF) power supply. The plasma processing chamber further includes an upper electrode disposed over the lower electrode. The upper electrode is electrically grounded. The plasma processing chamber includes an upper dielectric ring surrounding the upper electrode. The upper dielectric ring is moved using a mechanism for setting a vertical position of the upper dielectric ring separate from a position of the upper electrode. The system further includes an upper electrode extension surrounding the upper dielectric ring. The upper electrode extension is electrically grounded. The system also includes a lower electrode extension surrounding the lower dielectric ring. The lower electrode extension is arranged opposite the upper electrode extension. 1. A plasma chamber , comprising:a lower electrode having a surface for supporting a substrate, the lower electrode is coupled with a radio frequency (RF) power supply;an upper electrode disposed over the lower electrode, the upper electrode being electrically grounded;an upper dielectric ring surrounding the upper electrode, the upper dielectric ring adjusted using a mechanism for setting a vertical position of the upper dielectric ring;an upper electrode extension surrounding the upper dielectric ring, the upper electrode extension being electrically grounded;a lower dielectric ring surrounding the lower electrode, the lower dielectric ring set at a level that is below a level of the surface of the lower electrode; anda lower electrode extension surrounding the lower dielectric ring, the lower electrode extension arranged opposite the upper electrode extension;wherein an edge processing region is defined between the upper and lower dielectric rings and the upper and ...

Подробнее
19-02-2015 дата публикации

CRYOGENIC CLEANING METHODS FOR RECLAIMING AND REPROCESSING OILFIELD TOOLS

Номер: US20150047673A1
Автор: White Lonnie Dale
Принадлежит: TRC Services, Inc.

The disclosure relates to the cleaning of oilfield tools made of metal, particularly to the method of reclamation oilfield tools, already used in the mechanical deep-pumping extraction of oil, as well as to the product made with the help of the mentioned method. The method of remanufacturing of standard length rods includes cleaning the rod with at least one cryogen to eliminate environmental contamination and to assist in workplace safety. 1. A method of removing contaminates from a used oilfield tool , the method comprising the steps ofa. Obtaining a used oilfield tool with contaminated with scale, asphaltenes or a combination thereof; 1. kinetic energy from the non-toxic solid particles, wherein said kinetic energy accelerates the non-toxic solid particles such that said scale, asphaltenes or a combination thereof are blasted away from the used sucker rod;', '2. thermal shock that weakens the scale, asphaltenes or a combination thereof by dropping the temperature of the contaminants;', '3. thermal-kinetic energy that causes vapor to form from sublimation of the non-toxic solid particles upon impact with said scale, asphaltenes or a combination thereof, wherein the vapor expands and causes micro explosions which remove the scale, asphaltenes or a combination thereof; or', '4. combinations thereof;, 'i. Wherein the scale, asphaltenes or a combination thereof are removed from the used oilfield tool by'}, 'b. Bombarding said contaminates with a substance comprising at least one cryogen, wherein the at least one cryogen is in solid or liquid form, wherein any cryogen used is a gas at 32° F. at atmospheric pressure, and wherein the substance is propelled toward the used oilfield tool from at least one nozzle; and'}c. hardening the oilfield tool to prevent growth of cracks on an external surface of the oilfield tool;d. subjecting the oilfield tool to non-visual inspection.2. The method of claim 1 , wherein the at least one cryogen is selected from a group consisting of: ...

Подробнее
08-05-2014 дата публикации

METHODS AND APPARATUS FOR CLEANING OILFIELD TOOLS

Номер: US20140124001A1
Автор: White Lonnie Dale
Принадлежит: TRC Services, Inc

The disclosure relates to the cleaning of rods made of metal, particularly to the method of reclamation of used standard length rods, such as pump rods already used in the mechanical deep-pumping extraction of oil, as well as to the product made with the help of the mentioned method. The method of remanufacturing of standard length rods includes cleaning the rod with non-toxic particles which are able to undergo sublimation to eliminate environmental contamination and to assist in workplace safety. 1. A method for removing contaminates from a used sucker rod , the method comprising the steps of:a. obtaining a used sucker rod;b. placing the sucker rod on a support;c. placing a non-toxic solid particles delivery nozzle toward the sucker rod;c. delivering non-toxic solid particles to the surface of the sucker rod; andwherein contaminants are removed from the used sucker rod by kinetic energy that blasts the contaminants away from the rod; by thermal shock that weakens the contaminants by dropping the temperature of the contaminants; by thermal-kinetic energy that causes vapor from sublimation to expand and causes micro explosions which remove the contaminants; or some combination thereof.2. The method of claim 1 , wherein cleaning the sucker rod comprises: bombarding the sucker rod with non-toxic solid particles capable of sublimation at a pressure of 1 bar and a temperature of 0° C. or greater.3. The method of claim 2 , wherein the non-toxic solid particles are comprised of methane or carbon dioxide.4. The method of claim 3 , wherein the non-toxic solid particles are comprised of carbon dioxide.52. The method of clam claim 3 , wherein the non-toxic solid particles are pre-formed into a solid shape and propelled under pressure through a nozzle to deliver the non-toxic solid particles to the rod.6. The method of claim 2 , wherein the non-toxic solid particles are a liquid under pressure prior to being propelled under pressure through a nozzle to deliver non-toxic solid ...

Подробнее
13-02-2020 дата публикации

REMOTE PLASMA SOURCE CLEANING NOZZLE FOR PLASMA ENHANCED CVD CHAMBERS

Номер: US20200047222A1
Принадлежит:

The present disclosure relates to a chemical vapor deposition system for processing large area substrates. The chemical vapor deposition system includes a chemical vapor deposition chamber comprising a chamber body having a plurality of sidewalls, a lid assembly, and a bottom. A substrate support extends upward from the bottom within the chamber body. A gas distribution plate is located within the lid assembly. One or more cleaning gas injector ports coupled to corresponding one or more inlets in the plurality of sidewalls. Each of the one or more cleaning gas injector ports has a substantially oval-shaped or circular-shaped cleaning gas nozzle configured to provide reactive species from a remote plasma source to clean an underside of the gas distribution plate. 1. A plasma enhanced chemical vapor deposition (PECVD) system for processing flat panel display substrates , comprising: a chamber body having a plurality of sidewalls, a lid assembly, and a bottom;', 'a substrate support extending upward from the bottom within the chamber body;', 'a gas distribution plate located within the lid assembly; and', 'one or more cleaning gas injector ports coupled to corresponding one or more inlets in the plurality of sidewalls,', 'wherein each of the one or more cleaning gas injector ports has a substantially oval-shaped or circular-shaped cleaning gas nozzle configured to provide reactive species from a remote plasma source to clean an underside of the gas distribution plate., 'a chemical vapor deposition chamber comprising2. The PECVD system of claim 1 , wherein the cleaning gas nozzle is oriented upward at an acute angle from a horizontal axis that intersects a first sidewall of the plurality of sidewalls at a right angle.3. The PECVD system of claim 2 , wherein the acute angle is about 15 degrees.4. The PECVD system of claim 1 , wherein the one or more cleaning gas injector ports is two or more cleaning gas injector ports distributed about the plurality of sidewalls to ...

Подробнее
23-02-2017 дата публикации

CONTAMINANT CLEANING SYSTEMS AND RELATED METHODS USING ONE OR MORE REACTIVE SUBSTANCES, REACTION BYPRODUCT MEASUREMENTS, AND DIFFERENTIAL PRESSURE OR VACUUM TRANSFER OF THE REACTIVE SUBSTANCES AND REACTION BYPRODUCTS

Номер: US20170050223A1
Принадлежит:

Contaminant cleaning systems and related methods are provided. Exemplary embodiments include a reactive substance generator to produce or transfer reactive substance(s) that react with contaminant(s) on an item into a cleaning chamber. An analysis section can be attached to the cleaning chamber to perform gas analysis on gas samples brought into the analysis chamber that measure reaction byproducts from the reactive substance(s) interaction with the contaminants. An exemplary valve system can selectively couple the reactive substance generator, the analysis section, and the cleaning chamber. An exemplary pumping system, in combination with the valve system, can selectively generate differential pressure/vacuum levels between the reactive substance generator vs cleaning chamber as well as between the cleaning chamber and analysis section. For example, the analysis chamber can be configured to have a higher vacuum than the cleaning chamber to facilitate passage of gas test samples into the analysis chamber. 1. A method for producing a plasma cleaning system , comprising:executing a first step comprising analyzing and identifying one or more contaminants within or on an item to be cleaned;executing a second step comprising identifying one or more activated reactant or reactive substances comprising one or more activated reactants or reactive gas(es), materials, or substances that reacts with the one or more contaminants that produces one or more reaction byproducts and removal or reduction of a presence of the one or more contaminants, said second step further comprises determining a predetermined reaction byproduct threshold associated with a pre-selected level of removal of said contaminant or contaminants;executing a third step comprising providing a cleaning system comprising a cleaning chamber configured to receive the item to be cleaned, selectively maintain a vacuum, and connect or receive the item with or into the cleaning chamber, the cleaning system further ...

Подробнее
22-02-2018 дата публикации

MAGNET USED WITH A PLASMA CLEANER

Номер: US20180053638A1
Принадлежит:

A plasma generator is located outside the vacuum chamber and generates neutral reactive particles and charged particles. A magnet positioned outside the plasma generator deflects the charged particles, preventing some or all of them from entering the vacuum chamber, thereby preventing secondary plasma sources from forming in the vacuum chamber, while allowing neutral reactive particles to enter the vacuum chamber to reduce contamination. Associated methods are also described. 1. A cleaning system for cleaning contaminants from a vacuum chamber , comprising:a plasma generator for generating a plasma, the plasma generator having an outlet through which charged particles and reactive neutral particles leave the plasma generator; anda magnet positioned outside the plasma generator to reduce the number of charged particles entering the vacuum chamber.2. The cleaning system of further comprising a passage for conducting the reactive neutral particles from the outlet to the vacuum chamber.3. The cleaning system of wherein the magnet is a permanent magnet.4. The cleaning system of wherein the magnet comprises at least one of a NdFeB or SmCo magnet.5. The cleaning system of wherein the magnet comprises a coil.6. The cleaning system of claim 1 , wherein the magnetic force generated by the magnet is sufficiently strong to prevent charged particles from the plasma generator from creating secondary plasma sources within the vacuum chamber.7. An apparatus claim 1 , comprising:a vacuum chamber for processing a work piece;a plasma cleaning system for cleaning the vacuum chamber and/or the work piece, the plasma cleaning system having a plasma generator for generating a plasma, the plasma generator having an outlet through which charged particles and reactive neutral particles leave the plasma generator;a passage connecting the vacuum chamber and the plasma generator; anda magnet positioned outside the plasma generator to reduce the number of charged particles entering the vacuum ...

Подробнее
03-03-2016 дата публикации

APPARATUS AND METHODS FOR SUBSTRATE PROCESSING AND MANUFACTURING INTEGRATED CIRCUIT DEVICES

Номер: US20160059277A1
Принадлежит:

A method for processing a substrate includes: loading a substrate in a processing space of a vessel; drying the substrate using a supercritical fluid of a first temperature in the processing space, and thermally decomposing a contamination source disposed in the processing space by transferring heat to the processing space by using a non-reactive fluid of a second temperature that is higher than the first temperature. 1. An apparatus for processing a substrate , the apparatus comprising:a vessel including a processing space for processing the substrate;a first supply port through which a supercritical fluid of a first temperature is supplied to the vessel;a second supply port through which a non-reactive fluid of a second temperature that is higher than the first temperature is supplied to the vessel;a vent port through which a fluid is vented out of an inside of the vessel;a first supply line connected to the first supply port and forming a flow path for the supercritical fluid; anda second supply line connected to the second supply port and forming a flow path for the non-reactive fluid.2. The apparatus of claim 1 , further comprising a heating apparatus that is provided on the second supply line and that heats the non-reactive fluid supplied to the inside of the vessel through the second supply line.3. The apparatus of claim 2 , wherein the heating apparatus comprises a heating wire.4. The apparatus of claim 2 , wherein the heating apparatus comprises a lighting apparatus that irradiates light.5. The apparatus of claim 1 , wherein the vessel comprises an upper case and a lower case that are coupled to each other and capable of being opened with respect to each other so as to move between a closed position wherein the processing space is hermetically sealed and an open position wherein the processing space is open to the atmosphere.6. The apparatus of claim 5 , wherein the first supply port and the vent port are formed in the lower case and the second supply port ...

Подробнее
10-03-2022 дата публикации

Apparatus and method for processing substrate using plasma

Номер: US20220076925A1
Принадлежит: Semes Co Ltd

A substrate processing apparatus and a substrate processing method using plasma capable of controlling an etch rate and/or uniformity according to a position of a substrate are provided. The substrate processing apparatus includes a first space disposed between an electrode and an ion blocker; a second space disposed between the ion blocker and a shower head; a processing space for processing a substrate under the shower head; a first gas supply module for providing a first gas for generating plasma in the first space; a second gas supply module for providing a second gas to be mixed with the effluent of the plasma in the processing space; and a third gas supply module for providing a third gas to be mixed with the effluent of the plasma in the processing space.

Подробнее
02-03-2017 дата публикации

LASER DEVICE

Номер: US20170059815A1
Принадлежит: BOE Technology Group Co., Ltd.

A laser device is provided. The laser device includes: a laser tube having an opening in both ends thereof, and a fixing apparatus on at least one of the ends of the laser tube. The opening in at least one of the ends of the laser tube is sealed by the fixing apparatus. A movable assembly and a window are provided on the fixing apparatus. The window is movable relatively to the opening of the laser tube when being driven by the movable assembly, to change a transmission position of a laser light generated by the laser tube on the window. 1. A laser device , comprising:a laser tube having an opening in both ends thereof; anda fixing apparatus on at least one of the ends of the laser tube;wherein the opening in at least one of the ends of the laser tube is sealed by the fixing apparatus; andwherein the fixing apparatus comprises a movable assembly and a window, with the window being movable relatively to the opening of the laser tube when being driven by the movable assembly, to change a transmission position of a laser light generated by the laser tube on the window.2. The laser device according to claim 1 , wherein the window is strip-shaped claim 1 , and is movable in a length direction of the window when being driven by the movable assembly.3. The laser device according to claim 2 , wherein a length direction of the laser tube is perpendicular to the window.4. The laser device according to claim 1 , wherein the window is annular-shaped claim 1 , and is rotatable around an axis of the window when being driven by the movable assembly.5. The laser device according to claim 4 , wherein the opening of the laser tube is located at a circumference centered around the axis of the window.6. The laser device according to claim 4 , wherein a length direction of the laser tube is perpendicular to the window.7. The laser device according to claim 1 , wherein the fixing apparatus comprises a through hole claim 1 , and the through hole is sealed by the window.8. The laser device ...

Подробнее
03-03-2016 дата публикации

METHODS OF DRY STRIPPING BORON-CARBON FILMS

Номер: US20160064209A1
Принадлежит:

Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NFto remove from the surface of the boron-carbon film any carbon-based polymers generated during a substrate etching process. 1. A method for stripping a film from a substrate , comprising:positioning a substrate having a boron-carbon film thereon in a chamber; andremoving the boron-carbon film from the substrate by exposing the film to oxygen ions or radicals and hydrogen ions or radicals.2. The method of claim 1 , wherein an atomic ratio of boron to carbon in the boron-carbon film is within a range of about 1:1 to about 3:1.3. The method of claim 1 , further comprising exposing the boron-carbon film to fluoride ions or radicals and oxygen ions or radicals to remove carbon-based polymers from a surface thereof prior to exposing the boron-carbon film to the oxygen ions or radicals and the hydrogen ions or radicals.4. The method of claim 1 , wherein the oxygen ions or radicals are formed by generating a plasma from an oxygen-containing gas comprising O claim 1 , NO claim 1 , CO claim 1 , NO claim 1 , or NO claim 1 , and the hydrogen ions or radicals are formed by generating a plasma from a hydrogen-containing gas comprising Hor NH.5. The method of claim 4 , wherein the hydrogen-containing gas has a flow rate between about 500 SCCM and about 10 claim 4 ,000 SCCM ...

Подробнее
02-03-2017 дата публикации

Method for Etching a Silicon-Containing Substrate

Номер: US20170062225A1
Принадлежит:

Techniques herein provide a chamber and substrate cleaning solution for etching and removing byproducts between separate etching steps. Such techniques include using a cleaning step based on fluorine chemistry, which is executed in between separate etch steps or divided etch steps. Such a technique can be executed in situ for improved efficiency. Other benefits include increasing etching depth/aspect ratios, and preventing post-etching defects including physical contact with neighboring gates, etc. Techniques herein are especially beneficial when applied to relatively small feature openings. 1. A method for processing a substrate , the method comprising:positioning a substrate in a chamber of a plasma processing system, the substrate having a masking layer positioned over a silicon-containing layer, the masking layer defining openings less than 40 nanometers;executing a first etching process that anisotropically etches a first portion of the silicon-containing layer using the masking layer as an etch mask and using plasma formed from a first process gas that includes a bromine-containing gas or a chlorine-containing process gas;executing a chamber cleaning step that includes removing chamber wall residue using plasma formed from a second process gas that includes a fluorine-containing process gas, the chamber wall residue includes products from the first etching process, the chamber cleaning step being executed while the substrate is positioned in the chamber of the plasma processing system; andexecuting a second etching process that anisotropically etches a second portion of the silicon-containing layer using the masking layer as an etch mask and using plasma formed from a third process gas that includes the bromine-containing gas or the chlorine-containing gas, wherein the first etching process and the second etching process create a space in the substrate having an aspect ratio value greater than 7.0 as calculated from a top of the masking layer to a bottom of ...

Подробнее
12-03-2015 дата публикации

Substrate treatment method and substrate treatment apparatus

Номер: US20150068557A1
Принадлежит: Screen Holdings Co Ltd

A substrate treatment method is provided, which includes a liquid film retaining step of retaining a liquid film of a treatment liquid on a major surface of a substrate, and a heater heating step of locating a heater in opposed relation to the major surface of the substrate to heat the treatment liquid film by the heater in the liquid film retaining step, wherein an output of the heater is changed from a previous output level in the heater heating step.

Подробнее
27-02-2020 дата публикации

ASSEMBLY AND METHOD FOR THE TREATMENT OF OBJECTS

Номер: US20200061679A1
Автор: Nettesheim Stefan
Принадлежит:

An assembly and a method for treating at least one object are disclosed. An ionization chamber/plasma chamber is provided which is connected to a high-voltage source via a high-voltage line. A first valve group has a node and a second valve group has a node, a pump being provided between the first valve group and the second valve group. A treatment chamber is fluidic connectable to the first valve group and the second valve group, and the ionization chamber/plasma chamber is also fluidic connectable to the first valve group and the second valve group. 1. An assembly for treating at least one object , comprising:an ionization chamber/plasma chamber, connected to a high-voltage source via a high-voltage line;a first valve group having a plurality of valves;a second valve group comprising a plurality of valves, wherein a pump being provided between a node of the first valve group and a node of the second valve group;a treatment chamber, wherein the treatment chamber in fluidic connection with the first valve group via a valve of the first valve group and via a valve of the second valve group, and the ionization chamber/plasma chamber in fluidic connection to the first valve group via a valve of the first valve group and via a valve of the second valve group;at least one gas inlet connected to the first valve group via at least one valve of the first valve group, and a gas outlet connected to the second valve group via at least one valve.2. The assembly of claim 1 , wherein the treatment chamber is in fluidic connection via a line to one of the valves of the first valve group and is in fluidic connection via a line to one of the valves of the second valve group.3. The assembly of claim 1 , wherein the ionization chamber/plasma chamber is in fluidic connection via a line to one of the valves of the first valve group claim 1 , and is in fluidic connection via a line to one of the valves of the second valve group.4. The assembly of claim 1 , wherein the at least one gas ...

Подробнее
29-05-2014 дата публикации

Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures

Номер: US20140144462A1
Принадлежит: Applied Materials Inc

Embodiments of the invention generally relate to a method of cleaning a substrate and a substrate processing apparatus that is configured to perform the method of cleaning the substrate. More specifically, embodiments of the present invention relate to a method of cleaning a substrate in a manner that reduces or eliminates the negative effects of line stiction between semiconductor device features. Other embodiments of the present invention relate to a substrate processing apparatus that allows for cleaning of the substrate in a manner that reduces or eliminates line stiction between semiconductor device features formed on the substrate.

Подробнее
11-03-2021 дата публикации

Scraper-based magnetic cleaning system and method for cleaning ferromagnetic objects

Номер: US20210069753A1
Принадлежит: Boeing Co

A cleaning system and a method for cleaning a surface of a ferromagnetic object are disclosed. Accordingly, to an example configuration, the cleaning system includes a device body having a guide face configured for selective placement against the surface. The device body comprises a magnetic system generating a magnetic field configured to urge the guide face against the surface of the ferromagnetic object by a magnetic force. The cleaning system further includes a scraper blade projecting outward from the device body to contact the surface upon placement of the guide face against the surface. The guide face may optionally comprise an outward-facing abrasive surface.

Подробнее
09-03-2017 дата публикации

METHOD FOR CLEANING A PROCESS CHAMBER

Номер: US20170069472A1
Принадлежит:

The disclosure relates to a method of cleaning a process chamber of a capacitively coupled plasma reactor, the method comprising: a) Introducing a gas comprising 80-100% in volume of inert gas into the process chamber, wherein said inert gas is selected from the group consisting of neon, argon, krypton, xenon and combinations thereof; and b) Forming a plasma from said inert gas, thereby cleaning said process chamber. 1. A method of cleaning a process chamber of a capacitively coupled plasma reactor , the method comprising:a) Introducing a gas comprising 80-100% in volume of inert gas into the process chamber, wherein said inert gas is selected from the group consisting of neon, argon, krypton, xenon and combinations thereof; andb) Forming a plasma from said inert gas, thereby cleaning said process chamber.2. The method according to claim 1 , wherein the gas comprises at least 90% of said inert gas claim 1 , preferably at least 99% of said inert gas.3. The method according to claim 1 , wherein the inert gas is argon.4. The method according to claim 1 , wherein the plasma is formed under a pressure of at most 50 mTorr.5. The method according to claim 1 , further comprising the steps of:c) Introducing an oxygen reactant into the process chamber; andd) Forming a plasma from the oxygen reactant, wherein said steps c) and d) are performed either before step a) or after step b).6. The method according to claim 5 , wherein step c) and d) are performed before step a).7. The method according to claim 5 , wherein said process chamber comprises at least one inner surface on which deposited materials containing carbon are present and wherein said oxygen reactant plasma cleans the inner surface from these materials.8. The method according to claim 1 , wherein said process chamber comprises two parallel electrodes and wherein step b) comprises applying an electrical power of from 1000 W to 4000 W to at least one of said electrodes.9. The method according to claim 1 , wherein said ...

Подробнее
15-03-2018 дата публикации

Strip Process for High Aspect Ratio Structure

Номер: US20180074409A1
Принадлежит:

Processes for removing a mask layer (e.g., doped amorphous carbon mask layer) from a substrate with high aspect ratio structures are provided. In one example implementation, a process can include depositing a polymer layer on at least a portion of a top end of a high aspect ratio structure on a substrate. The process can further include removing at least a portion of the polymer layer and the doped amorphous carbon film form the substrate using a plasma strip process. In example embodiments, depositing a polymer layer can include plugging one or more high aspect ratio structures with the polymer layer. In example embodiments, depositing a polymer layer can include forming a polymer layer on a sidewall of one or more high aspect ratio structures. 1. A process for removing at least a portion of a doped amorphous carbon film from a substrate having high aspect ratio structures , the process comprising:depositing a polymer layer on at least a portion of a top end of a high aspect ratio structure on a substrate; andremoving at least a portion of the polymer layer and the doped amorphous carbon film from the substrate using a plasma strip process.2. The process of claim 1 , wherein depositing a polymer layer comprises plugging one or more high aspect ratio structures with the polymer layer.3. The process of claim 1 , wherein depositing a polymer layer comprises forming a polymer layer on a sidewall of one or more high aspect ratio structures.4. The process of claim 1 , wherein the polymer layer is formed using polymer deposition with one or more of CHF claim 1 , H claim 1 , N claim 1 , Ar or their combination.5. The process of claim 1 , wherein the polymer layer is formed using a gas CHFcombined with any Hor any CH claim 1 , where x claim 1 , y claim 1 , z claim 1 , x1 claim 1 , and y1 are integers.6. The process of claim 1 , wherein the polymer layer is formed at a pressure in the range of about 10 mTorr to about 5 Torr.7. The process of claim 1 , wherein depositing a ...

Подробнее
05-03-2020 дата публикации

PULSING MIXTURE OF PRECURSOR AND SUPERCRITICAL FLUID TO TREAT SUBSTRATE SURFACE

Номер: US20200071830A1
Автор: LEE Sang In
Принадлежит:

An injecting assembly includes a nozzle that is formed with a mixture channel, a mixture opening communicating with the mixture channel, a shaper channel, and a shaper opening communicating with the shaper channel. A mixture of a precursor and a supercritical fluid (SCF) passes through the mixture channel. Waves of the mixture are periodically injected toward a surface of a substrate at the mixture opening. A stream of a shaping fluid flows through the shaper channel and is injected toward the substrate at the shaper opening. The stream of the shaping fluid confines the waves of the mixture. Molecules of the precursor penetrate into the substrate by impact of the wave fronts reaching the surface of the substrate. The molecules of the precursor can react with molecules of a material of the substrate to improve surface properties of the substrate. 1. A process for treating a surface of a substrate , comprising:passing a mixture of a precursor and a supercritical fluid (SCF) through a mixture channel of an injecting assembly;periodically injecting waves of the mixture toward the substrate at a mixture opening of the injecting assembly that communicates with the mixture channel; andtreating the surface of the substrate through penetration of molecules of the precursor into the substrate by impact of the wave fronts reaching the surface of the substrate.2. The process of claim 1 , further comprising:passing a shaping fluid through a shaper channel of an injecting assembly; andinjecting a stream of the shaping fluid toward the substrate at a shaper opening of the injecting assembly surrounding the mixture opening to confine the waves and increase a pressure of the waves on the substrate.3. The process of claim 2 , wherein the waves propagate toward the substrate and generate shockwaves at the surface of the substrate.4. The process of claim 3 , wherein the waves propagate toward the substrate with a velocity not lower than 100 meters per second.5. The process of claim 2 , ...

Подробнее
12-06-2014 дата публикации

Split Wiper Assembly for UV Disinfection Modules

Номер: US20140157531A1
Принадлежит: Ozonia North America, LLC

The present invention is directed to a cleaning system for a UV disinfection module. In general, the UV disinfection module may have a pair of headers with a multiplicity of UV lamps extending therebetween. The cleaning system may include a cleaning plate having a multiplicity of openings therein, the openings arranged to substantially coincide with positions of the lamps to permit movement of the plate between the headers; a split wiper assembly including a plurality of wiper portions, each wiper portion mounted in a housing, the split wiper assembly connected to the cleaning plate and substantially encircling each opening, sized such that each split wiper assembly has an inner diameter less than the exterior diameter of a corresponding lamp; and a movement device operatively connected to move the plate between the headers. 1. A cleaning system for a UV disinfection module having a pair of headers with a multiplicity of UV lamps extending therebetween comprising:a cleaning plate having a multiplicity of openings therein, the openings arranged to substantially coincide with positions of the lamps to permit movement of the plate between the headers; a housing comprising a first housing component and a second housing component, the first and second housing components being in contact with each other; and', 'two or more wiper portions, at least one wiper portion mount in each housing component;', 'the split wiper assembly connected to the cleaning plate and substantially encircling each opening, sized such that each split wiper assembly has an inner diameter less than the exterior diameter of a corresponding lamp; and, 'a split wiper assembly comprisinga movement device operatively connected to move the plate between the headers.2. The system of claim 1 , wherein the plurality of wiper portions overlap each other in order fully encircle each opening.3180. The system of claim 1 , wherein each wiper portion encircles degrees or more of the openings in the cleaning plate. ...

Подробнее
12-06-2014 дата публикации

VENT ICE PREVENTION METHOD

Номер: US20140158206A1

An improved vent ice prevention apparatus including a first conduit, a second conduit, and a third conduit, wherein the second conduit concentrically surrounds the first conduit thereby forming an annular region between the two conduits. The third conduit is in fluid communication with said annular region. The first conduit is configured to receive a cold vent stream, and the third conduit is configured to receive a dry purge stream and introduce the dry purge stream into the annular region in order to prevent ice formation. 1. An improved vent ice prevention apparatus comprising:a first conduit configured to receive a cold vent stream, the first conduit having an inlet and an outlet;a second conduit surrounding at least a portion of the first conduit thereby creating an annular region between the second conduit and the first conduit, the first conduit having an inlet and an outlet; anda third conduit in fluid communication with the annular region, wherein the third conduit is configured to receive a dry purge stream and introduce the dry purge stream to the annular region, thereby preventing said first conduit or said second conduit from forming condensation and/or ice.2. The improved vent ice prevention apparatus as claimed in claim 1 , wherein said cold vent stream comprises a cold stream originating from a cold compressor.3. The improved vent ice prevention apparatus as claimed in claim 2 , wherein said cold stream comprises air.4. The improved vent ice prevention apparatus as claimed in claim 2 , wherein said cold stream comprises nitrogen.5. The improved vent ice prevention apparatus as claimed in claim 1 , wherein said dry purge stream comprises a dry stream originating from a warm compressor.6. The improved vent ice prevention apparatus as claimed in claim 5 , wherein said dry stream comprises dry air.7. The improved vent ice prevention apparatus as claimed in claim 5 , wherein said dry stream comprises nitrogen.8. The improved vent ice prevention apparatus ...

Подробнее
18-03-2021 дата публикации

METHOD OF CLEANING SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING SYSTEM

Номер: US20210082691A1
Автор: FUKUI Shogo, HUANG Pohan
Принадлежит:

There is provided a method of cleaning a substrate processing apparatus in which a drying process of drying a substrate whose surface is wet with a liquid is performed by bring the substrate into contact with a supercritical fluid, the method including: diffusing a first cleaning fluid in an interior of the substrate processing apparatus, the first cleaning fluid being obtained by mixing the supercritical fluid with a solvent containing polar molecules and having a lower boiling point than a boiling point of the liquid; and discharging the first cleaning fluid from the interior of the substrate processing apparatus, that occurs after the diffusing the first cleaning fluid. 1. A method of cleaning a substrate processing apparatus in which a drying process of drying a substrate whose surface is wet with a liquid is performed by bring the substrate into contact with a supercritical fluid , the method comprising:diffusing a first cleaning fluid in an interior of the substrate processing apparatus, the first cleaning fluid being obtained by mixing the supercritical fluid with a solvent containing polar molecules and having a lower boiling point than a boiling point of the liquid; anddischarging the first cleaning fluid from the interior of the substrate processing apparatus, that occurs after the diffusing the first cleaning fluid.2. The method of claim 1 , wherein the liquid contains the polar molecules and the supercritical fluid contains non-polar molecules.3. The method of claim 2 , wherein the diffusing the first cleaning fluid includes supplying the first cleaning fluid to the interior of the substrate processing apparatus.4. The method of claim 2 , wherein the diffusing the first cleaning fluid further comprises:loading a jig on which the solvent is collected into the substrate processing apparatus; andvaporizing the solvent in the interior of the substrate processing apparatus while supplying the supercritical fluid into the substrate processing apparatus, that ...

Подробнее
31-03-2022 дата публикации

ELECTRONIC DEVICE WITH ANTIBACTERIAL EFFECT DETECTION AND PORTABLE DEVICE

Номер: US20220096694A1
Принадлежит: ACER INCORPORATED

An electronic device with antibacterial effect detection and a portable device are provided. The electronic device includes an antibacterial housing, a light emitting unit, a light receiving unit and a processing unit. The antibacterial housing has a concave hole, which gradually shrinks from the inside to the outside. The light emitting unit is arranged under the concave hole of the antibacterial housing. The light emitting unit is used for emitting a detection light toward an inclined side wall of the concave hole. The light receiving unit is arranged under the concave hole of the antibacterial housing. The light receiving unit is used for receiving a detection light reflected from the inclined side wall of the concave hole. The processing unit is used for analyzing the antibacterial effect of the antibacterial housing according to the detection light. 1. An electronic device with antibacterial effect detection , comprising:an antibacterial housing having a concave hole, which gradually shrinks from an inside of the antibacterial housing to an outside of the antibacterial housing;a light emitting unit arranged under the concave hole of the antibacterial housing, wherein the light emitting unit is used for emitting a detection light toward an inclined side wall of the concave hole;a light receiving unit arranged under the concave hole of the antibacterial housing, wherein the light receiving unit is used for receiving the detection light reflected from the inclined side wall of the concave hole; anda processing unit used for analyzing an antibacterial effect of the antibacterial housing according to the detection light.2. The electronic device according to claim 1 , wherein the concave hole passes through the antibacterial housing.3. The electronic device according to claim 1 , the concave hole has only one outer opening claim 1 , which faces the outside of the antibacterial housing.4. The electronic device according to claim 1 , wherein a cross section of the ...

Подробнее
25-03-2021 дата публикации

Substrate processing method and substrate processing apparatus

Номер: US20210086238A1
Принадлежит: Screen Holdings Co Ltd

A liquid film of a processing liquid containing at least one of sulfuric acid, a sulfate, peroxosulfuric acid, and a peroxosulfate, or a processing liquid containing hydrogen peroxide is formed on a substrate. A plasma is radiated to the liquid film. Thereby, a substrate processing method in which substrate processing using an oxidizing power of the processing liquid can be efficiently performed is provided.

Подробнее
25-03-2021 дата публикации

In-Situ DC Plasma For Cleaning Pedestal Heater

Номер: US20210086239A1
Принадлежит: Applied Materials, Inc.

Substrate supports, substrate support assemblies and methods of using an arc generated between a first electrode and a second electrode to clean a support surface. The first electrode comprises a plurality of first branches which are interdigitated with a plurality of branches of the second electrode in a finger-joint like pattern creating a gap between the first electrode and the second electrode. 1. A substrate support having an electrostatic chuck , the substrate support comprising:a first electrode having a middle hub with a first trunk extending in a first direction from the middle hub to an outer peripheral end;a second electrode extending in a second direction opposite the first direction from an inner end adjacent to and spaced from the middle hub to an outer peripheral end;a plurality of first branches crossing the first trunk, each of the first branches located a first trunk distance from the middle hub and spaced from adjacent first branches, each of the first branches having a first leg extending from a trunk end at the first trunk to a branch end in an arcuate path in a first direction around the middle hub with a radius equal to the first trunk distance and a second leg extending from a trunk end at the first trunk to a branch end in an arcuate path in a second direction opposite the first direction around the middle hub with a radius equal to the first trunk distance; anda plurality of second branches crossing the second trunk, each of the second branches located a second trunk distance from the middle hub and spaced from adjacent second branches, each of the second branches having a first leg extending from a trunk end at the second trunk to a branch end in an arcuate path in a first direction around the middle hub with a radius equal to the second trunk distance and a second leg extending from a trunk end at the second trunk to a branch end in an arcuate path in a second direction around the middle hub with a radius equal to the second trunk ...

Подробнее
19-06-2014 дата публикации

METHOD AND APPARATUS FOR CLEANING COLLECTOR MIRROR IN EUV LIGHT GENERATOR

Номер: US20140166046A1
Принадлежит: Gigaphoton Inc.

A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position. 1. A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror , the method being adopted to the EUV light generator for cleaning contaminants adhering thereto , the method comprising:preparing at least two collector mirrors;locating one of the collector mirrors at an EUV light condensing position while locating the other collector mirror at a cleaning position;determining whether or not the cleaning of the collector mirror located at the cleaning position has been completed while determining whether or not the collector mirror located at the EUV light condensing position requires cleaning; andonce it is determined that the cleaning of the collector mirror located at the cleaning position has been completed and it is also determined that the collector mirror located at the EUV light condensing position requires cleaning, conveying the collector mirror located at the EUV light condensing position and requiring ...

Подробнее
30-03-2017 дата публикации

Method and apparatus for treating substrate

Номер: US20170087602A1
Принадлежит: Semes Co Ltd

The present disclosure relates to a method for treating a substrate. A method for treating a substrate includes a chamber cleaning step. In the chamber cleaning step a treatment space is cleaned by supplying a cleaning fluid. The cleaning fluid is generated by chemical reaction of a first gas and a second gas by applying plasma while supplying the first gas and the second gas which is different from the first gas into the inside of the process chamber.

Подробнее
09-04-2015 дата публикации

METHOD OF NON-DESTRUCTIVE POST TUNGSTEN ETCH RESIDUE REMOVAL

Номер: US20150096589A1
Принадлежит:

Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having refractory metal portions disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate in a processing chamber, the substrate having a refractory metal disposed thereon, forming a process gas comprising water vapor, maintaining a process pressure in the processing chamber above about 0.5 Torr, forming a plasma in the process gas to form an activated water vapor and exposing the refractory metal to the activated water vapor. 1. A method for cleaning a surface of a substrate , comprising:positioning a substrate in a processing chamber, the substrate having a refractory metal disposed thereon;forming a process gas comprising water vapor;maintaining a process pressure in the processing chamber above about 0.5 Torr;{'sup': +', '−', '+', '−', '−', '+', '−, 'forming a plasma in the process gas to form an activated water vapor, the activated water vapor comprising H ions and O ions, wherein the H ions and O ions are reacted to form OH ions to create a ratio of H ions to O ions which is greater than 2:1; and'}exposing the refractory metal to the activated water vapor, the activated water vapor removing one or more residues from the refractory metal.2. The method of claim 1 , wherein the process gas further comprises an oxygen containing gas or a hydrogen containing gas in addition to the water vapor.3. The method of claim 1 , forming a plasma in the process gas further comprises applying a RF power between about 3000 watts and about 5000 watts.4. The method of claim 1 , wherein the processing chamber is maintained at a pressure between 0.5 Torr and 4 Torr.5. The method of claim 1 , wherein the water vapor is generated in the presence of argon claim 1 , helium claim 1 , or nitrogen.6. The method of claim 1 , further comprising maintaining the substrate temperature at between ...

Подробнее
05-04-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180096824A1
Принадлежит:

A plasma processing apparatus comprises a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body, and a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface. The substrate is held on the holding sheet and set on the seat surface with the holding sheet therebetween. The plasma processing apparatus further comprises a guide being provided along a circumference of the electrode body for alignment of the frame, and a cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined. 1. A plasma processing apparatus , comprising:a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body;a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface;the conveying carrier having a holding sheet and a frame provided around a periphery of the holding sheet;the substrate being held on the holding sheet and set on the seat surface with the holding sheet therebetween;a guide being provided along a circumference of the electrode body for alignment of the frame; anda cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined.2. The plasma processing apparatus according to claim 1 , wherein the cover is connected with the lid through first biasing means.3. The plasma processing apparatus according to claim 1 , wherein the guide includes a first surface ...

Подробнее
06-04-2017 дата публикации

METHODS FOR PRE-CLEANING CONDUCTIVE MATERIALS ON A SUBSTRATE

Номер: US20170098540A1
Принадлежит:

Methods for processing a substrate are provided herein. In some embodiments, a method of processing a substrate includes: heating a substrate disposed within a processing volume of a substrate processing chamber to a temperature of up to about 400 degrees Celsius, wherein the substrate comprises a first surface, an opposing second surface, and an opening formed in the first surface and extending towards the opposing second surface, and wherein the second surface comprises a conductive material disposed in the second surface and aligned with the opening; and exposing the substrate to a process gas comprising about 80 to about 100 wt. % of an alcohol to reduce a contaminated surface of the conductive material. 1. A method of processing a substrate , comprising:heating a substrate disposed within a processing volume of a substrate processing chamber to a temperature of up to about 400 degrees Celsius, wherein the substrate includes an exposed conductive material disposed in the substrate; andexposing the substrate to a process gas comprising about 80 to about 100 wt. % of a vapor of an alcohol to reduce a contaminated surface of the conductive material.2. The method of claim 1 , wherein the conductive material is copper or cobalt.3. The method of claim 1 , wherein the alcohol has a formula CH—OH claim 1 , wherein n is a whole number.4. The method of claim 3 , wherein the alcohol is one or more of ethanol claim 3 , isopropanol claim 3 , methanol claim 3 , or butanol.5. The method of claim 1 , wherein a pressure of the substrate processing chamber while exposing the substrate to the process gas is about 0.1 to about 30 Torr.6. The method of claim 1 , wherein a pressure of the substrate processing chamber while exposing the substrate to the process gas is about 0.6 to about 3 Torr.7. The method of claim 1 , further comprising exposing the substrate to the process gas for up to about 60 seconds.8. The method of claim 1 , wherein exposing the substrate to the process gas ...

Подробнее
06-04-2017 дата публикации

DRYING PROCESS FOR HIGH ASPECT RATIO FEATURES

Номер: US20170098541A1
Принадлежит:

A method for processing a substrate is disclosed. The method includes delivering a solvent to a processing chamber and delivering a substrate to the processing chamber. The amount of solvent present in the processing chamber may be configured to submerse the substrate. Liquid COmay be delivered to the processing chamber and the liquid COmay be mixed with the solvent. Additional liquid COmay be delivered to the processing chamber in an amount greater than a volume of the processing chamber to displace the solvent. The liquid COmay be phase transitioned to supercritical COin the processing chamber and the substrate may be dried by isothermally depressurizing the processing chamber and exhausting gaseous COfrom the processing chamber. 1. A substrate processing method , comprising:delivering a solvent to a processing chamber;delivering a substrate in a device side down orientation to the processing chamber;{'sub': 2', '2, 'delivering liquid COto the processing chamber and mixing the liquid COwith the solvent to form a mixture;'}{'sub': '2', 'delivering additional liquid COto the processing chamber in an amount greater than a volume of the processing chamber to displace the mixture;'}{'sub': 2', '2, 'phase transitioning the liquid COto supercritical COin the processing chamber; and'}{'sub': '2', 'drying the substrate by isothermally depressurizing the processing chamber and exhausting gaseous COfrom the processing chamber.'}2. The method of claim 1 , wherein the solvent is miscible with liquid CO.3. The method of claim 2 , wherein the solvent is selected from the group consisting of acetone claim 2 , isopropyl alcohol claim 2 , ethanol claim 2 , methanol claim 2 , N-Methyl-2-pyrrolidone claim 2 , N-Methylformamide claim 2 , 1 claim 2 ,3-Dimethyl-2-imidazolidinone claim 2 , dimethylacetamide claim 2 , and dimethyl sulfoxide.4. The method of claim 1 , further comprising delivering supercritical COto the processing chamber.5. The method of claim 1 , wherein the phase ...

Подробнее
06-04-2017 дата публикации

SMALL THERMAL MASS PRESSURIZED CHAMBER

Номер: US20170098555A1
Принадлежит:

Embodiments described herein generally relate to a processing chamber incorporating a small thermal mass which enable efficient temperature cycling for supercritical drying processes. The chamber generally includes a body, a liner, and an insulation element which enables the liner to exhibit a small thermal mass relative to the body. The chamber is also configured with suitable apparatus for generating and/or maintaining supercritical fluid within a processing volume of the chamber. 1. A substrate processing apparatus , comprising: a liner disposed within the chamber body adjacent the processing volume; and', 'an insulation element disposed within the chamber body adjacent to the liner, wherein the insulation element has a coefficient of thermal expansion similar to a coefficient of thermal expansion of the chamber body and the liner;, 'a chamber body defining a processing volume for operating at elevated pressures, wherein the chamber body comprisesa substrate support disposed within the processing volume; anda baffle plate disposed within the processing volume.2. The apparatus of claim 1 , wherein the liner has a thermal mass less than a thermal mass of the chamber body.3. The apparatus of claim 1 , wherein the insulation element is disposed between the liner and the chamber body.4. The apparatus of claim 1 , wherein the substrate support is configured to move into and out of the processing volume.5. The apparatus of claim 4 , wherein the substrate support is coupled to a heating element.6. The apparatus of claim 1 , wherein the baffle plate is coupled to an actuator to move the baffle plate within the processing volume and the actuator is configured to raise and lower the baffle plate.7. The apparatus of claim 1 , wherein the baffle plate includes a material selected from the group consisting of stainless steel claim 1 , aluminum claim 1 , ceramic materials claim 1 , quartz materials claim 1 , and mixtures and combinations thereof.8. A substrate processing ...

Подробнее
12-04-2018 дата публикации

Methods and Systems for Plasma Cleaning a Container Using a Magnet

Номер: US20180099316A1
Автор: Nikic Dejan, Tan Farrah
Принадлежит:

An example method for plasma cleaning a container includes generating plasma flowing within the container, applying a magnet to an exterior surface of the container causing the plasma within the container to be attracted to the magnet, and moving the magnet in a motion over the exterior surface to control movement of the plasma within the container and to clean one or more areas of the container with the plasma according to the motion. An example system for plasma cleaning a container includes a power source, a gas inlet on the container for dispersing a gas within the container, and based on current flowing, the gas converts to plasma. The system also includes a robotic manipulator having an end effector coupled to a magnet to move the magnet in a motion over an exterior surface of the container causing the plasma within the container to be attracted to the magnet. 1. A method for plasma cleaning a container , the method comprising:generating plasma flowing within the container;applying a magnet to an exterior surface of the container causing the plasma within the container to be attracted to the magnet; andmoving the magnet in a motion over the exterior surface to control movement of the plasma within the container and to clean one or more areas of the container with the plasma according to the motion.2. The method of claim 1 , wherein the magnet is an electro-magnet claim 1 , and applying the magnet to the exterior surface of the container comprises applying the magnet such that a magnetic field is perpendicular to the exterior surface of the container.3. The method of claim 1 , wherein generating plasma flowing within the container comprises:applying a voltage to an electrode included within the container;applying ground to the container to create a voltage differential between the electrode and the container; anddispersing, through a gas inlet in the container, a gas into the container resulting in the gas ionizing and becoming the plasma.4. The method of claim ...

Подробнее
23-04-2015 дата публикации

METHOD OF CLEANING PHOTOMASK

Номер: US20150107617A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A method of cleaning a photomask, the method including placing the photomask in a chamber, the photomask including a mask substrate and a reflective layer, a capping layer, and a light absorbing layer pattern stacked on the mask substrate, and wherein the photomask has contaminants thereon; supplying a gas into the chamber such that the gas does not react with the capping layer or reacts with the capping layer to form an anti-oxidant layer; ionizing the gas by irradiating an inside of the chamber with an energy beam such that the contaminants react with the ionized gas to be converted to a by-product; and removing the by-product from the chamber. 1. A method of cleaning a photomask , the method comprising:placing the photomask in a chamber, the photomask including a mask substrate and a reflective layer, a capping layer, and a light absorbing layer pattern stacked on the mask substrate, and wherein the photomask has contaminants thereon;supplying a gas into the chamber such that the gas does not react with the capping layer or reacts with the capping layer to form an anti-oxidant layer;ionizing the gas by irradiating an inside of the chamber with an energy beam such that the contaminants react with the ionizing gas be converted to a by-product; andremoving the by-product from the chamber.2. The method as claimed in claim 1 , wherein the gas contains nitrogen and oxygen.3. The method as claimed in claim 2 , wherein the gas includes NO claim 2 , NO claim 2 , NO claim 2 , NO claim 2 , NO claim 2 , NO claim 2 , NO claim 2 , NO claim 2 , or N(NO).4. The method as claimed in claim 1 , wherein the energy beam includes an electron beam claim 1 , an ion beam claim 1 , or a laser beam.5. The method as claimed in claim 1 , wherein the energy beam is locally irradiated on the photomask.6. The method as claimed in claim 1 , wherein the energy beam is widely irradiated on the photomask.7. The method as claimed in claim 1 , wherein the energy beam is irradiated into the chamber ...

Подробнее
23-04-2015 дата публикации

OXYGEN CONTAINING PLASMA CLEANING TO REMOVE CONTAMINATION FROM ELECTRONIC DEVICE COMPONENTS

Номер: US20150107618A1
Принадлежит: Applied Materials, Inc.

A gas comprising oxygen is supplied to a plasma source. A plasma jet comprising oxygen plasma particles is generated from the gas. A contaminant is removed from the component using the oxygen plasma particles. 1. A method to clean a component of an electronic device manufacturing equipment comprising:supplying a gas comprising oxygen to a plasma source;generating a plasma jet comprising oxygen plasma particles from the gas; andremoving a contaminant from the component using the oxygen plasma particles.2. The method of claim 1 , wherein removing comprises transforming the contaminant into a volatile product using the oxygen plasma particles.3. The method of claim 1 , wherein the gas is an air claim 1 , a pure oxygen claim 1 , a mixture of oxygen with reactive gases claim 1 , a mixture of oxygen with non-reactive gases claim 1 , or any combination thereof.4. The method of claim 1 , wherein the component is an electrostatic chuck claim 1 , a nozzle claim 1 , a showerhead claim 1 , a chamber liner claim 1 , a cathode sleeve claim 1 , a sleeve liner door claim 1 , a cathode base claim 1 , a process ring claim 1 , or any other component of a processing chamber for the electronic device manufacturing.6. The method of claim 1 , wherein the contaminant comprises at least one of a carbon and an organic material.7. The method of claim 1 , wherein the contaminant is removed under one of a vacuum and an atmospheric pressure.8. An apparatus to clean a component of an electronic device manufacturing equipment comprising:a fixture to hold the component;a plasma source to receive a gas comprising oxygen and to generate a plasma jet comprising oxygen plasma particles from the gas; anda processor coupled to the plasma source, wherein the processor has a first configuration to control the plasma source to remove a contaminant from the component using the oxygen plasma particles.9. The apparatus of claim 8 , wherein the oxygen particles in the plasma jet are used to transform the ...

Подробнее
21-04-2016 дата публикации

CLEARING OF APERTURES BY PLASMA JETS

Номер: US20160107203A1
Автор: Nikic Dejan
Принадлежит:

Clearing of apertures by plasma jets is described herein. One disclosed method includes applying a pulsed voltage to electrodes proximate an aperture of a surface to substantially clear the aperture of debris. 1. A method comprising:applying a pulsed voltage to electrodes proximate an aperture of a surface to substantially clear the aperture of debris.2. The method as defined in claim 1 , wherein the aperture is part of a laminar flow control system or an active flow control system of an aircraft.3. The method as defined in claim 1 , further comprising detecting a presence of debris within or proximate the aperture.4. The method as defined in claim 1 , further comprising determining a parameter of the pulsed voltage.5. The method as defined in claim 1 , wherein an electrode of the electrodes is etched onto an inner or outer face of the surface.6. The method as defined in claim 1 , wherein the aperture is on an external surface of an aircraft and the pulsed voltage is applied during flight of the aircraft.7. The method as defined in claim 1 , wherein the electrodes are positioned on an inner face of the surface.8. The method as defined in claim 1 , wherein the surface is an aerodynamic surface of an active flow control system or a laminar flow control system of an aircraft.9. The method as defined in claim 1 , wherein the surface is an external surface of an aircraft and pulsed voltage is applied during manufacturing or assembly of the aircraft.10. The method as defined in claim 1 , wherein the surface is an external surface of an aircraft claim 1 , and further comprising varying a frequency or an amplitude of the pulsed voltage based on one or more of a type of debris present claim 1 , external conditions of the aircraft claim 1 , or an amount of debris present.11. An apparatus comprising:electrodes proximate an aperture of a surface; anda voltage source to supply a pulsed voltage to the electrodes to generate a plasma jet to substantially clear the aperture.12. The ...

Подробнее
26-03-2020 дата публикации

ATOMIC OXYGEN AND OZONE DEVICE FOR CLEANING AND SURFACE TREATMENT

Номер: US20200098556A1
Автор: DAGAN Eli, Wu Banqiu
Принадлежит:

Embodiments described herein relate to oxygen cleaning chambers and a method of atomic oxygen cleaning a substrate. The oxygen cleaning chambers and method of atomic oxygen cleaning a substrate provide for generation of atomic oxygen in situ to oxidize materials on the surfaces of the substrate. The atomic oxygen cleaning chamber includes a chamber body, a chamber lid, a processing volume defined by the chamber body and the chamber lid, an UV radiation generator including one or more UV radiation sources, a pedestal disposed in the processing volume, and a gas distribution assembly. The pedestal has a processing position corresponding to a distance from the UV radiation generator to an upper surface of the pedestal. The gas distribution assembly is configured to be connected to an ozone generator to distribute ozone over the upper surface of the pedestal. 1. An atomic oxygen cleaning chamber , comprising:a chamber body;a chamber lid;a processing volume defined by the chamber body and the chamber lid;an ultraviolet (UV) radiation generator including one or more UV radiation sources;a pedestal disposed in the processing volume, the pedestal having a processing position corresponding to a distance from the UV radiation generator to an upper surface of the pedestal; anda gas distribution assembly operable to distribute ozone over the upper surface of the pedestal.2. The chamber of claim 1 , wherein the gas distribution assembly comprises:a plenum formed in the chamber lid, the plenum configured to be connected to an ozone generator; anda showerhead coupled to the plenum, the showerhead having a plurality of channels to distribute ozone over the upper surface of the pedestal.3. The chamber of one of claims 1 , wherein the gas distribution assembly comprises: a manifold, the manifold configured to be connected to an ozone generator; and', 'a flow guide, the flow guide having a plurality of channels to distribute ozone over the upper surface of the pedestal., 'a gas inlet ...

Подробнее
26-04-2018 дата публикации

Apparatus And Method For Differential In Situ Cleaning

Номер: US20180111171A1
Принадлежит:

A workpiece processing apparatus allowing in situ cleaning of metal deposited formed on the extraction plate and in the plasma chamber is disclosed. The apparatus includes an extraction plate having an extraction aperture through which the sputtering material is passed. The apparatus also includes a sealed volume disposed within the plasma chamber which is in communication with a cleaning aperture on the extraction plate. The sealed volume is in communication with a cleaning gas, which is excited by the plasma in the plasma chamber, and can be used to clean the exterior surface of the extraction plate. The feed gas used in the plasma chamber can be selected from a sputtering species and the cleaning gas. Since the volume in the sealed volume is separated from the rest of the plasma chamber, the cleaning of the extraction plate and the cleaning of the plasma chamber may be performed independently. 1. A workpiece processing apparatus , comprising:a plasma generator;an extraction plate having an extraction aperture and a cleaning aperture;a plasma chamber in communication with the extraction aperture;a sealed volume disposed within the plasma chamber and in communication with the cleaning aperture; anda tube mass flow controller in communication with the sealed volume to control a flow of a cleaning gas into the sealed volume.2. The workpiece processing apparatus of claim 1 , further comprising a chamber mass flow controller to control a flow of the cleaning gas into the plasma chamber.3. The workpiece processing apparatus of claim 2 , wherein the tube mass flow controller and chamber mass flow controller are independently controlled.4. The workpiece processing apparatus of claim 2 , further comprising a controller claim 2 , in communication with the tube mass flow controller and the chamber mass flow controller claim 2 , such that the controller can cause the apparatus to operate in a plurality of different modes.5. The workpiece processing apparatus of claim 4 , ...

Подробнее
27-04-2017 дата публикации

SYSTEM AND METHOD FOR CLEANING AN OBJECT

Номер: US20170113254A1
Принадлежит:

A system () for cleaning an object () includes a roll cleaner () having a rotatable elastomeric roll () with a cylindrical outer surface configured to contact the first surface of the object (), a rotatable adhesive roll () with a cylindrical outer surface contacting a portion of the outer surface of the elastomeric roll (), and a support (). The object () passes between the elastomeric roll () and the support (), which contacts a second, opposing object surface. An atmospheric plasma cleaner () includes a sealed chamber () and at least one electrode () disposed in the chamber () and receiving a high voltage to generate a plasma applied to the first object surface. At least a portion of an inlet of the plasma cleaner () is formed by the elastomeric roll () and the support (), and the contacting of the first and second surfaces of the object () by the elastomeric roll () and the support () seals the inlet of the plasma cleaner (). 1. A system for cleaning an object , the system comprising: (i) at least one elastomeric roll rotatably mounted to the roll cleaner and having a generally cylindrical outer surface configured to contact the first surface of the object for removing the inorganic contaminants,', '(ii) at least one adhesive roll rotatably mounted to the roll cleaner and having a generally cylindrical outer surface in contact with a portion of the outer surface of the at least one elastomeric roll, and', '(iii) a first support, the object passing between the at least one elastomeric roll and the first support such that the first support is in contact with a second, opposing surface of the object; and, '(a) a roll cleaner configured to remove inorganic contaminants from a first surface of the object, the roll cleaner including (i) a sealed chamber having an inlet and an outlet for respectively receiving and discharging the object, and', '(ii) at least one electrode disposed in the chamber and receiving a high voltage to generate a plasma applied to the first ...

Подробнее
09-06-2022 дата публикации

SEALING MEMBER AND APPARATUS FOR TREATING SUBSTRATE

Номер: US20220178446A1
Принадлежит: SEMES CO., LTD.

A sealing member for use in sealing a chamber for treating a substrate, the sealing member inserted in a groove formed in the chamber, includes a bottom part, a top part opposite the bottom part, an inner part connecting a first side of the bottom part to the top part, an outer part opposite the inner part and connecting a second side of the bottom part to the top part, and a recessed portion between the top part and the outer part. 1. A sealing member for use in sealing a chamber for treating a substrate , the sealing member inserted in a groove formed in the chamber , and the sealing member comprising:a bottom part;a top part opposite the bottom part;an inner part connecting a first side of the bottom part to the top part;an outer part opposite the inner part and connecting a second side of the bottom part to the top part; anda recessed portion between the top part and the outer part.2. The sealing member of claim 1 ,wherein a first surface of the recessed portion is parallel to a surface of the top part, andwherein a second surface of the recessed portion is parallel to a surface of the outer part.3. The sealing member of claim 2 ,wherein a level of the first surface of the recessed portion from a bottom of the groove is lower than a level of the surface of the top part from the bottom of the groove.4. The sealing member of claim 2 ,wherein the second surface of the recessed portion is closer to a surface of the inner part than the surface of the outer part.5. The sealing member of claim 1 ,wherein a surface of the outer part has an inclined shape with respect to a surface of the bottom part.6. The sealing member of claim 5 ,wherein the outer part contacts a surface of the groove when the sealing member is compressed, andwherein the surface of the outer part is polished to have a surface roughness that is is lower than a surface roughness of at least one of the other parts of the sealing member.7. The sealing member of claim 6 ,wherein the surface of the outer ...

Подробнее
07-05-2015 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD THEREFOR

Номер: US20150122776A1
Автор: Okita Shogo
Принадлежит:

A dry etching apparatus plasma processes a wafer held by a carrier having a frame and an holding sheet. A electrode unit of a stage includes an electrostatic chuck. Adjacent to an upper surface of the electrostatic chuck, a first electrostatic attraction electrode and a second electrostatic attraction electrode are incorporated. The first electrostatic attraction electrode is of unipolar type and electrostatically attracts the wafer via the holding sheet. The second electrostatic electrode is of bipolar type and electrostatically attracts the frame via the holding sheet as well as a holding sheet between the wafer and the frame. Both of plasma processing performance and electrostatic attraction performance are improved. 1. A plasma processing apparatus for plasma processing a substrate held by a carrier having a frame and a holding sheet , comprising:a chamber having a pressure reducible internal space;a process gas supply section configured to supply a process gas into the internal space;a pressure reducing section configured to reduce pressure of the internal space;a plasma generating section configured to generate plasma in the internal space;a stage provided in the chamber and including an electrode unit on which the carrier is placed;a first electrostatic attraction electrode of unipolar type incorporated in a first area of the electrode unit, the first area being an area in which the substrate is placed via the holding sheet; anda second electrostatic attraction electrode of bipolar type which is incorporated in a second area of the electrode unit and to which a direct current voltage is applied, the second area including at least an area in which the frame is placed via the holding sheet and an area in which the holding sheet between the substrate and the frame is placed.2. The plasma processing apparatus according to claim 1 , further comprising a cooling section configured to cool the electrode unit.3. The plasma processing apparatus according to claim 2 , ...

Подробнее
26-04-2018 дата публикации

Photoactive self-cleaning hearing assistance device

Номер: US20180115843A1
Принадлежит: Starkey Laboratories Inc

Photoactive cleaning hearing assistance devices and methods of cleaning hearing assistance devices are described. Photoactive nanoparticles may be disposed on or in the hearing assistance devices. The photoactive nanoparticles provide a localized surface plasmon resonance effect when illuminated with light.

Подробнее
09-06-2022 дата публикации

WAFER FIXING MECHANISM AND WAFER PRE-CLEANING MACHINE USING THE WAFER FIXING MECHANISM

Номер: US20220181190A1
Принадлежит:

A wafer fixing mechanism as disclosed includes a fixing ring, a plurality of fixing members and a plurality of elastic units, wherein each fixing member is respectively connected to the fixing ring through a connecting shaft. The fixing ring includes a containing area for containing a wafer, and the wafer in the containing area is fixed by the fixing members. The two ends of the elastic unit are respectively connected to the fixing ring and the fixing member. When the wafer pushes the fixing members, the fixing members will swing relative to the fixing ring to prevent the fixing members from damaging the wafer. In addition, when the fixing member swings relative to the fixing ring, the elastic unit is deformed, so that the restoring force of the elastic unit is applied to the wafer via the fixing member, thereby fixing the wafer on a support pedestal. 1. A wafer pre-cleaning machine , comprising:a chamber comprising a containing room;at least one gas-extraction end fluidly connected to the containing room of the chamber, for extracting a gas within the containing room;at least one gas inlet end fluidly connected to the containing room of the chamber, for transferring a cleaning gas to the containing room;at least one coil disposed adjacent to the chamber and electrically connected to an alternative-current power source, wherein the at least one coil is for forming a magnetic field in the containing room to transform the cleaning gas into plasma;a support pedestal positioned in the containing room for supporting at least one wafer, wherein the support pedestal is electrically connected to a bias power source to form a bias on the support pedestal so as to cause the plasma to hit the wafer on the support pedestal, to clean the wafer supported by the support pedestal;at least one fixing ring disposed in the containing room and comprising a containing area, wherein the wafer supported by the support pedestal is in the containing area;a plurality of fixing members, each ...

Подробнее
18-04-2019 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20190115210A1
Принадлежит: Semes Co Ltd

Disclosed are a substrate processing apparatus and a substrate processing method. The substrate processing apparatus includes a chamber having a first housing and a second housing that are combined with each other to form a processing space inside, and a housing actuator that moves the first housing to open or close the processing space. The housing actuator includes a plurality of cylinder units coupled to the first housing, a fluid supply unit that supplies a fluid for operating the plurality of cylinder units, and a deviation correction unit that corrects an operation deviation between the plurality of cylinder units. The deviation correction unit corrects the operation deviation between the plurality of cylinder units coupled to the chamber, thereby minimizing particles that are generated when the chamber is opened/closed.

Подробнее
12-05-2016 дата публикации

Pressure-Sensing Rollers For Lamination Systems

Номер: US20160129483A1
Принадлежит: Apple Inc

An assembly system may be provided for attaching together display layers for an electronic device display. The system may include substrate cleaning equipment that includes one or more pressure-sensing cleaning rollers ( 124 ) for removing debris from the display layers during assembly operations. A pressure-sensing cleaning roller ( 124 ) may include a cylindrical roller member having a tacky surface and one or more pressure sensors ( 136 ) configured to sense pressures that are applied to the display layers during cleaning operations. The position and orientation of the cleaning rollers ( 124 ) may be adjusted before or during cleaning operations based on pressure data gathered using the pressure sensors. The pressure sensors ( 136 ) may be attached to the tacky surface of the cylindrical roller member, attached to an edge of the roller member, embedded within the roller member, or attached to other equipment that moves with the roller member.

Подробнее
27-05-2021 дата публикации

DUAL PLASMA PRE-CLEAN FOR SELECTIVE GAP FILL

Номер: US20210159070A1
Принадлежит: Applied Materials, Inc.

Methods for pre-cleaning substrates having metal and dielectric surfaces are described. A substrate comprising a surface structure with a metal bottom, dielectric sidewalls, and a field of dielectric is exposed to a dual plasma treatment in a processing chamber to remove chemical residual and/or impurities from the metal bottom, the dielectric sidewalls, and/or the field of the dielectric and/or repair surface defects in the dielectric sidewalls and/or the field of the dielectric. The dual plasma treatment comprises a direct plasma and a remote plasma. 1. A method of pre-cleaning comprising:exposing a substrate comprising a surface structure with a metal bottom, dielectric sidewalls, and a field of dielectric to a dual plasma treatment in a processing chamber to remove chemical residual and/or impurities from the metal bottom, the dielectric sidewalls, and/or the field of the dielectric and/or repair surface defects in the dielectric sidewalls and/or the field of the dielectric;wherein the dual plasma treatment comprises a direct plasma and a remote plasma.2. The method of claim 1 , wherein the direct plasma comprises a hydrogen plasma claim 1 , and the remote plasma comprises an oxygen plasma.3. The method of claim 2 , wherein the dual plasma treatment further comprises one or more of the following: a direct oxygen plasma claim 2 , a remote oxygen plasma claim 2 , a direct hydrogen plasma claim 2 , and a remote hydrogen plasma.4. The method of claim 1 , wherein the direct plasma comprises an oxygen plasma claim 1 , and the remote plasma comprises a hydrogen plasma.5. The method of claim 4 , wherein the dual plasma treatment further comprises one or more of the following: a direct oxygen plasma claim 4 , a remote oxygen plasma claim 4 , a direct hydrogen plasma claim 4 , and a remote hydrogen plasma.6. The method of claim 1 , wherein the direct plasma comprises an oxygen plasma claim 1 , and the remote plasma comprises an oxygen plasma.7. The method of claim 6 , ...

Подробнее