Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 12866. Отображено 200.
14-08-2019 дата публикации

Verfahren zur Belichtung eines nicht ebenen Objekts, optische Komponente und Belichtungssystem

Номер: DE102018102943A1
Автор: PAGAN ROBIN, Pagan, Robin
Принадлежит:

Die Erfindung betrifft ein Verfahren zur Belichtung eines nicht ebenen Objektes (14), wobei auf dem zu belichtenden, nicht ebenen Objekt (14) eine optische Komponente (41) angeordnet wird, deren Konturen (43, 45) derart ausgebildet sind, dass eine konstante Lichtpfadlänge zwischen einem Lichtausgang einer Belichtungsquelle (20) oder eines Bearbeitungskopfes (16) zur Belichtung des nicht ebenen Objekts (14) und jedem Punkt auf einer Oberfläche (33) des zu belichtenden nicht ebenen Objektes (14) während eines einzigen Belichtungsvorganges erzeugt wird.

Подробнее
03-09-2008 дата публикации

Sub-micron 3d holographic lithpgraphy

Номер: GB0000813907D0
Автор:
Принадлежит:

Подробнее
15-04-2009 дата публикации

LITHOGRAPHIC PROCEDURE FOR THE WIRING OF ONE A SIDEUPPERFLAT SUBSTRATE

Номер: AT0000426837T
Принадлежит:

Подробнее
19-04-2004 дата публикации

Lithographic method for wiring a side surface of a substrate

Номер: AU2003263530A8
Принадлежит:

Подробнее
22-03-1995 дата публикации

Method and apparatus for producing integrated circuit devices

Номер: AU0007537694A
Принадлежит:

Подробнее
19-10-1991 дата публикации

METHOD AND APPARATUS FOR ENHANCING THE DEPTH OF FOCUS IN PROJECTION LITHOGRAPHY

Номер: CA0002037705A1
Принадлежит:

METHOD AND APPARATUS FOR ENHANCING THE DEPTH OF FOCUS IN PROJECTION LITHOGRAPHY The invention provides a technique which enables projection lithography to extend to the sub-half micron range by compensating the Depth of Focus (DOF) budget lost in substrate topography with a projection of a non-planar image which is conformal to the substrate. The method of achieving a non-planar image field includes the formation of a mask reticle which is a replica of the surface of the semiconductor to be exposed, thus, eliminating substrate topography from the optical DOF budget.

Подробнее
06-03-1992 дата публикации

A method for carrying out a fine drawing on a semiconductor comprising a unevenness

Номер: FR0002666449A1
Автор: HAN WOO-SUNG, WOO-SUNG HAN
Принадлежит:

Procédé pour réaliser un dessin précis sur un élément semiconducteur comportant une dénivellation (30). Le procédé comprend les étapes de revêtement d'un matériau photo-sensible sur la surface du semiconducteur, pré-exposition à un rayonnement ultra-violet du matériau photo-sensible (40) se trouvant dans la zone non dénivelée qui est constituée par la surface du semiconducteur autre que la zone en dénivellation, à travers un premier masque photographique, exposition de la totalité du matériau photo-sensible à travers un second masque photographique avec un dessin donné, à un rayonnement ultra-violet, après enlèvement du premier masque photographique, et développement et enlèvement du seul matériau photo-sensible qui a été exposé au rayonnement ultra-violet dans les étapes de pré-exposition et d'exposition.

Подробнее
28-07-1995 дата публикации

METHOD FOR FORMING PATTERN

Номер: KR19950008384B1
Автор: KIM, HAK, HAN, WOO-SUNG
Принадлежит:

The method comprises: forming a photoresist layer on a substrate having a step; exposing using a first mask; exposing hte thicker step portion using a second mask; and developing. The second mask pattern has a dimension adjusted according to the thickness of the photoresist and depth of the step; it has a size 0.5-400 micron along the step portion. The exposure energy of the second step is 5-20% of that of the first step. The method enhances an pattern profile at the step portion, and simplifies the manufacturing process Copyright 1997 KIPO ...

Подробнее
22-06-2011 дата публикации

CYLINDRICAL MAGNETIC LEVITATION STAGE AND A LITHOGRAPHY, CAPABLE OF MAINTAINING THE GAP BETWEEN A LIGHT SOURCE AND A CYLINDRICAL SURFACE VACUUM PARTLY

Номер: KR1020110067790A
Принадлежит:

PURPOSE: A cylindrical magnetic levitation stage and a lithography are provided to efficiency process a pattern of a nano size on the surface of a cylinder by actively controlling the position of the device with error tolerance of several nano meters. CONSTITUTION: In a cylindrical magnetic levitation stage and a lithography, a rotation cylindrical driving unit and a liner transfer cylinder driving unit(13) support a cylindrical mold from both sides and is rotated or linearly moved through a magnetic levitation force and magnetic transfer force. a rotation cylindrical fixing unit and a liner transfer cylinder fixing unit are arranged under the rotation cylindrical driving unit and a liner transfer cylinder driving unit and supports the cylindrical driving unit with contactless. A magnet array and an electromagnetic array are mutually reacted to generate the magnetic levitation force and magnetic transfer force. COPYRIGHT KIPO 2011 ...

Подробнее
11-12-2012 дата публикации

Номер: TWI379169B
Принадлежит: NIKON CORP, NIKON CORPORATION

Подробнее
16-10-2001 дата публикации

Microlithography system for high-resolution large-area patterning on curved surfaces

Номер: US0006304316B1
Принадлежит: Anvik Corporation, ANVIK CORP, ANVIK CORPORATION

A projection microlithography system that can pattern very large, curved substrates at very high exposure speeds and any desired image resolution, the substrates being permitted to have arbitrary curvature in two dimensions. The substrate is held rigidly on a scanning stage, on which is also mounted a mask containing the pattern to be formed on the substrate. The mask is imaged on the substrate by a projection subsystem which is stationary and situated above the scanning stage. The mask is illuminated with a polygonal illumination beam which causes a patterned region of similar shape to be imaged on the substrate. Different regions of the substrate are moved in a direction parallel to the direction of the optical axis at the substrate (z-axis) by suitable amounts to keep the segment being exposed within the depth of focus of the imaging lens. The stage is programmed to scan the mask and substrate simultaneously across the polygonal regions so as to pattern the whole mask. Suitable overlap ...

Подробнее
11-09-2018 дата публикации

Maskless lithography for web based processing

Номер: US0010073350B2
Принадлежит: APPLIED MATERIALS, INC.

The present disclosure generally relates to a method and apparatus for processing a web-based substrate. As the substrate travels between rollers, the substrate may be stretched and thus distorted. Once the substrate reaches the roller, the substrate distortion is fixed. By adjusting the processing parameters, the distorted substrate is processed without correcting the distortion.

Подробнее
29-07-2004 дата публикации

Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server

Номер: US20040146789A1
Автор: Masamitsu Itoh
Принадлежит: Kabushiki Kaisha Toshiba

There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate.

Подробнее
11-09-2014 дата публикации

CYLINDRICAL RETICLE SYSTEM, EXPOSURE APPARATUS AND EXPOSURE METHOD

Номер: US2014253893A1
Принадлежит:

An exposure apparatus is provided for performing a unidirectional scan-exposure. The exposure apparatus includes a base and a plurality of wafer stages on the base for loading/unloading wafers and successively moving from a first position to a second position of the base cyclically. The exposure apparatus also includes alignment detection units above the first position of the base for detecting alignment marks on the wafer and aligning the wafers and a cylindrical reticle system above the second position of the base. Further, the exposure apparatus includes an optical projection unit between the cylindrical reticle system and the base for projecting light onto the wafers for an exposure. Further, the exposure apparatus also includes an illuminator box and a main control unit.

Подробнее
12-03-2008 дата публикации

Apparatus for producing customized contact lenses

Номер: EP0001897679A1
Принадлежит:

A customizing equipment for individualized contact lenses comprises an uniform illumination system, a gray image generation system, a projection optical system, a stage system and an alignment system, among which the uniform illumination system generates uniform parallel illuminative light, which generates gray images through the gray image generator controlled by a computer after going through the gray image generation system according to detection results of aberration of human eyes, and images on the image plane of given radius of curvature with photoresist on the samples' surface, which is fixed on the stage system and adjusted to the correct position through the alignment system, after the gray images are zoomed and the curvature of the image field is corrected through the projection optical system, so that the photoresist on the samples' surface will be exposed according to the gray levels of the gray images, after which the exposed samples are developed and etched to obtain the device ...

Подробнее
30-08-1986 дата публикации

EXPOSURE DEVICE

Номер: JP0061196532A
Автор: TSUKAMOTO IZUMI
Принадлежит:

PURPOSE: To enable to enter all picture images to be exposed into specified focal depth by a method wherein the surface shape of wafer is preliminarily detected before exposure to autofocus comforming to the surface shape. CONSTITUTION: A wafer chuck 7 with a wafer 6 preliminarily attracted thereto is arranged below a laser interferometer 1. The interferostripes are produced by an autofocus detecting mechanisms 3a and a laser interferometer 1 while the reference plane 33 of surface 30 is decided so that the surface 30 may enter into the specified focal depth of a projection optical system 9 in terms of the calculated results of wafer surface 30 shape by an inteferostripe processor 4 and the wafer chuck focus reference plane 31 as well as the measured results of surface shape of wafer 6. Finally the excellent resolving power of projection optical system 9 may be displayed by means of focussing the reference plane on the specified focal plane of projection optical system 9 by controlling ...

Подробнее
13-05-2004 дата публикации

LITHOGRAPHIC METHOD FOR FORMING MOLD INSERTS AND MOLDS

Номер: CA0002502239A1
Принадлежит:

The present invention provides a lithographic method for manufacturing molds, and mold inserts, for use in producing ophthalmic lenses. The invention may be used in a method for the delivery of customized ophthalmic lenses to a lens wearer.

Подробнее
08-02-2018 дата публикации

METHOD AND DEVICE FOR LITHOGRAPHICALLY PRODUCING A TARGET STRUCTURE ON A NON-PLANAR INITIAL STRUCTURE

Номер: CA0003033331A1
Принадлежит: BORDEN LADNER GERVAIS LLP

The invention relates to a method and to a device for lithographically producing a target structure (030) on a non-planar initial structure (010) by irradiating a photoresist (100) with at least one lithography beam (060). The method comprises the following steps: a) sensing the topography (020) of a surface of a non-planar initial structure (010); b) using at least one test parameter for the lithography beam (060) and determining the interaction of the lithography beam (060) with the initial structure (010) and the change caused thereby in the lithography beam (060) and/or in the target structure (030) to be produced; c) determining at least one correction parameter for the lithography beam (060) in such a way that the change in the lithography beam (060) and/or in the target structure (030) to be produced caused by the interaction of the lithography beam (060) with the initial structure (010) is reduced; and d) producing the desire target structure (030) on the initial structure (010) ...

Подробнее
07-02-2007 дата публикации

Photolithography with multiple level substrate

Номер: EP0001288721B1
Принадлежит: X-FAB Semiconductor Foundries AG

Подробнее
07-04-2011 дата публикации

マイクロデバイス製造

Номер: JP2011511432A
Принадлежит:

... 特定の実施形態に従った、エネルギー源と、少なくとも1つの共役マスクと、拡大デバイスと、加工材料とを備える、システムであって、少なくとも1つの共役マスクは、エネルギー源と拡大デバイスとの間に配置され、加工材料は、拡大デバイスに動作可能に配置される、システム。他の実施形態に従った、上記のようなシステムを採用する方法および組成物。上記エネルギー源は、レーザであり得る。上記少なくとも1つの共役マスクは、静的マスクであり得る。上記少なくとも1つの共役マスクは、動的マスクであり得る。上記少なくとも1つの共役マスクは、反射性であるか、または透過性であるか、あるいは両方の性質であり得る。 ...

Подробнее
08-06-2016 дата публикации

Maskless lithography for web based processing

Номер: CN0105659166A
Автор: BENCHER CHRISTOPHER
Принадлежит:

Подробнее
02-02-2006 дата публикации

DEVICE MANUFACTURING METHOD, DEVICE MANUFACTURED THEREBY AND A MASK FOR USE IN THE METHOD

Номер: KR0100548713B1
Автор:
Принадлежит:

Подробнее
29-04-2019 дата публикации

Номер: KR1020190044126A
Автор:
Принадлежит:

Подробнее
24-10-1995 дата публикации

Optical system for the projection of patterned light onto the surfaces of three dimensional objects

Номер: US0005461455A1

An image projection system for all surfaces of a three dimensional object such as a polyhedron in which direct and angle reflected image patterns are projected from superpositioned non-overlapping mask patterns mounted on different levels where the separation of the levels is related to the size of the object. The apparatus and process permits simultaneous photolithigraphic printing of conductors on the top and all sides of a cube shaped stack of integrated circuit chips.

Подробнее
29-11-2018 дата публикации

FILM MASK, METHOD FOR MANUFACTURING SAME, AND METHOD FOR FORMING PATTERN USING FILM MASK AND PATTERN FORMED THEREBY

Номер: US20180341176A1
Принадлежит:

The present application relates to a film mask comprising: a transparent substrate; a darkened light-shielding pattern layer provided on the transparent substrate; and groove portions provided in a region where the darkened light-shielding pattern layer is not provided, a method for manufacturing the same, a method for forming a pattern by using the same, and a pattern manufactured by using the same.

Подробнее
25-05-2004 дата публикации

Lithographic apparatus with improved exposure area focus, device manufacturing method, and device manufactured thereby

Номер: US0006741331B2

In a lithographic apparatus the shape of the focal plane is adjusted using available manipulators in the projection lens system so that it is in closer conformity to the shape of the wafer surface in the exposure area. The control of the focal plane shape can be integrated with the leveling control which determines the height and tilt of the wafer surface.

Подробнее
17-03-2011 дата публикации

リソグラフィ装置及び方法

Номер: JP2011508960A
Принадлежит:

... 【課題】 【解決手段】フレキシブル基板の表面のトポグラフィを示す情報を得る方法であって、フレキシブル基板の表面に放射ビームを誘導するステップと、放射ビームが基板の表面に反射した後の放射ビームの強度分布の変化を検出してフレキシブル基板の表面のトポグラフィを示す情報を得るステップとを含む方法。 【選択図】図3 ...

Подробнее
31-03-1994 дата публикации

Exposure method for optical projection lithography used in integrated circuit mfr. - applying imaged structure to non-planar surface of exposure mask to increase image sharpness

Номер: DE0004232844A1
Принадлежит:

A mask (6) carrying a required structure (8) is imaged onto a non-planar surface (2) via an exposure beam (9). An imaging system (3) provides an image of the structure in a focus plane. The structure is applied to a non-planar surface of the mask, so that a non-planar focus plane, corresponding to the non-planar photo-resist surface is obtained. Pref. the imaging system has a magnification of between and 1/5 and uses two lenses (4,5) with a common focus plane containing a light stop (11). ADVANTAGE - Ensures good image resolution for uneven photo resist surface.

Подробнее
04-03-1992 дата публикации

Method of forming a fine pattern on a semiconductor having a step therein

Номер: GB0002247535A
Принадлежит:

A method of forming an accurate pattern on a semiconductor element that has a step (30) includes the steps of coating a photo-sensitive material on the surface of the semiconductor, exposing to ultra-violet light, the photo-sensitive material in the non-step area through a first photo mask (10) to form exposed area (40); exposing the entire photo-sensitive material, through a second photo mask with a desired pattern (80) to ultra-violet light after removing the first photo mask, and developing to remove photo. sensitive material (90) that has been exposed to the ultra-violet light to leave unexposed photosensitive material (81, 80) in the desired pattern. ...

Подробнее
15-02-2007 дата публикации

OPTICAL LITHOGRAPHY WITH MULTI-LEVEL SUBSTRATE

Номер: AT0000353450T
Принадлежит:

Подробнее
04-03-2009 дата публикации

System and method for improvement of alignment and overlay for microlithography

Номер: CN0101379435A
Принадлежит:

The present invention provides a method for determining the forces to be applied to a substrate in order to deform the same and correct for overlay misalignment.

Подробнее
12-10-2011 дата публикации

An optical imaging writer system

Номер: CN102216849A
Принадлежит:

System and method for applying mask data patterns to substrate in a lithographs manufacturing process are disclosed. In one embodiment, the imaging system includes a plurality of spatial light modulator (SLM ) imaging units, where each of the plurality of SLM imaging units includes one or more illumination sources, one or more alignment sources, one or more projection lenses, and a plurality of micro mirrors configured to project light from the one or more illumination sources to the corresponding one oi more projection lens. The imaging system further includes a controllei configured to control the plurality of SLM imaging units, where the controller tunes each of the SLM imaging unit individually in writing a mask data to a substrate in a lithography manufacturing process.

Подробнее
09-07-2019 дата публикации

Exposure device

Номер: CN0107255911B
Автор:
Принадлежит:

Подробнее
23-08-2010 дата публикации

Cylindrical Magnetic Levitation Stage

Номер: KR0100977466B1
Автор:
Принадлежит:

Подробнее
08-04-2015 дата публикации

Номер: KR1020150038355A
Автор:
Принадлежит:

Подробнее
12-02-2010 дата публикации

EXPOSURE APPARATUS, EXPOSURE METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: KR1020100016315A
Принадлежит:

An exposure apparatus for exposing a bright-dark pattern on a substrate (W) via a projection optical system (PL) includes a position detection system (10) which detects a plurality of predetermined positions in a unit exposure field (10f) of the substrate (10aa-10ea). A plurality of reference detection positions (W) fall within a range substantially equal to the unit exposure field (10f). A deformation calculation unit (11) calculates a state of deformation in the unit exposure field (10f) based on the detection result of the position detection system (10). A shape modification unit (12) modifies a shape of the bright-dark pattern to be exposed on the substrate (W) based on the deformation state calculated by the deformation calculation unit (11). COPYRIGHT KIPO & WIPO 2010 ...

Подробнее
28-04-2005 дата публикации

LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: SG0000110196A1
Автор:
Принадлежит:

Подробнее
21-10-2016 дата публикации

EXPOSURE APPARATUS

Номер: TWI554842B
Принадлежит: LG CHEMICAL LTD, LG CHEM, LTD.

Подробнее
20-01-2005 дата публикации

A METHOD OF MANUFACTURING A MOULD FOR PRODUCING AN OPTICAL SURFACE, A METHOD OF PRODUCING A CONTACT LENS AND A DEVICE FOR USE WITH THESE METHODS

Номер: WO2005005121A3
Принадлежит:

A method of manufacturing a mould for producing a customized optical surface, whereby a mould (1, 2) having a base shape is modified in order to obtain the required shape of the mould. The modificaiton is carried out by means of a lithographic process comprising coating the mould surface with a photoresist layer (16), exposing the layer to a predetermined pattern of exposure radiation (9) and developing the exposed layer whereby portions of the layer are removed to obtain the required mould shape (22). This method may be used for producing small quantities of optical surfaces, such as for individual contact lenses.

Подробнее
20-01-2005 дата публикации

A METHOD OF MANUFACTURING A MOULD FOR PRODUCING AN OPTICAL SURFACE, A METHOD OF PRODUCING A CONTACT LENS AND A DEVICE FOR USE WITH THESE METHODS

Номер: WO2005005121A2
Принадлежит:

A method of manufacturing a mould for producing a customized optical surface, whereby a mould (1, 2) having a base shape is modified in order to obtain the required shape of the mould. The modificaiton is carried out by means of a lithographic process comprising coating the mould surface with a photoresist layer (16), exposing the layer to a predetermined pattern of exposure radiation (9) and developing the exposed layer whereby portions of the layer are removed to obtain the required mould shape (22). This method may be used for producing small quantities of optical surfaces, such as for individual contact lenses.

Подробнее
20-10-2011 дата публикации

EXPOSURE APPARATUS, SUBSTRATE PROCESSING APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: WO2011129369A1
Принадлежит:

Disclosed is an exposure apparatus that transfers a pattern to a substrate, while rotating the pattern in the circumferential direction of a predetermined cylindrical surface, said pattern being provided along the cylindrical surface. The exposure apparatus is provided with: a first projection optical system, which projects an image of a first partial pattern to a first projection region, said first partial pattern being a pattern part disposed in the first region of the cylindrical surface; a second projection optical system, which projects an image of a second partial pattern to a second projection region different from the first projection region, said second partial pattern being a pattern part disposed in a second region different from the first region; and a guide apparatus, which guides the substrate to the first projection region and the second projection region in synchronization with the rotation of the pattern in the circumferential direction.

Подробнее
13-12-2007 дата публикации

METHOD FOR OBTAINING FORCE COMBINATIONS FOR TEMPLATE DEFORMATION USING NULLSPACE AND METHODS OPTIMIZATION TECHNIQUES

Номер: US20070287081A1

The present invention is directed towards a method for determining deformation parameters that a patterned device would undergo to minimize dimensional variations between a recorded pattern thereon and a reference pattern, the method including, inter alia, comparing spatial variation between features of the recorded pattern with respect to corresponding features of the reference pattern; and determining deformation forces to apply to the patterned device to attenuate the dimensional variations, with the forces having predetermined constraints, wherein a summation of a magnitude of the forces is substantially zero and a summation of moment of the forces is substantially zero.

Подробнее
22-07-2003 дата публикации

Lithographic apparatus, device manufacturing method, and device manufactured thereby

Номер: US0006597434B2

In a lithographic projection apparatus, a reflective-type mask is attached to a compliant membrane on a mask table. The backside of the membrane is in turn attached to a plurality of actuators which are operable to deform the membrane. A mask level sensor can be used to detect the level of the mask and the actuators operate to keep the mask at a constant level. Additionally, the actuators may also serve to keep the mask flat and in the correct planar orientation.

Подробнее
25-03-2003 дата публикации

Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server

Номер: US0006537844B1

There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate.

Подробнее
13-02-2020 дата публикации

FLEXIBLE MASK MODULATION FOR CONTROLLING ATMOSPHERE BETWEEN MASK AND SUBSTRATE AND METHODS OF USING THE SAME

Номер: US20200047379A1
Принадлежит:

An apparatus may include a substrate holder configured to hold a substrate. The substrate holder may include a first chucking region having a first area and an adjacent region extending from the chucking region. The apparatus may also include a superstrate holder configured to hold a superstrate. The superstrate holder may include a second chucking region having a second area. The second area may be larger than the first area and the superstrate holder faces the substrate holder forming a first gap between the adjacent region surface and the superstrate and a second gap between the substrate and the superstrate. The apparatus may also include a gas supply system between the first gap and the second gap. The superstrate holder may alter a shape of the held superstrate to decrease the first gap and increase the second gap.

Подробнее
16-01-2020 дата публикации

DYNAMIC IMAGING SYSTEM

Номер: US20200019065A1
Принадлежит:

Embodiments described provide dynamic imaging systems that compensates for pattern defects resulting from distortion caused by warpage of the substrate. The methods and apparatus described are useful to create compensated exposure patterns. The dynamic imaging system includes an inspection system configured to provide 3D profile measurements and die shift measurements of the first substrate to the interface configured to provide compensated pattern data to the digital lithography system configured to receive the compensated pattern data from the interface and expose the photoresist with a compensated pattern.

Подробнее
14-08-2002 дата публикации

Lithographic apparatus and device manufacturing method

Номер: EP0001231515A1
Принадлежит:

In a lithographic apparatus the shape of the focal plane (11,12,13) is adjusted using available manipulators (22,24,26) in the projection lens system (PL) so that it is in closer conformity to the shape of the wafer surface (WS) in the exposure area. The control of the focal plane shape can be integrated with the leveling control which determines the height and tilt of the wafer surface.

Подробнее
03-12-2008 дата публикации

Номер: JP0004191923B2
Автор:
Принадлежит:

Подробнее
13-08-2014 дата публикации

MASK, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: KR0101422298B1
Автор:
Принадлежит:

Подробнее
16-06-2005 дата публикации

A method of manufacturing a mould for producing an optical surface, a method of producing a contact lens and a device for use with these methods

Номер: TW0200518903A
Принадлежит:

A method of manufacturing a mould for producing a customized optical surface, whereby a mould (1, 2) having a base shape is modified in order to obtain the required shape of the mould. The modification is carried out by means of a lithographic process comprising coating the mould surface with a photoresist layer (16), exposing the layer to a predetermined pattern of exposure radiation (9) and developing the exposed layer whereby portions of the layer are removed to obtain the required mould shape (22). This method may be used for producing small quantities of optical surfaces, such as for individual contact lenses.

Подробнее
13-06-2006 дата публикации

Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server

Номер: US0007060519B2

There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate.

Подробнее
16-03-2021 дата публикации

Dynamic imaging system

Номер: US0010948834B2

Embodiments described provide dynamic imaging systems that compensates for pattern defects resulting from distortion caused by warpage of the substrate. The methods and apparatus described are useful to create compensated exposure patterns. The dynamic imaging system includes an inspection system configured to provide 3D profile measurements and die shift measurements of the first substrate to the interface configured to provide compensated pattern data to the digital lithography system configured to receive the compensated pattern data from the interface and expose the photoresist with a compensated pattern.

Подробнее
15-04-1992 дата публикации

Projection exposure apparatus with a device for compensating aberration of a projection lens

Номер: EP0000480616A2
Принадлежит:

A projection exposure apparatus which images a pattern (26) formed on an original (2) onto a substrate (4) at a predetermined magnification to form a pattern image on the substrate, includes an original support member (25), a substrate support member (5), and a projection lens (3) disposed between these support members for imaging the pattern onto the substrate. In the projection exposure apparatus, a partial deviation of positions of portions of the pattern image from regular positions are obtained in at least one of directions along and perpendicular to a surface of the pattern image, and the partial deviation is eliminated by deforming at least one of the original and the substrate based on the thus obtained result. ...

Подробнее
05-06-2002 дата публикации

METHOD AND SYSTEM FOR SELECTIVE LINEWIDTH OPTIMIZATION DURING A LITHOGRAPHIC PROCESS

Номер: EP0001210652A2
Принадлежит:

Particular types of distortion within a lithographic system may be characterized by linewidth control parameters. Linewidth control parameters of any given line or feature within a printed pattern vary as a result of optical capabilities of the lithography apparatus used, particular characteristics of the reticle, focus setting, light dose fluctuations, etc. The instant invention uses focus offset coefficients to change the focus at points within a slot to compensate for the linewidth control parameter variations introduced by the factors contributing to such variations. Additionally, different focuses can be set dynamically along the scan for a particular slot point. A set, or sets, of focus offset coefficients is generated for a particular lithography apparatus, depending on the number of line width control parameters for which correction is desired.

Подробнее
15-06-1994 дата публикации

Three dimensional imaging system

Номер: EP0000601621A1
Принадлежит:

The photolithography tool (10) of the present invention in its simplest embodiment includes an afocal lens system (14) and a three-dimensional master or mask (12). The three-dimensional mask is located within the object space of the afocal lens system and is imaged onto a three-dimensional circuit carrier or substrate (18) located within the imaging space of the afocal lens system. By using an afocal lens, with which persons skilled in instrumental optics are familiar, a system can be designed for which the object space is identical to the imaging space for unity magnification. As a result, a mask can be used in which the surface contour and pattern to be imaged is identical to the desired substrate surface and pattern, thereby simplifying mask design. ...

Подробнее
10-09-1992 дата публикации

PIEZOELECTRIC STAGE

Номер: JP0004255244A
Принадлежит:

PURPOSE: To offer a stage incorporated with piezoelectric elements, which can flatten a semiconductor wafer or the like which is attracted by vacuum suction and move slightly the position of a material to be inspected. CONSTITUTION: A multitude of piezoelectric elements 2... are provided integrally with one another in a main body 1 of a piezoelectric stage, filmy electrode parts 5... of the these elements 2 are arranged in a piezoelectric ceramic layer 4 in the direction perpendicular to the thickness direction of the stage and each electrode part 5 is connected to an external power supply via each lead wire 6. COPYRIGHT: (C)1992,JPO&Japio ...

Подробнее
08-05-1991 дата публикации

METHOD OF FORMING A FINE PATTERN ON A SEMICONDUCTOR HAVING A STEP THEREIN

Номер: GB0009105973D0
Автор:
Принадлежит:

Подробнее
15-02-2013 дата публикации

PROCESS OF DETERMINATION OF the DEVELOPMENT AND the AMOUNT Of OPTICAL EQUIPMENT OF MICROLITHOGRAPHY

Номер: FR0002979023A1

L'invention concerne un procédé de réglage d'une machine d'exposition de photolithographie, comprenant des étapes de : formation sur un masque de photolithographie de motifs de test (P1, P2a) et des motifs de circuit, transfert des motifs dans une couche de résine recouvrant une plaquette, mesure d'une dimension critique de chaque motif de test transféré, et détermination d'une valeur d'erreur de réglage de mise au point de la machine de photolithographie à partir de la mesure de dimension critique de chaque motif, les motifs de test formés sur le masque comprenant un premier motif de test de référence (P1) et un second motif de test (P2a) formant pour un faisceau de photons émis par la machine de photolithographie et traversant le masque, un chemin optique présentant une longueur différente d'un chemin optique formé par le premier motif de test et les motifs de circuit formés sur le masque.

Подробнее
01-11-2017 дата публикации

Polarization beam splitter, substrate processing apparatus, device manufacturing system, and device manufacturing method

Номер: TW0201738933A
Принадлежит:

The present invention is provided with: a mask holding drum (21) that holds a reflective mask (M); a beam splitter (PBS), which reflects an inputted lighting luminous flux (EL1) toward the mask (M), and which passes through a projection luminous flux (EL2) obtained by having the lighting luminous flux (EL1) reflected by means of the mask (M); a lighting optical module (ILM) that inputs the lighting luminous flux (EL1) to the beam splitter (PBS); and a projection optical module (PLM) that performs projection exposure with respect to a substrate (P) using the projection luminous flux (EL2) that has passed through the beam splitter (PBS). The lighting optical module (ILM) and the beam splitter (PBS) are provided between the mask (M) and the projection optical module (PLM). Furthermore, the beam splitter (PBS) is provided with a first prism, a second prism, and a polarization film, and the polarization film (93) has a silicon dioxide first film body, and a hafnium oxide second film body laminated ...

Подробнее
01-10-2019 дата публикации

Conformal stage

Номер: TW0201939170A
Принадлежит:

An improved stage for the processing of large, thin substrates such as glass and semiconductor panels. Processing includes lithography, inspection, metrology, grinding, and the like. The stage includes a chuck that moves over a base relative to a device for processing a substrate. The chuck conforms to a geometry of the base while moving relative to the base.

Подробнее
04-12-2008 дата публикации

EXPOSURE METHOD AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: WO000002008146933A1
Принадлежит:

An exposure method for exposing a bright-dark pattern onto each exposure region of a substrate (W) via a projection optical system (PL) includes a position detection process (S13) for detecting positions of a plurality of microscopic regions in a unit exposure field (10F) of the substrate (W), a deformation calculation step (S14) of calculating a state of deformation in the unit exposure field (10F) based on information related to the positions of the plurality of microscopic regions obtained in the position detection step (S13), and a shape modification step (S15) of modifying the shape of the bright-dark pattern to be exposed on the substrate (W) based on the deformation state obtained in the deformation calculation step (S14). The microscopic regions detected in the position detection step include a circuit pattern (S13) formed in the unit exposure field (10F).

Подробнее
23-10-2008 дата публикации

EXPOSURE METHOD AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: WO000002008126926A1
Принадлежит:

An exposure method enabling deformation occurring in a unit exposure field (10f) to be measured rapidly and accurately and enabling a plurality of patterns to be superimposed on a substrate (W) with high accuracy. The exposure method of the present embodiment for exposing a bright-dark pattern on the substrate (W) using a projection optical system (PL) includes a position detection process (S13) for detecting the positions of a plurality of position detection marks, relative to a substrate-in-plane-direction of the substrate (W), arranged in at least one functional element in a unit exposure field (10f) of the substrate (W), a deformation calculation process (S14) for calculating the state of deformation occurring in the unit exposure field (10f ) based on information related to the positions of the position detection marks obtained in the position detection process (S13), and a shape modification process (S15) for modifying the shape of the bright-dark pattern to be exposed on the substrate ...

Подробнее
28-02-2008 дата публикации

PATTERNING NON-PLANAR SURFACES

Номер: WO000002008024643A3
Принадлежит:

A pattern is formed on a non-planar surface by forming a layer of photoresist on a part (24) having a surface comprising a non-planar surface area (24A). A deformable mask (26) is aligned over at least a portion of the non-planar surface area (24A) of the part (24) such that the deformable mask (26) substantially deforms in a manner corresponding to at least a portion of the non-planar surface area (24A) of the part (24). The photoresist on the part (24) is exposed through the mask (26) so as to transfer a desired pattern onto the part (24) while the deformable mask (26) is maintained in a deformed state.

Подробнее
08-04-2004 дата публикации

LITHOGRAPHIC METHOD FOR WIRING A SIDE SURFACE OF A SUBSTRATE

Номер: WO2004029722A2
Принадлежит:

In a lithographic proximity method for wiring an end or internal side surface of a substrate the required exposure of strips (76), defining the wiring pattern, is performed by means of a mask (70) comprising a diffraction structure (74) to deflect exposure radiation (b) to the side surface. An exposure beam, which is perpendicularly incident on the mask, is used so that enhanced tolerance for proximity gap width variations is obtained. The method allows manufacture of accurate and fine wiring.

Подробнее
09-07-2002 дата публикации

Projection lithography on curved substrates

Номер: US0006416908B1
Принадлежит: Anvik Corporation, ANVIK CORP, ANVIK CORPORATION

A microlithography system, capable of performing high resolution imaging on large-area curved surfaces, based on projection lithography. The system utilizes a high-resolution lens to image a curved mask directly onto a curved substrate. The system uses a curved mask which is identical in shape to the curved substrate, in order to achieve a constant track length for conjugate object and image points, thereby maintaining focus over the full area of curved substrates having height variations that greatly exceed the depth-of-focus of the imaging lens. Magnification errors are controlled by continuous adjustments of the z-position of the projection lens during scanning, with the adjustments depending upon the topography of the curved mask and substrate. By performing the lithography using a step-and-scan seamless-patterning microlithography system, it is possible to pattern over large areas, greater than the field size of the lens. When the mask and substrate are mounted on a common scanning ...

Подробнее
01-08-2000 дата публикации

Apparatus and method for exposing a pattern on a ball-like device material

Номер: US0006097472A
Автор:
Принадлежит:

An exposure apparatus for exposing a pattern on a device material includes a holder for chucking and holding a silicon ball, a spherical reticle on which a hologram of a circuit pattern to be exposed is formed, a light source, a focusing optical system for focusing light emitted by the light source on a first position, and an elliptic mirror having a first focal point at the first position and a second focal point at the central position of the silicon ball (reticle). The center of the reticle coincides with the center of the silicon ball.

Подробнее
15-11-2018 дата публикации

FILM MASK, METHOD FOR MANUFACTURING SAME, AND METHOD FOR FORMING PATTERN USING FILM MASK

Номер: US20180329286A1
Принадлежит:

The present application relates to a film mask including: a transparent substrate; a darkened light-shielding pattern layer provided on the transparent substrate; and a release force enhancement layer provided on the darkened light-shielding pattern layer and having surface energy of 30 dynes/cm or less, a method for manufacturing the same, and a method for forming a pattern using the film mask.

Подробнее
25-11-1992 дата публикации

PIEZOELECTRIC STAGE

Номер: JP0004336928A
Принадлежит:

PURPOSE: To make compact a piezoelectric stage for finely moving or deforming a workpiece. CONSTITUTION: A piezoelectric stage 2 is obtained by laminating unsintered ceramic green sheets 5 and so forth, and then a process of sintering is appilied thereto. An electrode section 6 comprising conductive paste is printed on each ceramic green sheet 5. The pattern of the electrode section 6 is so formed that a plurality of zonal electrodes 6a and so forth are laid at equal intervats and in parallel. In addition, the sheets 5 are alternately laminated so that the zonal electrodes 6a printed on the upper layer ceramic green sheets and other zonal electrodes 6a printed on the lower layer ceramic green sheets constitute a grid form in a depthwise direction. COPYRIGHT: (C)1992,JPO&Japio ...

Подробнее
17-04-2008 дата публикации

LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: JP2008091903A
Принадлежит:

PROBLEM TO BE SOLVED: To provide a device manufacturing method, in which the precision of a manufacturing process used for forming a multi-level feature on a substrate, is improved. SOLUTION: The device manufacturing method includes: providing a substrate on a substrate table having a different height relative to the substrate table by having a target region including a plurality of generally flat surfaces by each surface; determining the relative heights of each generally flat surface; projecting a patterned beam of radiation onto the target region of the substrate such that the focal plane of the beam substantially coincides with one surface of the generally flat surfaces; moving the substrate table in a direction substantially parallel to the axis of the beam; and projecting the patterned beam of radiation onto the target region of the substrate such that the focal plane of the beam substantially coincides with another surface of the generally flat surfaces. COPYRIGHT: (C)2008,JPO&INPIT ...

Подробнее
06-11-2013 дата публикации

MASK, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: KR1020130121990A
Автор:
Принадлежит:

Подробнее
13-01-2004 дата публикации

PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE PRODUCTION METHOD

Номер: KR20040004115A
Принадлежит:

PURPOSE: A projection optical system, an exposure apparatus, and a device production method are provided to reduce the image distortion by using an image-side telecentric projection optical system. CONSTITUTION: A projection optical system is used for forming an image of a pattern of a first object on a second object. The projection optical system includes an optical material having a refractive index of not more than 1.6, which is disposed in an optical path between the first object and the second object. The projection optical system is substantially telecentric both on the first object side and on the second object side. The projection optical system satisfies a condition such as (λ×L)/(NA×Y0^2)<1.5×10^-3, where λ is a wavelength of light used by the projection optical system, L is a distance between the first object and the second object, NA is a numerical aperture on the second object side, and Y0 is a maximum image height on the second object. © KIPO & JPO 2004 ...

Подробнее
16-08-2014 дата публикации

Polarization beam splitter, substrate processing apparatus, device manufacturing system, and device manufacturing method

Номер: TW0201432785A
Принадлежит:

The present invention is provided with: a mask holding drum (21) that holds a reflective mask (M) a beam splitter (PBS), which reflects an inputted lighting luminous flux (EL1) toward the mask (M), and which passes through a projection luminous flux (EL2) obtained by having the lighting luminous flux (EL1) reflected by means of the mask (M); a lighting optical module (ILM) that inputs the lighting luminous flux (EL1) to the beam splitter (PBS) and a projection optical module (PLM) that performs projection exposure with respect to a substrate (P) using the projection luminous flux (EL2) that has passed through the beam splitter (PBS). The lighting optical module (ILM) and the beam splitter (PBS) are provided between the mask (M) and the projection optical module (PLM). Furthermore, the beam splitter (PBS) is provided with a first prism, a second prism, and a polarization film, and the polarization film (93) has a silicon dioxide first film body, and a hafnium oxide second film body laminated ...

Подробнее
20-01-2000 дата публикации

A REFLECTION SYSTEM FOR IMAGING ON A NONPLANAR SUBSTRATE

Номер: WO2000003296A1
Автор: TAKEDA, Nobuo
Принадлежит:

La présente invention concerne un système (39) et un procédé de focalisation d'une image sur la surface d'un substrat ou d'un dispositif non plan (20), tel qu'un substrat semi-conducteur sphérique (20), qui permettent d'éliminer pratiquement les défauts d'alignement et de recouvrement entre des images voisines. Le système (39) comprend une pluralité de miroirs (12,14,16) disposés dans un anneau (10), un support (24) placé de manière opposée par rapport au centre de l'anneau des miroirs (12,14,16) qui permet de positionner le substrat ou le dispositif non plan (20) relativement à l'anneau des miroirs. Le dispositif ou substrat non plan (20) est placé de manière à ce que chaque miroir puisse réfléchir une image focalisée sur la surface du substrat. L'image est générée à l'aide d'un masque (26) positionné par rapport à l'anneau de miroirs et illuminé afin de projeter l'image sur la surface du substrat ou du dispositif non plan (20). Le procédé consiste à placer le substrat ou le dispositif ...

Подробнее
29-11-2001 дата публикации

METHOD AND SYSTEM FOR SELECTIVE LINEWIDTH OPTIMIZATION DURING A LITHOGRAPHIC PROCESS

Номер: WO2001090819A3
Принадлежит:

Particular types of distortion within a lithographic system may be characterized by linewidth control parameters. Linewidth control parameters of any given line or feature within a printed pattern vary as a result of optical capabilities of the lithography apparatus used, particular characteristics of the reticle, focus setting, light dose fluctuations, etc. The instant invention uses focus offset coefficients to change the focus at points within a slot to compensate for the linewidth control parameter variations introduced by the factors contributing to such variations. Additionally, different focuses can be set dynamically along the scan for a particular slot point. A set, or sets, of focus offset coefficients is generated for a particular lithography apparatus, depending on the number of line width control parameters for which correction is desired.

Подробнее
09-02-2006 дата публикации

PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY

Номер: WO2006013100A3
Автор: GEH, Bernd
Принадлежит:

A projection objective for imaging a pattern arranged in an object surface (6) of the projection objective (5) into an image surface (10) of the projection objective with a demagnified imaging scale has a plurality of optical elements which are arranged along an optical axis (13) of the projection objective and are configured in such a way that a defined image field curvature of the projection objective (15) is set in such a way that an object surface (6) that is curved convexly with respect to the projection objective (5) can be imaged into a planar image surface. What can be achieved given a suitable setting of the object surface curvature is that a gravitation-dictated bending of a mask does not: have a disturbing effect on the imaging quality.

Подробнее
24-12-2020 дата публикации

CONFORMAL STAGE

Номер: US20200401055A1
Принадлежит: Onto Innovation, Inc.

An improved stage for the processing of large, thin substrates, such as glass and semiconductor panels. Processing includes lithography, inspection, metrology, grinding, and the like. The stage includes a chuck that moves over a base relative to a device for processing a substrate. The chuck conforms to a geometry of the base while moving relative to the base.

Подробнее
02-01-2003 дата публикации

Device manufacturing method, device manufactured thereby and a mask for use in the method

Номер: US2003003383A1
Автор:
Принадлежит:

A device manufacturing method according to one embodiment of the invention includes positioning a reflective patterning structure to reflect at least a portion of a beam of raditaion as a patterned beam of radiation having a pattern in its cross-section. The method also includes using a projection system to project the patterned beam of radiation to form an image on a target portion of a layer of radiation-sensitive material. Positioning includes at least one among shifting and tilting a nominal reflective surface of the reflective patterning structure with respect to a nominal object plane of the projection system according to a distortion value.

Подробнее
07-06-2022 дата публикации

Conformal stage

Номер: US0011353800B2
Принадлежит: Onto Innovation Inc., Onto Innovation, Inc.

An improved stage for the processing of large, thin substrates, such as glass and semiconductor panels. Processing includes lithography, inspection, metrology, grinding, and the like. The stage includes a chuck that moves over a base relative to a device for processing a substrate. The chuck conforms to a geometry of the base while moving relative to the base.

Подробнее
19-12-2012 дата публикации

Exposure apparatus, substrate processing apparatus, and device manufacturing method

Номер: CN0102834778A
Принадлежит:

Подробнее
29-03-2006 дата публикации

EXPOSURE APPARATUS

Номер: KR0100566254B1
Автор:
Принадлежит:

Подробнее
15-07-2014 дата публикации

MASK, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: KR0101419195B1
Автор:
Принадлежит:

Подробнее
12-03-2010 дата публикации

EXPOSURE METHOD AND ELECTRONIC DEVICE MANUFACTURING METHOD

Номер: KR1020100028560A
Принадлежит:

An exposure method for exposing a bright-dark pattern onto each exposure region of a substrate (W) via a projection optical system (PL) includes a position detection process (S13) for detecting positions of a plurality of microscopic regions in a unit exposure field (10F) of the substrate (W), a deformation calculation step (S14) of calculating a state of deformation in the unit exposure field (10F) based on information related to the positions of the plurality of microscopic regions obtained in the position detection step (S13), and a shape modification step (S15) of modifying the shape of the bright-dark pattern to be exposed on the substrate (W) based on the deformation state obtained in the deformation calculation step (S14). The microscopic regions detected in the position detection step include a circuit pattern (S13) formed in the unit exposure field (10F). COPYRIGHT KIPO & WIPO 2010 ...

Подробнее
16-02-2017 дата публикации

Lithographic apparatus and method

Номер: TW0201706727A
Принадлежит:

A lithography method comprises: providing a substrate with a target region; determining a topology of the substrate within the target region; determining a correcting telecentricity profile based on the topology of the substrate within the target region; providing a radiation beam; and projecting the radiation beam onto the target region of the substrate so as to form an image on the substrate. The radiation beam is such that a net direction of the total radiation received by one or more points in the target region of the substrate is chosen in dependence on the determined correcting telecentricity. The correcting telecentricity profile is such that the net direction of the total radiation received by at least one point in the target region of the substrate is chosen so as to at least partially correct for an overlay error introduced by a curvature of a surface of the substrate at said point.

Подробнее
13-05-2004 дата публикации

LITHOGRAPHIC METHOD FOR FORMING MOLD INSERTS AND MOLDS

Номер: WO2004039554A3
Принадлежит:

The present invention provides a lithographic method for manufacturing molds, and mold inserts, for use in producing ophthalmic lenses. The invention may be used in a method for the delivery of customized ophthalmic lenses to a lens wearer.

Подробнее
06-03-2003 дата публикации

Multiple level photolithography

Номер: US20030044734A1
Принадлежит:

A method is provided for performing photolithography on a substrate which has a first region on a lower level and a second region on an upper level, wherein a first pattern area exists within said first region, a second pattern area exists within said second region, and at least said first and second regions are coated with a photoresist, the method comprising: a) exposing the photoresist through a first mask so as to expose said first region including said first pattern area, and thus create a first pattern in said first pattern area, but not expose said second pattern area; and b) exposing the photoresist through a second mask so as to expose said second pattern area, and thus create a second pattern in said second pattern area, but not expose said first pattern area, and also to expose an area of said first region which lies adjacent said second region.

Подробнее
14-08-2003 дата публикации

Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server

Номер: US20030153114A1
Автор: Masamitsu Itoh
Принадлежит: Kabushiki Kaisha Toshiba

There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate.

Подробнее
12-01-2012 дата публикации

Method and apparatus for printing a periodic pattern with a large depth of focus

Номер: US20120009525A1
Принадлежит: EULITHA AG

A method for printing a desired pattern into a photosensitive layer that includes providing a mask bearing a pattern of linear features that are parallel to a first direction, arranging the layer parallel to and separated from said mask, generating substantially monochromatic light, and illuminating the mask pattern with said light over a range of angles of incidence in a plane parallel to said first direction, at substantially a single angle in an orthogonal plane of incidence and so that the light of each angle of incidence transmitted by the mask forms a light-field component at the layer whereby the integration of said components prints the desired pattern, wherein the range of angles is selected so that the integration of said components is substantially equivalent to an average of the range of transversal intensity distributions formed between Talbot image planes by light at one of the angles of incidence.

Подробнее
19-01-2012 дата публикации

Exposure method and exposure device

Номер: US20120013788A1
Принадлежит: Sharp Corp

An exposure method capable of performing accurate exposure without using a large photomask. The exposure method performs exposure while relatively moving a photomask above a substrate and includes a step of performing position correction of the photomask by performing, on a front side of the photomask relatively moved in a moving direction, image recognition of a pattern prearranged on the substrate such as a line and a black matrix and by correcting deviation of the photomask with respect to the pattern, and a step of checking the position correction of the photomask by performing image recognition of a reference mark arranged on the photomask and by determining whether or not the position correction of the photomask is accurately performed in the step of performing the position correction of the photomask.

Подробнее
19-01-2012 дата публикации

Apparatus and method for providing fluid for immersion lithography

Номер: US20120013861A1
Принадлежит: Nikon Corp

An apparatus and method provide fluid for immersion lithography. A nozzle member that can move in a direction, is arranged to encircle a space under the optical element. The nozzle member can have an input to supply the immersion liquid to the space under the optical element during the exposure, and an output to remove the immersion liquid from a gap between the nozzle member and the wafer during the exposure. Immersion liquid can be supplied at a first rate to the space from a first portion of the nozzle member and at a second rate to the space from a second portion during the exposure. A wafer substrate is exposed by light through the immersion liquid.

Подробнее
19-01-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120013872A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus and device manufacturing method makes use of a liquid confined in a reservoir between the projection system and the substrate. Bubbles forming in the liquid from dissolved atmospheric gases or from out-gassing from apparatus elements exposed to the liquid are detected and/or removed so that they do not interfere with exposure and lead to printing defects on the substrate. Detection may be carried out by measuring the frequency dependence of ultrasonic attenuation in the liquid and bubble removal may be implemented by degassing and pressurizing the liquid, isolating the liquid from the atmosphere, using liquids of low surface tension, providing a continuous flow of liquid through the imaging field, and/or phase shifting ultrasonic standing-wave node patterns.

Подробнее
19-01-2012 дата публикации

Reflective optical element for euv lithography

Номер: US20120013976A1
Автор: Joern Weber
Принадлежит: CARL ZEISS SMT GMBH

A stress-reduced reflective optical element for a working wavelength in the soft X-ray and extreme ultraviolet wavelength range includes a first multilayer system ( 4 ) of at least two alternating materials ( 41, 42 ) having different real parts of the refractive index at the working wavelength on a substrate ( 2 ), which exerts a layer stress on the substrate ( 2 ), and comprising a second multilayer system ( 6 ) of at least two alternating materials ( 61, 62 ) on a substrate ( 2 ), which exerts an opposed layer stress on the substrate ( 2 ) and is arranged between the first multilayer system ( 4 ) and the substrate ( 2 ), wherein one of the materials ( 61 ) of the second multilayer system ( 6 ) is nickel-vanadium-silicon, and wherein the ratio (G) of the overall thickness of nickel-vanadium-silicon layers ( 61 ) within one period ( 60 ) of the second multilayer system ( 6 ) to the overall thickness of the period ( 60 ) of the second multilayer system ( 6 ) is at least 0.25.

Подробнее
09-02-2012 дата публикации

Exposure apparatus, exposure method, and device producing method

Номер: US20120033192A1
Автор: Hiroyuki Nagasaka
Принадлежит: Nikon Corp

An exposure apparatus (EX) exposes a substrate (P) by irradiating exposure light (EL) on the substrate (P) via a projection optical system (PL) and a liquid (LQ) supplied from a liquid supply mechanism ( 10 ). The exposure apparatus (EX) has a pressure adjustment mechanism ( 90 ) for adjusting pressure of the liquid (LQ) supplied from the liquid supply mechanism ( 10 ). A liquid immersion area is satisfactorily formed to obtain high exposure accuracy and measurement accuracy.

Подробнее
16-02-2012 дата публикации

Optical Element With An Antireflection Coating, Projection Objective, And Exposure Apparatus Comprising Such An Element

Номер: US20120038897A1
Принадлежит: Individual

An optical element ( 14 ) transparent for radiation with a wavelength λ in the ultraviolet wavelength range below 250 nm, in particular at 193 nm, comprises a substrate ( 17 ) with a refractive index n s larger than 1.6, and an antireflection coating ( 16 ) formed on at least part of the surface of the substrate ( 17 ) between the substrate ( 17 ) and an ambient medium with a refractive index n A , preferably with n A =1.0. The antireflection coating ( 16 ) consists of a single layer of a material with a refractive index n L of about n L =√{square root over (n A n S )}, in particular n L >1.3, and the optical thickness d L of the single layer is about λ/4. The optical element ( 14 ) is preferably part of a projection objective ( 5 ) in a microlithography projection exposure apparatus ( 1 ) and located adjacent to a light-sensitive substrate ( 10 ).

Подробнее
23-02-2012 дата публикации

System and method for improving immersion scanner overlay performance

Номер: US20120045192A1

System and method for improving immersion scanner overlay performance are described. One embodiment is a method of improving overlay performance of an photolithography immersion scanner comprising a wafer table having lens cooling water (“LCW”) disposed in a water channel therein, the wafer table having an input for receiving the LCW into the water channel and an output for expelling the LCW from the water channel. The method comprises providing a water tank at at least one of the wafer table input and the wafer table output; monitoring a pressure of water in the water tank; and maintaining the pressure of the water in the water tank at a predetermined level.

Подробнее
15-03-2012 дата публикации

Optical imaging with reduced immersion liquid evaporation effects

Номер: US20120062865A1
Автор: Stephan Six
Принадлежит: CARL ZEISS SMT GMBH

An optical arrangement for use in an optical imaging process includes an optical element, an immersion zone and a liquid repelling device. During the optical imaging process, the immersion zone is located adjacent to the optical element and is filled with an immersion liquid. The optical element has a first surface region and a second surface region. During the optical imaging process, the first surface region is wetted by the immersion liquid. At least temporarily during the optical imaging process, the liquid repelling device generates an electrical field in the region of the second surface. The electrical field being is adapted to cause a repellent force on parts of the immersion liquid which are responsive to the electrical field and inadvertently contact the second surface region. The repellent force has a direction to drive away the parts of the immersion liquid from the second surface region.

Подробнее
22-03-2012 дата публикации

Semiconductor microlithography projection exposure apparatus

Номер: US20120069310A1
Принадлежит: CARL ZEISS SMT GMBH

The disclosure relates to an optical correction arrangement including at least one optical element and at least one irradiation mechanism for the targeted local irradiation of the optical element with electromagnetic heating radiation for the targeted local heating of the optical element. The optical correction arrangement also includes a mechanism for dissipating the thermal energy introduced into the optical element by the at least one irradiation mechanism. The disclosure furthermore relates to a projection exposure apparatus for semiconductor lithography including an optical correction arrangement according to the disclosure.

Подробнее
17-05-2012 дата публикации

Fluid handling structure, a lithographic apparatus and a device manufacturing method

Номер: US20120120376A1
Принадлежит: ASML Netherlands BV

A fluid handling structure for a lithographic apparatus, the fluid handling structure having, at a boundary from a space configured to contain immersion fluid to a region external to the fluid handling structure: a meniscus pinning feature to resist passage of immersion fluid in a radially outward direction from the space; a gas supply opening radially outward of the meniscus pinning feature; and a gas recovery opening radially outward of the meniscus pinning feature and at least partly surrounding the gas supply opening.

Подробнее
14-06-2012 дата публикации

Nanometer Scale Instrument for Biochemically, Chemically, or Catalytically Interacting with a Sample Material

Номер: US20120147722A1
Автор: Victor B. Kley
Принадлежит: General Nanotechnology LLC

A data storage system that includes a positioning system for positioning the write/read mechanism and the storage medium of the data storage device with respect to each other in first and second predefined directions. In several embodiments, the read/write mechanism is used to mechanically write data to and electrically read data from the storage medium. In still another embodiment, the read/write mechanism is used to optically write data to and electrically read data from the storage medium. In yet another embodiment, the read/write mechanism is acoustically aided in electrically writing data to and reading data from the storage medium.

Подробнее
05-07-2012 дата публикации

Mirror, method of manufacturing the same, exposure apparatus, and device manufacturing method

Номер: US20120170012A1
Принадлежит: Canon Inc

A method of manufacturing a mirror includes a first step of arranging, on a substrate, a shape adjusting layer having a layer thickness which changes by heat, a second step of arranging, on the shape adjusting layer, a reflection layer including a first layer, a second layer, and a barrier layer which is arranged between the first layer and the second layer, and prevents a diffusion of a material of the first layer and a material of the second layer, and a third step of bringing a shape of the reflection layer close to a target shape by changing a layer thickness profile of the shape adjusting layer after the second step, the third step including a process of partially annealing the shape adjusting layer.

Подробнее
12-07-2012 дата публикации

Method and device for the correction of imaging defects

Номер: US20120176591A1
Принадлежит: CARL ZEISS SMT GMBH

The disclosure relates to a microlithography projection exposure system having optical corrective elements configured to modify the imaging characteristics, as well as related systems and component.

Подробнее
19-07-2012 дата публикации

In-Situ Immersion Hood Cleaning

Номер: US20120180823A1

An apparatus includes a wafer stage configured to secure a wafer; and a cleaning module including a tank adjacent to the wafer stage, and is positioned outside the region occupied by the wafer. The cleaning module is configured to receive de-ionized (DI) water into the tank and extract the DI water out of the tank. The tank is configured to hold DI water with a top surface of the DI water substantially level with a top surface of the wafer.

Подробнее
02-08-2012 дата публикации

Multilayer mirror for euv lithography and process for its production

Номер: US20120196208A1
Принадлежит: Asahi Glass Co Ltd

Provided are a multilayer mirror for EUVL in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, and a process for its production. A multilayer mirror for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.

Подробнее
16-08-2012 дата публикации

Structured illumination for contrast enhancement in overlay metrology

Номер: US20120206729A1
Принадлежит: KLA Tencor Corp

Contrast enhancement in a metrology tool may include generating a beam of illumination, directing a portion of the generated beam onto a surface of a spatial light modulator (SLM), directing at least a portion of the generated beam incident on the surface of the SLM through an aperture of an aperture stop and onto one or more target structures of one or more samples, and generating a selected illumination pupil function of the illumination transmitted through the aperture utilizing the SLM in order to establish a contrast level of one or more field images of the one or more target structures above a selected contrast threshold, and performing one or more metrology measurements on the one or more target structures utilizing the selected illumination pupil function.

Подробнее
30-08-2012 дата публикации

Lithographic apparatus, a method of controlling the apparatus and a device manufacturing method

Номер: US20120218534A1
Принадлежит: ASML Netherlands BV

An immersion lithographic apparatus is disclosed having a projection system configured to direct a patterned beam of radiation onto a substrate and a liquid handling system configured to supply and confine immersion liquid to a space defined between the projection system and a substrate, or a substrate table, or both. A controller is provided to adjust an angle of a lower surface of the liquid handling system relative to the top surface of the substrate during motion of the substrate and/or substrate table relative to the liquid handling system dependent upon a position of the substrate and/or substrate table relative to the liquid handling system and/or a direction of relative movement between the substrate and/or substrate table and the liquid handling system.

Подробнее
30-08-2012 дата публикации

Method and device for a spatially resolved introduction of an intensity pattern comprising electro-magnetic radiation into a photosensitive substance as well as applications thereof

Номер: US20120218535A1
Принадлежит: Nanoscribe GmbH

A method for the spatially resolved introduction of an intensity pattern of electro-magnetic radiation by at least one optic display system into a photosensitive substance having properties which can be changed by photon exposure. These properties include a first, liquid and at least one second state, with the electro-magnetic radiation being conducted via the optic display system into the photosensitive substance and here being projected on predetermined spatial coordinates, in order to create at or in an area of these spatial coordinates a change of the properties of the substance. A surface of an objective lens of the optic display system, through which the electro-magnetic radiation 4 is emitted, is immersed in the liquid photosensitive substance 2. A corresponding device is provided, and the device and method can be used for the creation of micro or nano-scaled structures.

Подробнее
06-09-2012 дата публикации

Exposure apparatus, exposure method, and method for producing device

Номер: US20120224154A1
Принадлежит: Nikon Corp

An exposure apparatus exposes a substrate by irradiating exposure light on the substrate through liquid. The exposure apparatus has a substrate holder for holding the substrate, a substrate stage capable of moving the substrate held by the substrate holder, and a temperature adjusting system for adjusting the temperature of the substrate holder. The temperature of the substrate is controlled so that there is no difference in temperature between the substrate and the liquid, thereby preventing a reduction in exposure accuracy resulting from variation in temperature of the liquid.

Подробнее
04-10-2012 дата публикации

Lithographic apparatus and a device manufacturing method

Номер: US20120249994A1
Принадлежит: ASML Netherlands BV

An immersion lithographic apparatus includes a surface having at least one active group (e.g., lyophobic group) which, during use, comes into contact with immersion liquid, and an immersion liquid supply system configured to provide immersion liquid comprising a protection component which is more reactive with a product of photoionization of the immersion liquid than the active group of the surface, the protection component being present in an amount of between 1 ppm and 0.1 ppm.

Подробнее
01-11-2012 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20120274912A1
Принадлежит: ASML Netherlands BV

A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate. The projection system has a final element. The apparatus also includes a barrier member surrounding a space between the projection system and, in use, the substrate, to define in part with the final element a reservoir for liquid. The barrier member is spaced from the final element to define a gap therebetween. The apparatus further includes a deformable seal between a radially outer surface of the final element and a radially outer surface of the barrier member. The deformable seal is configured to substantially prevent a gas from flowing past the seal towards or away from the reservoir of liquid.

Подробнее
08-11-2012 дата публикации

Multi-Method and Device with an Advanced Acousto-Optic Deflector (AOD) and a Dense Brush of Flying Spots

Номер: US20120281195A1
Автор: Torbjörn Sandström
Принадлежит: MICRONIC MYDATA AB

The technology disclosed relates to improved acousto-optic deflectors (AODs). In particular, it relates to compensation for subtle effects not previously addressed by AOD designers. A shifting center of gravity is described and addressed using advanced power equalisation strategies. Denser writing brushes are provided by using a two-dimensional array of beams with corrections for factors such as angle of incidence at the AOD interface.

Подробнее
14-02-2013 дата публикации

Liquid immersion member, method for manufacturing liquid immersion member, exposure apparatus, and device manufacturing method

Номер: US20130040247A1
Автор: Yusuke Taki
Принадлежит: Nikon Corp

A liquid immersion member holds liquid between the liquid immersion member and an object such that an optical path of exposure light applied to the object is filled with the liquid, thereby forming a liquid immersion space. In the liquid immersion member, an amorphous carbon film is formed on at least a part of a region coming into contact with the liquid.

Подробнее
21-02-2013 дата публикации

Projection-type photolithography system using composite photon sieve

Номер: US20130044299A1
Принадлежит: Institute of Microelectronics of CAS

The present disclosure relates to the field of micro-nano fabrication, and provides a projection-type photolithography system using a composite photon sieve. The system comprises: a lighting system, a mask plate, a composite photon sieve and a substrate, which are arranged in order. The lighting system is adapted to generate incident light and irradiate the mask plate with the incident light. The mask plate is adapted to provide an object to be imaged by the composite photon sieve, and the incident light reaches the composite photon sieve after passing through the mask plate. The composite photon sieve is adapted to perform imaging, by which a pattern on the mask plate is imaged on the substrate. The substrate is adapted to receive an image of the pattern on the mask plate imaged by the composite photon sieve. According to the present disclosure, because the composite photon sieve is used instead of a projection objective lens in a conventional projection-type photolithography system, the advantage of high efficiency in the conventional projection-type photolithography system can be reserved, and also photolithography can be performed in batches rapidly, so that photolithography efficiency can be improved. Meanwhile, costs can be effectively cut down and the system can be reduced in size.

Подробнее
21-03-2013 дата публикации

Lithographic apparatus and device manufacturing method

Номер: US20130070219A1
Принадлежит: ASML Netherlands BV

An immersion lithographic apparatus is provided with a liquid confinement structure which defines at least in part a space configured to contain liquid between the projection system and the substrate. In order to reduce the crossing of the edge of the substrate which is being imaged (which can lead to inclusion of bubbles in the immersion liquid), the cross-sectional area of the space in a plane parallel to the substrate is made as small as possible. The smallest theoretical size is the size of the target portion which is imaged by the projection system. In an embodiment, the shape of a final element of the projection system is also changed to have a similar size and/or shape in a cross-section parallel to the substrate to that of the target portion.

Подробнее
11-04-2013 дата публикации

Ultra-Large Size Flat Panel Display Maskless Photolithography System and Method

Номер: US20130088704A1
Принадлежит: Zhongshan Aiscent Tech Inc

A maskless exposure system that has multiple maskless optical engines arranged in an (N×M) matrix that form and project a pattern onto a substrate. A first stage system is capable of driving the maskless optical engines in a first direction, a second stage system capable of holding and moving the substrate in a second direction perpendicular to the first direction. A control system that processes data and synchronizing movement of the first and second stage systems and a vision system that detects the positions of the second stage system to synchronize movements with the multiple optical engines.

Подробнее
18-04-2013 дата публикации

SUBSTRATE CONVEYANCE DEVICE AND SUBSTRATE CONVEYANCE METHOD, EXPOSURE APPARATUS AND EXPOSURE METHOD, DEVICE MANUFACTURING METHOD

Номер: US20130094006A1
Принадлежит:

A substrate conveyance device that conveys a substrate having been exposed with a pattern image via a projection optical system and a liquid, the substrate conveyance device comprising: a liquid detector that detects the liquid adhering on the substrate. 1. An exposure apparatus which exposes a substrate via a projection optical system and an immersion liquid , comprising:a substrate table which moves under the projection optical system while holding the substrate;an immersion system which has a liquid supply port and a liquid recovery port, the immersion liquid being supplied onto a part of the substrate via the liquid supply port and being recovered via the liquid recovery port to form a liquid immersion region onto the part of the substrate; anda liquid detecting device which detects a remaining immersion liquid onto the substrate after the substrate has been exposed via the immersion region, the remaining immersion liquid being a liquid which is not recovered via the liquid recovery port.2. The exposure apparatus according to claim 1 ,wherein the remaining immersion liquid is detected by the liquid detecting device after the substrate is unloaded from the substrate table.3. The exposure apparatus according to claim 2 ,wherein the remaining immersion liquid is detected by the liquid detecting device before the substrate is developed.4. The exposure apparatus according to claim 1 ,wherein the liquid detecting device has a detection light unit which emits a detection light, andwherein the substrate passes in a detection are of the detection light after the substrate is exposed.5. The exposure apparatus according to claim 4 ,wherein the liquid detecting device has a light receiving unit which receives the detection light.6. The exposure apparatus according to claim 1 , further comprising;a removal device which removes the remaining immersion liquid based on the detection of the liquid detecting device. This is a Continuation Application of U.S. patent application ...

Подробнее
25-04-2013 дата публикации

FLUID HANDLING STRUCTURE, A LITHOGRAPHIC APPARATUS AND A DEVICE MANUFACTURING METHOD

Номер: US20130100425A1
Автор: Riepen Michel
Принадлежит: ASML Netherlands B.V.

A fluid handling structure for a lithographic apparatus, the fluid handling structure to confine liquid to a space, the fluid handling structure having, on an undersurface surrounding the space, a liquid supply opening to supply liquid onto an undersurface of the fluid handling structure and, radially inward with respect to the space of the liquid supply opening, a two dimensional array of liquid extraction openings to extract a liquid from the space and to extract liquid on the undersurface from the liquid supply opening. 1. A fluid handling structure for a lithographic apparatus , the fluid handling structure to confine liquid to a space , the fluid handling structure having , on an undersurface surrounding the space , a liquid supply opening to supply a liquid onto an undersurface of the fluid handling structure and , radially inward with respect to the space of the liquid supply opening , a two dimensional array of liquid extraction openings to extract a liquid from the space and to extract liquid on the undersurface from the liquid supply opening.2. The fluid handling structure of claim 1 , wherein the liquid supply opening and two dimensional array of liquid extraction openings are arranged such that claim 1 , in use claim 1 , a gas gap is present between the liquid supplied on and removed from the undersurface and a surface facing the undersurface.3. The fluid handling structure of claim 1 , wherein a meniscus of the liquid provided on the undersurface is in contact with a meniscus of the liquid from the space extending between the undersurface and a surface facing the undersurface.4. The fluid handling structure of claim 1 , wherein the two dimensional array of liquid extraction openings is next to the liquid supply opening.5. The fluid handling structure of claim 1 , wherein any openings of the two dimensional array of liquid extraction openings are adjacent one another.6. The fluid handling structure of claim 1 , wherein the two dimensional array of liquid ...

Подробнее
25-04-2013 дата публикации

Method and apparatus for alignment processing

Номер: US20130100431A1
Принадлежит: V Technology Co Ltd

A method for alignment processing including making a substrate 4, coated with an aligned film, closely face the photo mask 7 having a first mask pattern group having a plurality of elongated first openings formed at a fixed array pitch and a second mask pattern group provided in parallel with the first mask pattern group and having a plurality of elongated second openings formed at the same pitch as the array pitch of the first openings and moving the substrate in a direction crossing the first and second mask pattern groups, applying P polarizations with different incidence angles θ to the first and second mask pattern groups of the photo mask, and alternately forming, on the aligned film, first and second slit alignment regions in different aligned states.

Подробнее
09-05-2013 дата публикации

METHOD FOR IMPROVING AN OPTICAL IMAGING PROPERTY OF A PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS

Номер: US20130114056A1
Автор: Graeupner Paul
Принадлежит: CARL ZEISS SMT GMBH

A method is disclosed for improving an optical imaging property, for example spherical aberration or the focal length, of a projection objective of a microlithographic projection exposure apparatus. First, an immersion liquid is introduced into an interspace between a photosensitive surface and an end face of the projection objective. Then an imaging property of the projection objective is determined, for example using an interferometer or a CCD sensor arranged in an image plane of the projection objective. This imaging property is compared with a target imaging property. Finally, the temperature of the immersion liquid is changed until the determined imaging property is as close as possible to the target imaging property. 1. (canceled)2. An exposure apparatus which exposes a substrate with an exposure light beam , comprising:a liquid immersion mechanism which forms a liquid immersion area of the liquid in an optical path of the exposure light beam; anda measuring device which measures a pattern formation state of a pattern formed through the liquid forming the liquid immersion area.3. The exposure apparatus according to claim 2 , wherein the measuring device measures an aerial image of the pattern.4. The exposure apparatus according to claim 2 , further comprising a controller which determines an exposure condition for exposing the substrate based on the pattern formation state of the pattern measured by the measuring device.5. The exposure apparatus according to claim 4 , wherein the exposure condition includes a liquid condition of the liquid.6. The exposure apparatus according to claim 2 , further comprising a controller which performs control to expose under an exposure condition determined based on a result of a measurement of a pattern formation state of a pattern formed through the liquid forming the liquid immersion area.7. The exposure apparatus of according to claim 2 , further comprising a controller which sets a liquid condition of the liquid forming ...

Подробнее
09-05-2013 дата публикации

OPTICAL IMAGING DEVICE WITH THERMAL ATTENUATION

Номер: US20130114057A1
Принадлежит: CARL ZEISS SMT GMBH

An optical imaging device, in particular for use in microlithography, includes a mask device for receiving a mask having a projection pattern, a projection device with an optical element group, a substrate device for receiving a substrate and an immersion zone. The optical element group is adapted to project the projection pattern onto the substrate and includes a plurality of optical elements with an immersion element to which the substrate is at least temporarily located adjacent to during operation. During operation, the immersion zone is located between the immersion element and the substrate and is at least temporarily filled with an immersion medium. A thermal attenuation device is provided, the thermal attenuation device being adapted to reduce fluctuations within the temperature distribution of the immersion element induced by the immersion medium. 1a mask device for receiving a mask comprising a pattern;a substrate device for receiving a substrate;an immersion zone; anda projection device comprising a plurality of optical elements and a thermal attenuation device, the optical imaging device being configured so that during operation the immersion zone is at least partially filled with an immersion medium and the plurality of optical elements projects the pattern onto the substrate and the thermal attenuation device reduces fluctuations in a temperature distribution of the immersion element induced by the immersion medium,wherein the plurality of optical elements comprises an immersion element, the immersion element being the optical element closest to the substrate and adjacent to the immersion zone so that the immersion medium is located between the immersion element and the substrate, the immersion element comprising a first area and a second area where the first area is optically used during operation of the optical imaging device and the second area is optically unused during operation of the optical imaging device, the second area comprising a first ...

Подробнее
16-05-2013 дата публикации

EXPOSURE APPARATUS AND MEASURING DEVICE FOR A PROJECTION LENS

Номер: US20130120723A1
Принадлежит: CARL ZEISS SMT GMBH

A microlithographic projection exposure apparatus includes a projection lens that is configured for immersion operation. For this purpose an immersion liquid is introduced into an immersion space that is located between a last lens of the projection lens on the image side and a photosensitive layer to be exposed. To reduce fluctuations of refractive index resulting from temperature gradients occurring within the immersion liquid, the projection exposure apparatus includes heat transfer elements that heat or cool partial volumes of the immersion liquid so as to achieve an at least substantially homogenous or at least substantially rotationally symmetric temperature distribution within the immersion liquid. 1. An apparatus , comprising:an illumination system; a housing; and', 'a plurality of optical elements, one of the optical elements being a last optical element on an image side of the projection lens; and, 'a projection lens configured to image an object in an object plane into image plane, the projection lens comprisingan immersion liquid between the projection lens and the image plane, the immersion liquid defining an interface between the immersion liquid and a surrounding gas, the interface extending between a bottom surface of the housing and the image plane, the immersion liquid contacts the last optical element in a contact area which is planar and through which light passes during use of the apparatus;', 'the bottom surface of the housing portion surrounds the last optical element and is coplanar with the contact area; and', 'the apparatus is a microlithographic projection exposure apparatus., 'wherein2. The apparatus of claim 1 , wherein the last optical element is a plane parallel plate.3. The apparatus of claim 1 , wherein the object is reticle.4. The apparatus of claim 3 , further comprising a stage configured to hold a photosensitive material in the image plane.5. The apparatus of claim 1 , wherein the immersion liquid comprises high purity deionized ...

Подробнее
23-05-2013 дата публикации

Exposure Apparatus and Device Manufacturing Method Having Lower Scanning Speed to Expose Peripheral Shot Area

Номер: US20130128244A1
Автор: Nagasaka Hiroyuki
Принадлежит: NIKON CORPORATION

An exposure apparatus includes a substrate stage having a substrate holder to hold a substrate, a gap being formed between an edge of the held substrate and a surface surrounding the held substrate, and a controller that controls an exposure operation in which shot areas of the substrate are exposed sequentially and respectively with an image through liquid of a liquid immersion area which covers a portion of an upper surface of the substrate. The controller moves the substrate stage at a first speed to expose one of the shot areas to the image through the liquid, moves the substrate stage at a second speed, that is lower than the first speed, to expose another one of the shot areas to the image through the liquid, and during the exposing of the another one of the shot areas, the liquid immersion area is formed over a portion of the gap. 1. An exposure apparatus comprising:a projection optical system having an optical element:a substrate stage having a substrate holder on which a substrate is held, a gap being formed between an edge of the substrate held on the substrate holder and a surface that surrounds the held substrate;a retaining member having a flow path through which a liquid flows and having an opening through which an image is projected from the projection optical system, the retaining member forming a liquid immersion area of the liquid under the projection optical system; anda controller that controls an exposure operation in which a plurality of shot areas of the substrate are exposed sequentially and respectively with the image through the liquid of the liquid immersion area which covers a portion of an upper surface of the substrate,whereinthe controller moves the substrate stage at a first speed to expose one of the shot areas of the substrate to the image through the liquid of the liquid immersion area,the controller moves the substrate stage at a second speed, that is lower than the first speed, to expose another one of the shot areas of the ...

Подробнее
23-05-2013 дата публикации

Exposure Apparatus and Device Manufacturing Method

Номер: US20130128245A1
Автор: Shibuta Makoto
Принадлежит: NIKON CORPORATION

An exposure apparatus which prevents the damage due to the liquid having flowed out from spreading and enables satisfactory performances of the exposure processes and the measurement processes is provided. An exposure apparatus (EX) includes a movable table (PT), a base member () having an upper surface (A) that guides the movement of the table (PT), and a detecting device () that detects whether there is a liquid on the upper surface (A) of the base member (). 137-. (canceled)38. An exposure apparatus that exposes a substrate via a liquid , comprising: a movable member; anda detecting device that is supported by the movable member and is configured to detect whether there is a liquid on and in contact with a predetermined member, which is other than the movable member.39. The exposure apparatus according to claim 38 , wherein the detecting device is configured to optically detect the presence of the liquid.40. The exposure apparatus according to claim 39 , wherein the detecting device is configured to detect the presence of the liquid while the movable member moves and while emitting a detecting light.41. The exposure apparatus according to claim 38 , wherein the predetermined member comprises a driving mechanism configured to move a table that is movable while holding the substrate.42. The exposure apparatus according to claim 38 , wherein the predetermined member comprises a base member having a guide surface that guides a movement of a table claim 38 , which is movable while holding the substrate.43. The exposure apparatus according to claim 38 , further comprising a first movable member and a second movable member claim 38 ,wherein the detecting device has a light projecting portion that emits a detecting light and a light receiving portion that is disposed at a predetermined position with respect to the detecting light and detects the detecting light;wherein the first movable member supports the light projecting portion and the second movable member supports ...

Подробнее
30-05-2013 дата публикации

LIQUID IMMERSION MEMBER, IMMERSION EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM

Номер: US20130135594A1
Автор: SATO Shinji
Принадлежит: NIKON CORPORATION

A liquid immersion member including: a first member provided in at least a portion of the surrounding of an optical member, including a first lower surface which can face an object facing the emission surface, and that forms a first immersion space of a first liquid in an optical path space on an emission surface side and at least a portion of a first space on a first lower surface side; a second member provided outside the first member with respect to the optical path, including a second lower surface which can face the object, and that forms a second immersion space of a second liquid in at least a portion of a second space on a second lower surface side; and a third member that restricts the movement of the first liquid from the first to the second space. 1. A liquid immersion member that is provided in at least a portion of a surrounding of an optical member including an emission surface from which exposure light is emitted in an immersion exposure apparatus , the member comprising:a first member that is provided in at least a portion of the surrounding of the optical member, that includes a first lower surface which can face an object facing the emission surface, and that forms a first immersion space of a first liquid in an optical path space on an emission surface side and at least a portion of a first space on a first lower surface side;a second member that is provided outside the first member with respect to the optical path, that includes a second lower surface which can face the object, and that forms a second immersion space of a second liquid in at least a portion of a second space on a second lower surface side; anda third member that restricts the movement of the first liquid from the first space to the second immersion space.2. The liquid immersion member according to claim 1 ,wherein the first liquid moved from the first space to the second immersion space is divided.3. A liquid immersion member that is provided in at least a portion of a ...

Подробнее
30-05-2013 дата публикации

LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: US20130135595A1
Принадлежит: ASML Netherlands B.V.

In immersion lithography after exposure of a substrate is complete, a detector is used to detect any residual liquid remaining on the substrate and/or substrate table. 120.-. (canceled)21. A lithographic projection apparatus comprising:a substrate table configured to hold a substrate;a projection system arranged to project a patterned beam of radiation onto the substrate;a liquid supply system configured to supply a liquid to a space between the projection system and the substrate table; anda residual liquid detector configured to detect liquid on i) the substrate when held by the substrate table, ii) the substrate table, iii) a further movable table of the lithographic apparatus, or iv) any combination selected from i) to iii), wherein the residual liquid detector comprises an alignment sensor or level sensor configured to detect the liquid.22. The apparatus of claim 21 , wherein the residual liquid detector comprises the level sensor claim 21 , the level sensor configured to measure the position of a surface in a direction substantially parallel to an optical axis of the projection system claim 21 , a tilt of a surface about an axis substantially perpendicular to the optical axis of the projection system claim 21 , or both.23. The apparatus of claim 22 , wherein detection of the liquid is effected by the level sensor giving a measurement outside a normal operating range or varying significantly from a previous measurement or reference value.24. The apparatus of claim 21 , wherein the residual liquid detector comprises the alignment sensor claim 21 , the alignment sensor configured to measure the position of a marker in a direction substantially perpendicular to an optical axis of the projection system.25. The apparatus of claim 24 , wherein detection of the liquid is effected by the alignment sensor giving a measurement outside a normal operating range or varying significantly from a previous measurement or reference value.26. The apparatus of claim 21 , wherein ...

Подробнее
30-05-2013 дата публикации

Liquid recovery apparatus, exposure apparatus, exposure method, and device manufacturing method

Номер: US20130135596A1
Принадлежит: Nikon Corp

A liquid immersion exposure apparatus that exposes a substrate via a projection optical system and liquid includes a movable member having an upper surface, a part of which holds the substrate. A liquid immersion system that has a supply port and a recovery port supplies the liquid to a space between the projection optical system and the upper surface via the supply port of a supply path and recovers the liquid of a liquid immersion region formed in the space via the recovery port of a recovery path. A flow path is connected to the supply path between the supply port and a source of the liquid, the flow path permitting flow therethrough to remove liquid that remains in the supply path, the liquid that remains in the supply path having been supplied from the source of the liquid without being discharged from the supply port.

Подробнее
30-05-2013 дата публикации

EXPOSURE APPARATUS, METHOD FOR PRODUCING DEVICE, AND METHOD FOR CONTROLLING EXPOSURE APPARATUS

Номер: US20130135597A1
Принадлежит: NIKON CORPORATION

A liquid immersion exposure apparatus includes a movable member having a substrate holder and a surface disposed adjacent to the substrate holder, the surface capable of positioning under a projection optical system. A liquid immersion system has a supply path and a recovery path. The liquid immersion system supplies the liquid to a space between the projection optical system and the surface via the supply path and recovers the supplied liquid of a liquid immersion area formed under the projection optical system via the recovery path. A controller stops a supply of the liquid to the space under the projection optical system by the liquid immersion system on receipt of a signal indicating the occurrence of an abnormality. The abnormality causes a possibility of leakage due to at least a part of the supplied liquid not being recovered via the recovery path and outflowing from the liquid immersion area. 1. A liquid immersion exposure apparatus which exposes a substrate via a projection optical system and liquid , the apparatus comprising:a movable member which has a substrate holder and a surface disposed adjacent to the substrate holder, the surface capable of positioning under the projection optical system;a liquid immersion system which has a supply path and a recovery path, the liquid immersion system supplying the liquid to a space between the projection optical system and the surface via the supply path and recovering the supplied liquid of a liquid immersion area formed under the projection optical system via the recovery path; anda controller that stops a supply of the liquid to the space under the projection optical system by the liquid immersion system on receipt of a signal indicating the occurrence of an abnormality, wherein the abnormality causes a possibility of leakage due to at least a part of the supplied liquid not being recovered via the recovery path and outflowing from the liquid immersion area.2. The liquid immersion exposure apparatus according ...

Подробнее
06-06-2013 дата публикации

EXPOSURE METHOD, SUBSTRATE STAGE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: US20130141701A1
Принадлежит: NIKON CORPORATION

An exposure apparatus exposes a substrate by projecting a pattern image onto the substrate through a liquid. The exposure apparatus includes a projection optical system by which the pattern image is projected onto the substrate, and a movable member which is movable relative to the projection optical system. A liquid-repellent member, at least a part of a surface of which is liquid-repellent, is provided detachably on the movable member, the liquid-repellent member being different from the substrate. 1a stage that supports the substrate on the side of the image plane of the projection optical system and that is movable relative to the projection optical system;the stage includinga support that supports a rear surface of the substrate;a peripheral wall that is disposed around the support, and that faces the rear surface of the substrate supported by the support;an upper surface that is disposed outside the peripheral wall, and that is contactable with the liquid immersion region; anda flow channel connected to an outside space of the peripheral wall so that the liquid, which is flowed into the outside space through a gap between the substrate supported by the support and the upper surface, is discharged from the outside space,the outside space is located outside of the peripheral wall relative to the support.. An exposure apparatus that exposes a substrate through a projection optical system and a liquid immersion region formed by a liquid supplied on a side of an image plane of the projection optical system, the exposure apparatus comprising: This is a continuation of U.S. patent application Ser. No. 12/232,064, filed Sep. 10, 2008, which is a division of U.S. patent application Ser. No. 11/297,324 filed Dec. 9, 2005, which in turn is a Continuation of International Application No. PCT/JP2004/008578, filed Jun. 11, 2004, which claims priority to Japanese Patent Application Nos. 2003-169904 (filed on Jun. 13, 2003), 2003-383887 (filed on Nov. 13, 2003), and 2004- ...

Подробнее
06-06-2013 дата публикации

Apparatus and methods for keeping immersion fluid adjacent to an optical assembly during wafer exchange in an immersion lithography machine

Номер: US20130141702A1
Принадлежит: Nikon Corp

A lithographic projection apparatus includes a projection optical assembly having a final optical element, a stage assembly including a substrate table on which a substrate is supported, the substrate supported by the substrate table being exposed with an exposure beam from the final optical element of the projection optical assembly through an immersion liquid, a confinement member which encircles a portion of a path of the exposure beam, and a movable member which is movable in a space between the confinement member and the substrate, the substrate table, or both, the space being divided by the movable member into a first portion between the confinement member and the movable member and a second portion between the movable member and the substrate, the substrate table, or both. The movable member has a recovery outlet from which the immersion liquid in the second portion is removed.

Подробнее
06-06-2013 дата публикации

EXPOSURE METHOD, SUBSTRATE STAGE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: US20130141703A1
Принадлежит: NIKON CORPORATION

An exposure apparatus exposes a substrate by projecting a pattern image onto the substrate through a liquid. The exposure apparatus includes a projection optical system by which the pattern image is projected onto the substrate, and a movable member which is movable relative to the projection optical system. A liquid-repellent member, at least a part of a surface of which is liquid-repellent, is provided detachably on the movable member, the liquid-repellent member being different from the substrate. 1a stage that supports the substrate on the side of the image plane of the projection optical system and that is movable relative to the projection optical system;the stage includinga support that supports a rear surface of the substrate;a peripheral wall that is disposed around the support, and that faces the rear surface of the substrate supported by the support;an upper surface that is contactable with the liquid immersion region, and that is formed an opening in which the substrate supported by the support id disposed; anda flow channel connected to an outside space of the peripheral wall so that the liquid, which is flowed into the outside space through the opening, is discharged from the outside space,the outside space is located outside of the peripheral wall relative to the support.. An exposure apparatus that exposes a substrate through a projection optical system and a liquid immersion region formed by a liquid supplied on a side of an image plane of the projection optical system, the exposure apparatus comprising: This is a continuation of U.S. patent application Ser. No. 12/232,064, filed Sep. 10, 2008, which is a division of U.S. patent application Ser. No. 11/297,324 filed Dec. 9, 2005, which in turn is a Continuation of International Application No. PCT/JP2004/008578, filed Jun. 11, 2004, which claims priority to Japanese Patent Application Nos. 2003-169904 (filed on Jun. 13, 2003), 2003-383887 (filed on Nov. 13, 2003), and 2004-039654 (filed on Feb. 17, ...

Подробнее
06-06-2013 дата публикации

EUV Exposure Apparatus

Номер: US20130141707A1
Принадлежит: ASML Netherlands BV, CARL ZEISS SMT GMBH

A projection lens of an EUV-lithographic projection exposure system with at least two reflective optical elements each comprising a body and a reflective surface for projecting an object field on a reticle onto an image field on a substrate if the projection lens is exposed with an exposure power of EUV light, wherein the bodies of at least two reflective optical elements comprise a material with a temperature dependent coefficient of thermal expansion which is zero at respective zero cross temperatures, and wherein the absolute value of the difference between the zero cross temperatures is more than 6K.

Подробнее
13-06-2013 дата публикации

EXPOSURE APPARATUS

Номер: US20130148090A1
Автор: Shibuta Makoto
Принадлежит: NIKON CORPORATION

An exposure apparatus that can prevent disadvantages due to a leaked liquid is provided. The exposure apparatus includes a substrate holder that includes a peripheral wall portion and supporting portions located on an inside of the peripheral wall portion and that supports a substrate with the supporting portions by negatively pressurizing a space surrounded by the peripheral wall portion, and a recovery mechanism that includes a collection inlets provided on the inside of the peripheral wall portion and a vacuum system connected to the collection inlets, in which a liquid penetrated from an outer periphery of the substrate is sucked and recovered, in the state with an upper surface of the peripheral wall portion and a back surface of the substrate being spaced at a first distance. 1. An exposure apparatus which exposes a substrate via a projection optical system and a liquid , comprising:a supply port through which a liquid is supplied, the supply port supplying the liquid onto the substrate during exposure of the substrate; anda first collection port through which a part of the supplied liquid is recovered,wherein the recovered liquid flows in a channel a part of which is formed by a liquid-repellent material and is provided between the first collection port and a vacuum system.2. The exposure apparatus according to claim 1 ,wherein a liquid-repellent layer of the liquid-repellent material is provided along the channel.3. An exposure apparatus which exposes a substrate via a projection optical system and a liquid claim 1 , comprising:a substrate stage which holds the substrate;a supply port through which a liquid is supplied, the supply port supplying the liquid onto the substrate held by the substrate stage during exposure of the substrate; anda first collection port provided to the substrate stage and through which a part of the supplied liquid is recovered,wherein the recovered liquid flows in a channel provided to the substrate stage between the first ...

Подробнее
20-06-2013 дата публикации

WORK STAGE OF EXPOSING APPARATUS, EXPOSING METHOD AND METHOD OF MANUFACTURING A STRUCTURE

Номер: US20130155382A1
Автор: INOUE Eriko
Принадлежит:

At a time of aligning a thin plate-shaped work of which both front and rear surfaces are subjected to work, a deflection caused at a central portion of the thin plate-shaped work is corrected and the thin plate-shaped work is controlled to be parallel state with respect to a photomask. 1. An exposing method comprising the steps of:supporting a peripheral portion of a thin plate-shaped workpiece of which both front and rear surfaces are subjected to work is supported by a supporting portion of a workpiece stage provided to an exposing apparatus;facing the thin plate-shaped work, supported by the supporting portion, closely to a photomask through an alignment gap;correcting a deflection of a plate-shaped work, caused at a central portion of the thin plate-shaped work supported by the supporting portion, by a flowing fluid into a hollow portion formed at an inside the supporting portion between inside and outside of the hollow portion;adjusting a position of the thin plate-shaped work having a peripheral portion supported by the supporting portion and a position of the photomask so as to align both the position;blasting the fluid to a peripheral surface of the work from the supporting portion side to thereby separate the workpiece from the supporting portion and closely contact the peripheral portion of the work to the photomask, and introducing a pressure of the fluid higher that in an alignment operation to urge the central portion of the work against the photomask to thereby correct deflection for the workpiece; andexposing the thin plate-shaped workpiece with light irradiated from upper side of the photomask.2. The exposing method according to claim 1 , wherein the supporting step is performed such that the peripheral portion of the thin plate-shaped work is sucked to the supporting portion by a vacuum-sucking device thereby to support the peripheral portion.3. A method of manufacturing a structure in which the structure is manufactured by using the thin plate- ...

Подробнее
04-07-2013 дата публикации

EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, AND RECORDING MEDIUM

Номер: US20130169944A1
Принадлежит: NIKON CORPORATION

An exposure apparatus that exposes a substrate with exposure light through a first liquid. The exposure apparatus includes: an optical member that has an emission surface which emits the exposure light; a first member that forms a first liquid immersion space of the first liquid in at least a part of a first space under the first lower surface and an optical path space including an optical path of the exposure light from the emission surface; and a second member that forms a second liquid immersion space of a second liquid, the second member being capable of moving in a state where the second liquid immersion space is formed separated from the first liquid immersion space. 1. An exposure apparatus that exposes a substrate with exposure light through a first liquid , the exposure apparatus comprising:an optical member that has an emission surface which emits the exposure light;a first member that is disposed at at least a part of a surrounding of the optical member and forms a first liquid immersion space of the first liquid, the first member having a first lower surface to which an object can be opposed, the object being capable of moving to a position opposed to the emission surface, the first liquid immersion space being formed in at least a part of a first space under the first lower surface and an optical path space including an optical path of the exposure light from the emission surface; anda second member that is disposed outside the first member with respect to the optical path and forms a second liquid immersion space of a second liquid, the second member having a second lower surface to which the object can be opposed, the second liquid immersion space being formed in at least a second space under the second lower surface, the second member being capable of moving in a state where the second liquid immersion space is formed separated from the first liquid immersion space.2. The exposure apparatus according to claim 1 , wherein the second member is moved ...

Подробнее
04-07-2013 дата публикации

LITHOGRAPHY MACHINE AND SCANNING AND EXPOSING METHOD THEREOF

Номер: US20130169946A1

The present disclosure provides a lithography machine and a scanning and exposing method thereof. According to the scanning and exposing method, the scanning and exposing process for a whole wafer includes two alternately circulated motions: a scanning and exposing motion and a stepping motion; and the scanning and exposing motion is a sinusoidal motion rather than a rapid-acceleration uniform-speed rapid-deceleration scanning and exposing motion in the conventional techniques. During the scanning of a single exposure shot, it may begin to scan the exposure shot once a wafer stage and a reticle stage begin to accelerate from zero speed. And the scanning and exposing may not end until the speeds of the wafer stage and the reticle decrease to zero. Therefore, the effective time of the scanning and exposing in the scanning and exposing motion is greatly increased and the production efficiency of the wafer is improved. 1. A scanning and exposing method for a lithography machine containing a wafer stage carrying a wafer to be exposed and a reticle stage carrying a reticle mask , a region on the wafer to be exposed being divided into two or more exposure shots , the method comprising:stepping the wafer stage to a first exposure shot;moving the wafer stage and the reticle stage synchronously in opposite directions respectively for scanning and exposing the first exposure shot,wherein, during the scanning and exposing of the first exposure shot, the speed curves of the wafer stage and the reticle stage are sinusoidal curves, and magnitudes of the speeds of the wafer stage and the reticle stage gradually increase from zero to maximum values and then decrease to zero respectively, and the ratio of the magnitude of the speed of the wafer stage to the magnitude of the speed of the reticle stage is equal to a ratio of a size of a pattern finally is formed on the wafer to a size of a pattern of the reticle mask.2. The scanning and exposing method according to claim 1 , wherein ...

Подробнее
11-07-2013 дата публикации

OPTOFLUIDIC LITHOGRAPHY SYSTEM, METHOD OF MANUFACTURING TWO-LAYERED MICROFLUID CHANNEL, AND METHOD OF MANUFACTURING THREE-DIMENSIONAL MICROSTRUCTURES

Номер: US20130176543A1
Принадлежит: SNU R&DB FOUNDATION

An optofluidic lithography system including a membrane, a microfluidic channel, and a pneumatic chamber is provided. The membrane may be positioned between a pneumatic chamber and a microfluidic channel. The microfluidic channel may have a height corresponding to a displacement of the membrane and have a fluid flowing therein, the fluid being cured by light irradiated from the bottom to form a microstructure. The pneumatic chamber may induce the displacement of the membrane depending on an internal atmospheric pressure thereof. 123-. (canceled)24. An optofluidic lithography system comprising:a membrane;a pneumatic chamber, the pneumatic chamber configured to induce the displacement of the membrane depending on an internal atmospheric pressure thereof; anda microfluidic channel adjacent the membrane and outside the pneumatic chamber, the microfluidic channel having a height corresponding to a displacement of the membrane and having a fluid flowing therein, the fluid being cured by light irradiated from the bottom to form a microstructure.25. The optofluidic lithography system according to claim 24 , wherein the membrane is formed of polydimethylsiloxane.26. The optofluidic lithography system according to claim 24 , wherein the fluid is photocurable.27. The optofluidic lithography system according to claim 24 , wherein the pneumatic chamber is connected to an air injection pump capable of introducing air to and discharging air from the pneumatic chamber.28. The optofluidic lithography system according to further comprising:an injection tube through which the fluid is injected into the microfluidic channel; anda discharge tube through which the fluid is discharged from the microfluidic channel.29. The optofluidic lithography system according to claim 24 , further comprising:a light source configured to generate light capable of curing the fluid flowing in the microfluidic channel; anda spatial light modulator configured to modulate the light provided from the light ...

Подробнее
18-07-2013 дата публикации

EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE

Номер: US20130182232A1
Автор: HIRUKAWA Shigeru
Принадлежит: NIKON CORPORATION

An exposure apparatus and method exposes a substrate by projecting an image of a pattern onto the substrate through a liquid. A projection optical system projects the image of the pattern onto the substrate. A recovery port recovers the liquid supplied onto the substrate. A temperature sensor measures a temperature of the liquid recovered via the recovery port. 1. An exposure apparatus which exposes a substrate by projecting an image of a pattern onto the substrate through a liquid , the exposure apparatus comprising:a projection optical system which projects the image of the pattern onto the substrate;a recovery port which recovers the liquid supplied onto the substrate; anda temperature sensor which measures a temperature of the liquid recovered via the recovery port.2. The exposure apparatus according to claim 1 , further comprising a recovery tube which is connected to the recovery port and in which the temperature sensor is arranged.3. The exposure apparatus according to claim 1 , further comprising a supply port which supplies the liquid onto the substrate claim 1 , wherein a temperature of the liquid to be supplied from the supply port is adjusted on the basis of a result of a measurement performed by the temperature sensor.4. The exposure apparatus according to claim 1 , further comprising a supply port which supplies the liquid onto the substrate claim 1 , wherein a supply amount of the liquid supplied from the supply port per a unit of time is adjusted on the basis of a result of a measurement performed by the temperature sensor.5. The exposure apparatus according to claim 1 , wherein a projection state of the projection optical system is adjusted on the basis of a result of a measurement performed by the temperature sensor.6. The exposure apparatus according to claim 5 , further comprising a substrate stage which is movable while holding the substrate claim 5 , wherein the adjustment of the projection state includes controlling a movement of the substrate ...

Подробнее
18-07-2013 дата публикации

EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD

Номер: US20130182233A1
Автор: HARA Hideaki
Принадлежит: NIKON CORPORATION

An exposure apparatus is provided which can supply and collect a liquid in a prescribed state, and that can suppress degradation of a pattern image projected onto a substrate. The exposure apparatus is provided with a nozzle member having a supply outlet that supplies a liquid and a collection inlet that collects a liquid, and a vibration isolating mechanism that supports the nozzle member and vibrationally isolates the nozzle member from a lower side step part of a main column . 1. An exposure apparatus comprising:a projection system having an optical element via which an exposure beam is projected, the exposure beam from the projection system being projected onto a substrate;a nozzle member having an opening through which the exposure beam is projected, the nozzle member having a liquid supply outlet, or a liquid collection inlet or both of the liquid supply outlet and the liquid collection inlet, the nozzle member being supported with 6 degree of freedom; anda drive apparatus having a motor, that moves the nozzle member.2. The exposure apparatus according to claim 1 , wherein the drive apparatus moves the nozzle member in a direction parallel to an optical axis direction of the projection system.3. The exposure apparatus according to claim 1 , wherein the drive apparatus moves the nozzle member in a rotation direction around an axis which is vertical to the optical axis of the projection system.4. The exposure apparatus according to claim 1 , wherein the drive apparatus moves the nozzle member in a direction vertical to the optical axis of the projection system.5. The exposure apparatus according to claim 1 , wherein the drive apparatus rotates the nozzle member around an axis parallel to the optical axis of the projection system.6. The exposure apparatus according to claim 1 , wherein the drive apparatus moves the nozzle member by a Lorentz force. This is a Continuation of Application No. Ser. 12/382,229 filed Mar. 11, 2009, which in turn is a Division of ...

Подробнее
25-07-2013 дата публикации

RESIST COATING AND DEVELOPING APPARATUS, RESIST COATING AND DEVELOPING METHOD, RESIST-FILM PROCESSING APPARATUS, AND RESIST-FILM PROCESSING METHOD

Номер: US20130188158A1
Автор: INATOMI Yuichiro
Принадлежит: TOKYO ELECTRON LIMITED

The present invention provides a resist coating and developing apparatus, a resist coating and developing method, a resist-film processing apparatus, and a resist-film processing method, capable of reducing a line width roughness by planarizing a resist pattern. The resist coating and developing apparatus comprises: a resist-film forming part configured to coat a resist onto a substrate to form a resist film thereon; a resist developing part configured to develop the exposed resist film to obtain a patterned resist film; and a solvent-gas supply part configured to expose the resist film, which has been developed and patterned by the resist developing part, to a first solvent of a gaseous atmosphere having a solubility to the resist film. A solvent supply part supplies, to the resist film which has been exposed to the first solvent, a second solvent in a liquid state having a solubility to the resist film. 1. A resist coating and developing apparatus comprising:a resist-film forming part configured to coat a resist onto a substrate to form a resist film thereon;a resist developing part configured to develop the exposed resist film to obtain a patterned resist film;a solvent-gas supply part configured to expose the resist film, which has been developed and patterned by the resist developing part, to a first solvent of a gaseous atmosphere having a solubility to the resist film; anda solvent supply part configured to supply to the resist film which has been exposed to the first solvent, a second solvent in a liquid state having a solubility to the resist film,wherein a solubility of the second solvent to a part of the resist film which has absorbed the first solvent so that the part is dissolved by the first solvent and becomes swollen, is higher than a solubility of the second solvent to a part which does not absorb the first solvent.2. The resist coating and developing apparatus according to claim 1 , further comprising a housing in which the solvent-gas supply part ...

Подробнее
25-07-2013 дата публикации

LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD

Номер: US20130188159A1
Автор: Shibazaki Yuichi
Принадлежит: NIKON CORPORATION

A liquid immersion member forms a liquid immersion space between the member and a movable object such that an optical path of exposure light is filled with liquid. The liquid immersion member includes: a first plate that is disposed at least partially around the optical path; a second plate that is disposed at least partially around the optical path, and has an upper surface, opposed to at least a part of a lower surface of the first plate, and a lower surface which can be opposed to the object; and a collection port that is disposed outside the first plate with respect to the optical path, can be at least partially opposed to the object, and collects at least some of the liquid from a first space, which the upper surface of the second plate faces, and a second space which the lower surface of the second plate faces. 1. A liquid immersion member that forms a liquid immersion space between said member and a movable object such that an optical path of exposure light is filled with liquid , the liquid immersion member comprising:a first plate that is disposed at least partially around the optical path;a second plate that is disposed at least partially around the optical path, and has an upper surface, which is opposed to at least a part of a lower surface of the first plate, and a lower surface, which can be opposed to the object; anda collection port that is disposed outside the first plate with respect to the optical path, can be at least partially opposed to the object, and collects at least some of the liquid from a first space, which the upper surface of the second plate faces, and a second space which the lower surface of the second plate faces.2. The liquid immersion member according to claim 1 , wherein at least a part of the collection port is disposed outside the second plate in a radiation direction of the optical path.3. The liquid immersion member according to claim 1 , wherein the collection port collects at least a part of the liquid from the second ...

Подробнее
01-08-2013 дата публикации

Developing method

Номер: US20130194557A1
Принадлежит: Tokyo Electron Ltd

A method of developing a substrate including rotating the substrate and supplying a developing liquid from a discharge port of a developer nozzle onto the surface of the substrate, while moving the developer nozzle, disposed above the substrate, from a central portion towards a peripheral portion of the substrate, and supplying a first rinse liquid from a discharge port of a first rinse nozzle onto the surface of the substrate, while moving the first rinse nozzle, disposed above the substrate, from the central portion towards the peripheral portion of the substrate. The supplying of the developing liquid and the first rinse liquid are performed concurrently, with the first rinse nozzle being maintained nearer to a center of the substrate than the developer nozzle.

Подробнее
01-08-2013 дата публикации

Projection optical system, exposure apparatus, and exposure method

Номер: US20130194560A1
Принадлежит: Nikon Corp

An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis ( 40 a ) of a circle ( 40 ) corresponding to a circumference of a light entering surface (Lpa) of the refractive optical element. The central axis of the light emitting surface is decentered in one of the two axial directions (Y-axis) from an optical axis (AX).

Подробнее
08-08-2013 дата публикации

EXPOSURE METHOD, EXPOSURE APPARATUS AND CLEANING METHOD

Номер: US20130201460A1
Автор: TANI Yasuhisa
Принадлежит: NIKON CORPORATION

There is provided an exposure method for exposing a substrate by using an immersion exposure apparatus provided with a water-repellent area which has a water repellent film and which is at least a part of an area configured to make contact with a liquid so as to irradiate an exposure light onto the substrate via the liquid, the exposure method including: a measuring step of performing a measurement via the liquid with respect to at least a part of the water-repellent area having the water repellent film; and an exposure step of irradiating the exposure light onto the substrate via the liquid. In the measuring step and/or the exposure step, oxidation-reduction potential of the liquid is controlled to a predetermined value. 1. An exposure method for exposing a substrate by using an immersion exposure apparatus provided with a water-repellent area which has a water repellent film therein and which is at least a part of an area configured to make contact with a liquid so as to irradiate an exposure light onto the substrate via the liquid , the exposure method comprising:a measuring step of performing a measurement via the liquid with respect to at least a part of the water-repellent area having the water repellent film; andan exposure step of irradiating the exposure light onto the substrate via the liquid,wherein in the measuring step and/or the exposure step, oxidation-reduction potential of the liquid is controlled to a predetermined value.2. The exposure method according to claim 1 , further comprising a cleaning step of cleaning the water-repellent area having the water repellent film by using the liquid.3. The exposure method according to claim 1 , wherein the oxidation-reduction potential of the liquid used in the measuring step is controlled to be lower than the oxidation-reduction potential of the liquid used in the exposure step.4. The exposure method according to claim 2 , wherein the oxidation-reduction potential of the liquid used in the measuring step is ...

Подробнее
22-08-2013 дата публикации

Exposure apparatus, and device manufacturing method

Номер: US20130215403A1
Автор: Akimitsu Ebihara
Принадлежит: Nikon Corp

An exposure apparatus and method exposes a substrate via a projection optical system and liquid. A liquid immersion member having a lower surface and a recovery port at its lower surface side supplies liquid to form a liquid immersion region below the projection optical system and recovers the liquid via the recovery port. First and second tables, on which a substrate is mountable, are positionable opposite to the lower surface of the liquid immersion member. A drive system moves the first and second tables below the projection optical system relative to the liquid immersion member to replace one of the tables positioned opposite to the lower surface of the liquid immersion member with the other of the tables, whereby the liquid immersion region is transferred from the one to the other of the tables while the liquid immersion region is maintained below and in contact with the projection optical system.

Подробнее
05-09-2013 дата публикации

EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: US20130229637A1
Автор: EBIHARA Akimitsu
Принадлежит: NIKON CORPORATION

An exposure apparatus and method exposes a substrate via a projection optical system and a liquid. A liquid immersion system supplies liquid to form a liquid immersion region below the projection optical system. First and second tables each can mount a substrate. A drive system drives the first and second tables, which are positionable below the projection optical system. The drive system is controlled to move the first and second tables below the projection optical system relative to the liquid immersion region to replace one of the first and second tables positioned below the projection optical system with the other of the first and second tables such that the liquid immersion region is transferred from the one of the first and second tables to the other of the first and second tables while the liquid immersion region is maintained below and in contact with the projection optical system. 1. An exposure apparatus that exposes a substrate via a projection optical system and a liquid , the apparatus comprising:a liquid immersion system that supplies the liquid to form a liquid immersion region below the projection optical system;a first table on which a substrate is mountable;a second table on which a substrate is mountable;a drive system that drives the first and second tables, the first and second tables each being positionable below the projection optical system by the drive system; anda controller configured to control the drive system to move the first and second tables below the projection optical system relative to the liquid immersion region to replace one of the first and second tables positioned below the projection optical system with the other of the first and second tables such that the liquid immersion region is transferred from the one of the first and second tables to the other of the first and second tables while the liquid immersion region is maintained below and in contact with the projection optical system.2. The exposure apparatus according to ...

Подробнее
12-09-2013 дата публикации

LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: US20130235358A1
Принадлежит: ASML Netherlands B.V.

A lithographic projection apparatus includes a support structure configured to hold a patterning device, the patterning device configured to pattern a beam of radiation according to a desired pattern; a substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; a liquid supply system configured to provide liquid to a space between the projection system and the substrate; and a shutter configured to isolate the space from the substrate or a space to be occupied by a substrate. 1. A lithographic projection apparatus comprising:a substrate table by which a substrate is held;a projection system by which a patterned beam is projected onto a target portion of the substrate, liquid being provided to a space between the projection system and the substrate; anda member having a first side and a second side opposite from the first side, the member being removably positionable adjacent to the projection system between the projection system and the substrate table so that the first side faces the projection system and the second side faces the substrate table to isolate the space provided with the liquid which contacts the first side of the member from a second space located on the second side of the member, wherein the member is separable from the substrate table and the projection system.2. The apparatus according to claim 1 , wherein the member is positionable opposite the projection system such that liquid can be confined between the projection system and the member.3. The apparatus according to claim 1 , wherein the member is releasably connectable to an object in the apparatus.4. The apparatus according to claim 3 , further comprising a vacuum-clamp by which the member is releasably connectable to the object.5. A lithographic projection apparatus comprising:a support structure configured to hold a patterning device, the patterning device configured to pattern a beam of radiation ...

Подробнее
12-09-2013 дата публикации

MAINTENANCE METHOD, MAINTENANCE DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: US20130235359A1
Принадлежит: NIKON CORPORATION

An exposure apparatus is provided with a nozzle member that has at least one of a supply outlet which supplies the liquid and a collection inlet which recovers the liquid. By immersing the nozzle member in cleaning liquid LK stored in container, the nozzle member is cleaned. 1. A maintenance method for a liquid immersion exposure apparatus in which a substrate is exposed through water during an exposure operation , the method comprising:cleaning a nozzle member with a cleaning liquid during a cleaning operation;after cleaning the nozzle member with the cleaning liquid, supplying water from a supply opening of the nozzle member and collecting the supplied water via a collection opening of the nozzle member; andmeasuring at least either a property or a constituent of the water collected via the collection opening of the nozzle member.2. The method of claim 1 , wherein a result of the cleaning operation is judged based on the measurement result.3. The method of claim 1 , wherein the at least either the property or the constituent of the collected water includes total organic carbon.4. The method of claim 1 , wherein the at least either the property or the constituent of the collected water is measured by a measuring instrument which includes a particle counter.5. The method of claim 1 , wherein the nozzle member is cleaned with the cleaning liquid while the nozzle member is supported by the liquid immersion exposure apparatus.6. The method of claim 1 , wherein the nozzle member is cleaned with the cleaning liquid while the nozzle member is detached from the liquid immersion exposure apparatus.7. The method according to claim 5 , whereinthe liquid immersion exposure apparatus has a supporting system that supports the nozzle member, andthe nozzle member is cleaned in a state such that the nozzle member is supported by the supporting system.8. The method of further comprising placing a container under the nozzle member claim 1 , wherein the cleaning liquid is disposed in ...

Подробнее
12-09-2013 дата публикации

Lithographic Method to Apply a Pattern to a Substrate and Lithographic Apparatus

Номер: US20130235360A1
Автор: KOK Haico Victor
Принадлежит: ASML Netherlands B.V.

A slit shaped area of a patterning device is illuminated to impart a radiation beam with a pattern in its cross-section. A projection system projects the patterned radiation beam onto a target portion of a substrate. As the radiation beam is scanned across the target portion of the substrate, a configuration of the projection system is adjusted and applies a pattern to the target portion. The adjusting may affect a magnitude of an image magnification component of the projection system, along the length of the slit shaped area, or an image distortion in a scan direction. The adjusting is arranged to compensate an effect on pattern overlay accuracy of a distortion of the patterning device. 1illuminating a slit shaped area, elongated along a first direction, of a patterning device with a radiation beam to provide a patterned radiation beam;scanning the patterning device through the radiation beam in a scan direction perpendicular to the first direction;imaging the patterned radiation beam onto a target portion of the substrate using a projection system, a characteristic of the projection system being an image magnification component along the first direction;synchronously scanning the substrate along the scan direction; andapplying an adjustment to the image magnification component as the substrate is scanned across a footprint of the radiation beam corresponding to the slit shaped area, a magnitude of the adjustment being a function of a position of the footprint relative to a center of the target portion.. A lithographic method to apply a pattern to a substrate comprising: 1. Field of InventionThe present invention relates to a lithographic apparatus and method.2. Related ArtA lithographic apparatus is a machine that applies a desired pattern onto a target portion of a substrate. Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that circumstance, a patterning device, which is alternatively referred to as a mask or a ...

Подробнее
19-09-2013 дата публикации

Illumination optical apparatus and projection exposure apparatus

Номер: US20130242280A1
Автор: Naomasa Shiraishi
Принадлежит: Nikon Corp

An illumination optical apparatus and projection exposure apparatus capable of reducing a light quantity loss when a mask is illuminated with a polarized illumination light. An illumination optical system for illuminating a reticle with an illumination light and a projection optical system for projecting the pattern image of the reticle onto a wafer are provided. An illumination light emitted from an exposure light source in a linearly polarized state in the illumination optical system passes through first and second birefringent members having different fast axis directions and is converted into a polarized state that is substantially linearly polarized in a circumferential direction with the optical axis as the center in an almost specific annular area, and them illuminates the reticle under an annular illuminating condition after passing through a fly-eye lens.

Подробнее
19-09-2013 дата публикации

Reflective Lithography Masks and Systems and Methods

Номер: US20130244139A1

Various non-planar reflective lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a transparent substrate, a reflective material, and a reticle pattern. The transparent substrate comprises a curved surface. The reflective material adjoins the curved surface of the transparent substrate, and an interface between the reflective material and the transparent substrate is a reflective surface. The reticle pattern is on a second surface of the transparent substrate. A reflectivity of the reticle pattern is less than a reflectivity of the reflective material. Methods for forming similar lithography masks and for using similar lithography masks are disclosed.

Подробнее
26-09-2013 дата публикации

Method and device using rotating printing arm to project or view image across a workpiece

Номер: US20130250030A1
Принадлежит: MICRONIC MYDATA AB

The technology disclosed relates to scanning of large flat substrates for reading and writing images. Examples are flat panel displays, PCB's and photovoltaic panels. Reading and writing is to be understood in a broad sense: reading may mean microscopy, inspection, metrology, spectroscopy, interferometry, scatterometry, etc. of a large workpiece, and writing may mean exposing a photoresist, annealing by optical heating, ablating, or creating any other change to the surface by an optical beam. In particular, we disclose a technology that uses a rotating or swinging arm that describes an arc across a workpiece as it scans, instead of following a traditional straight-line motion.

Подробнее
26-09-2013 дата публикации

EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: US20130250257A1
Автор: EBIHARA Akimitsu
Принадлежит: NIKON CORPORATION

Exposure apparatus and methods expose a substrate with an energy beam via a projection optical system and has first and second tables on each of which a substrate is mountable. A mark detection system is arranged in a second area different from a first area in which the projection optical system is arranged. A substrate mounted on one of the first and second tables is moved in the first area while the one table is held by a first movable member. A substrate mounted on another of the first and second tables is moved in the second area while the another table is held by a second movable member. The tables held by the first and second movable members are driven so that the another table is moved from the second to the first movable member to be held in place of the one table. 1. An exposure apparatus that exposes a substrate with an energy beam via a projection optical system , the apparatus comprising:first and second tables on each of which a substrate is mountable;a mark detection system that is arranged in a second area, which is different from a first area in which the projection optical system is arranged, and that is configured to detect a mark on the substrate held by either of the first and second tables;a drive system that has a first movable member that moves in the first area, and a second movable member that moves in the second area, and that moves a substrate mounted on one table of the first and second tables in the first area while the one table is held by the first movable member, and that moves a substrate mounted on another table of the first and second tables in the second area while the another table is held by the second movable member; anda controller that controls the drive system to drive the one table held by the first movable member and to drive the another table held by the second movable member so that the another table is moved from the second movable member to the first movable member so that the another table is held by the first movable ...

Подробнее
26-09-2013 дата публикации

EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE

Номер: US20130250258A1
Автор: Nagasaka Hiroyuki
Принадлежит: NIKON CORPORATION

A liquid immersion exposure apparatus in which a substrate is exposed with an exposure beam, includes a projection optical system by which the substrate is exposed to the exposure beam, a first inlet disposed at a first position, which is capable of supplying a first liquid to a space adjacent to a bottom surface of the projection optical system, and a second inlet disposed at a second position which is different from the first position, the second inlet being capable of supplying a second liquid that is different from the first liquid to the space. 1. A liquid immersion exposure apparatus that exposes a substrate via a projection optical system and a liquid , the apparatus comprising:a liquid immersion member provided surrounding an optical element of the projection optical system and configured to form, with the liquid, a liquid immersion area below the projection optical system, the optical element being arranged to be in contact with the liquid;a substrate stage having a holder to hold the substrate, the substrate stage being configured to move below the projection optical system such that the substrate is relatively moved with respect to the liquid immersion area that is maintained between the projection optical system and a portion of the substrate; anda driving system having an electromagnetic motor of which a portion is provided in the substrate stage, the driving system being configured to drive the substrate stage, in the relative movement, at least one of a velocity and an acceleration that are determined based on information about a contact angle between the substrate and the liquid of the liquid immersion area.2. The liquid immersion exposure apparatus according to claim 1 , further comprising:a controller configured to control the driving system to drive the substrate stage based on at least the one of the velocity and the acceleration that are determined.3. The liquid immersion exposure apparatus according to claim 2 , whereinthe substrate stage is ...

Подробнее
26-09-2013 дата публикации

EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE

Номер: US20130250259A1
Автор: Nagasaka Hiroyuki
Принадлежит: NIKON CORPORATION

A liquid immersion exposure apparatus in which a substrate is exposed with an exposure beam, includes a projection optical system by which the substrate is exposed to the exposure beam, a first inlet disposed at a first position, which is capable of supplying a first liquid to a space adjacent to a bottom surface of the projection optical system, and a second inlet disposed at a second position which is different from the first position, the second inlet being capable of supplying a second liquid that is different from the first liquid to the space. 1determining a liquid immersion condition for the substrate depending on a film formed as a liquid contact surface of the substrate; andexposing the substrate under the determined liquid immersion condition.. An exposure method for exposing a substrate by projecting an image of a pattern onto the substrate through a liquid, the exposure method comprising: This application is a Continuation of U.S. patent application Ser. No. 12/222,029 filed Jul. 31, 2008, which in turn is a Division of U.S. patent application Ser. No. 11/283,724 filed Nov. 22, 2005 and issued as U.S. Pat. No. 7,495,744, which in turn is a Continuation of International Application No. PCT/JP2004/007415 filed May 24, 2004 claiming the conventional priority of Japanese patent Application No. 2003-146424 filed on May 23, 2003. The disclosure of each of these prior applications is incorporated herein by reference in its entirety.1. Field of the InventionThe present invention relates to an exposure method, an exposure apparatus, and a method for producing a device in which a substrate is exposed by projecting an image of a pattern onto the substrate via a projection optical system and a liquid.2. Description of the Related ArtSemiconductor devices and liquid crystal display devices are produced by means of the so-called photolithography technique in which a pattern formed on a mask is transferred onto a photosensitive substrate. The exposure apparatus, which ...

Подробнее
03-10-2013 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND EXPOSURE DEVICE

Номер: US20130260294A1
Автор: Yoshimochi Kazuyuki
Принадлежит: RENESAS ELECTRONICS CORPORATION

A method of manufacturing a semiconductor device in which the alignment accuracy of an immersion exposure device is maintained even when exposure steps are carried out intermittently. In the method, a substrate is placed on a stage of an exposure device (substrate placing step). Then, a first liquid is supplied to between the substrate and the optics system of the exposure device to expose the substrate through the first liquid (exposure step). A second liquid is supplied from a different place from the first liquid to a drainage groove provided around the stage at least in a period other than when the first liquid is supplied onto the stage, in order to suppress change in the temperature of the exposure device. 1. A method of manufacturing a semiconductor device comprising the steps of:placing a substrate over a stage of an exposure device (substrate placing step); andsupplying a first liquid to between the substrate and an optics system of the exposure device and exposing the substrate through the first liquid (exposure step),wherein at least in a period other than when the first liquid is supplied onto the stage, a second liquid is supplied from a different place from the first liquid to a drainage groove provided around the stage to suppress change in the temperature of the exposure device.2. The method of manufacturing a semiconductor device according to claim 1 ,wherein the second liquid has the same heat of evaporation as the first liquid.3. The method of manufacturing a semiconductor device according to claim 1 ,wherein a total liquid amount of the first liquid and the second liquid remaining in the drainage groove is kept constant.4. The method of manufacturing a semiconductor device according to claim 1 ,wherein the liquid remaining in the drainage groove is kept from overflowing from the drainage groove.5. The method of manufacturing a semiconductor device according to claim 1 ,wherein the exposure device further includes a temperature sensor which is ...

Подробнее
10-10-2013 дата публикации

LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSURE METHOD, DEVICE FABRICATING METHOD, PROGRAM, AND RECORDING MEDIUM

Номер: US20130265555A1
Автор: Shibazaki Yuichi
Принадлежит: NIKON CORPORATION

A liquid immersion member forms a liquid immersion space on an object movable below an optical member so that the light path of exposure light emitted from an emission surface of an optical member is filled with liquid. The liquid immersion member includes a first member disposed in at least a portion of the periphery of the optical member, and a second member which is movable at the outside of at least a portion of the first member and which includes a recovery port that recovers at least a portion of the liquid in the liquid immersion space. 1. A liquid immersion member in which a liquid immersion space is formed on an object movable below an optical member so that a light path of exposure light emitted from an emission surface of the optical member is filled with liquid , comprising:a first member which is disposed in at least a portion of the periphery of the optical member; anda second member which is movable below the first member to interpose a gap therebetween and which includes a recovery port that recovers at least a portion of the liquid in the liquid immersion space.2. The liquid immersion member according to claim 1 , wherein the second member is movable substantially parallel to a predetermined surface which is perpendicular to an optical axis of the optical member.3. The liquid immersion member according to claim 1 , wherein the second member is movable between the first member and the object.4. The liquid immersion member according to claim 1 , wherein the second member moves concurrently with at least a portion of the movement of the object in a state where the liquid is present in at least a portion of a space between the second member and the object.5. The liquid immersion member according to claim 1 , wherein the second member is movable while recovering the liquid from the recovery port.6. The liquid immersion member according to claim 1 , wherein the recovery port is disposed so as to face the object.7. The liquid immersion member according to ...

Подробнее
17-10-2013 дата публикации

EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: US20130271739A1
Принадлежит: NIKON CORPORATION

An exposure apparatus where liquid supply operation and liquid recovery operation for forming a liquid immersion region are excellently performed to form the liquid immersion region in a desired condition, enabling high exposure accuracy and measurement accuracy to be achieved. An exposure apparatus (EX) is an apparatus that exposes a substrate (P) by emitting exposure light (EL) on the substrate (P) through liquid (LQ). The exposure apparatus has a liquid supply mechanism () having a supply opening () capable of supplying the liquid (LQ) in the direction substantially parallel to the surface of the substrate (P). 1. An exposure apparatus that exposes a substrate by irradiating exposure light onto the substrate via a liquid , comprising:a projection optical system having a final optical element; anda nozzle member having a liquid supply port from which the liquid is supplied and a liquid recovery port via which the supplied liquid is collected, wherein:the liquid is supplied from the liquid supply port perpendicularly to an optical axis of the final optical element of the projection optical system,the nozzle member has a first surface that comes into contact with the liquid and a second surface that comes into contact with the liquid,the first surface is provided such that a surface of the substrate faces the first surface during the exposure of the substrate,the second surface is provided such that the surface of the substrate faces the second surface during the exposure of the substrate,the second surface is arranged such that the second surface surrounds the first surface and an optical path of the exposure light, andthe second surface is arranged below the first surface and the final optical element of the projection optical system.2. The exposure apparatus according to claim 1 , wherein:the nozzle member has an inner side surface facing the optical path of the exposure light, andthe liquid supply port is formed in the inner side surface.3. The exposure ...

Подробнее
24-10-2013 дата публикации

EXPOSURE APPARATUS AND EXPOSURE METHOD, MAINTENANCE METHOD, AND DEVICE MANUFACTURING METHOD

Номер: US20130278908A1
Принадлежит:

An exposure apparatus includes; a supply outlet that supplies a liquid to an optical path space of exposure light, and a liquid supply system that supplies an ionized ionic liquid to the supply outlet. 1. An exposure apparatus that exposes a substrate via a projection optical system and liquid , comprising:a substrate stage configured to move below the projection optical system while holding the substrate; anda nozzle member having a first flow path through which a first liquid for exposure flows and a second flow path through which a second liquid different from the first liquid flows.2. The exposure apparatus according to claim 1 ,wherein, in exposure process for the substrate, the first liquid is supplied via the first flow path,and wherein, in non-exposure process for the substrate, the second liquid is supplied via the second flow path.3. The exposure apparatus according to claim 1 ,wherein a first supply port is provided at a lower surface of the nozzle member to supply the first liquid.4. The exposure apparatus according to claim 3 ,wherein a second supply port, which is arranged to supply the second liquid, is identical with the first supply port, which is arranged to supply the first liquid.5. The exposure apparatus according to claim 3 ,wherein a second supply port, which is different from the first supply port, is provided at the lower surface to supply the second liquid.6. The exposure apparatus according to claim 1 ,wherein the nozzle member is cleaned by using the second liquid.7. The exposure apparatus according to claim 6 ,wherein the first liquid and the second liquid are supplied to below an optical element, which is arranged at a front portion of the projection optical system.8. The exposure apparatus according to claim 6 ,wherein, when the second liquid is supplied, a dummy substrate, which is different from the substrate, is placed on the substrate stage.9. The exposure apparatus according to claim 6 ,wherein the second liquid comprises an ion ...

Подробнее
31-10-2013 дата публикации

APPARATUS AND METHOD TO CONTROL VACUUM AT POROUS MATERIAL USING MULTIPLE POROUS MATERIALS

Номер: US20130286366A1
Принадлежит:

An immersion liquid confinement apparatus confines an immersion liquid in an immersion area that includes a gap between a projection system and an object of exposure in an immersion lithography system. The apparatus also recovers the immersion liquid from the immersion area. The apparatus includes an aperture through which a patterned image is projected, an outlet, a first chamber into which the immersion liquid is recovered through the outlet, and a second chamber into which the immersion liquid is recovered through a porous member from the first chamber. The porous member has a first surface contacting the first chamber and a second surface contacting the second chamber. A vertical position of a first portion of the first surface is different from a vertical position of a second portion of the first surface. 1. An immersion liquid confinement apparatus for confining an immersion liquid in an immersion area that includes a gap between a projection system and an object in an immersion lithography system , the apparatus also recovering the immersion liquid from the immersion area , the apparatus comprising:an aperture through which a patterned image is projected;an outlet;a first chamber into which the immersion liquid is recovered through the outlet; anda second chamber into which the immersion liquid is recovered through a porous member from the first chamber,wherein:the porous member has a first surface contacting the first chamber and a second surface contacting the second chamber, anda vertical position of a first portion of the first surface is different from a vertical position of a second portion of the first surface.2. The apparatus of claim 1 , wherein the first surface of the porous member is convex.3. The apparatus of claim 1 , wherein the first surface of the porous member is slanted.4. The apparatus of claim 1 , wherein the porous member is a mesh.5. The apparatus of claim 1 , wherein the porous member is a sponge.6. The apparatus of claim 1 , wherein ...

Подробнее
31-10-2013 дата публикации

Mirror, projection objective with such mirror, and projection exposure apparatus for microlithography with such projection objective

Номер: US20130286471A1
Принадлежит: CARL ZEISS SMT GMBH

A mirror ( 1 a; 1 a′; 1 b; 1 b′; 1 c; 1 c ′) with a substrate (S) and a layer arrangement configured such that light ( 32 ) having a wavelength below 250 nm and incident on the mirror at at least an angle of incidence of between 0° and 30° is reflected with more than 20% of its intensity. The layer arrangement has at least one surface layer system (P′″) having a periodic sequence of at least two periods (P 3 ) of individual layers, wherein the periods (P 3 ) include a high refractive index layer (H′″) and a low refractive index layer (L′″). The layer arrangement has at least one graphine layer. Use of graphene (G, SPL, B) on optical elements reduces surface roughness to below 0.1 nm rms HSFR and/or protects the EUV element against a radiation-induced volume change of more than 1%. Graphene is also employed as a barrier layer to prevent layer interdiffusion.

Подробнее
07-11-2013 дата публикации

EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD

Номер: US20130293854A1
Принадлежит: CANON KABUSHIKI KAISHA

The present invention provides an exposure apparatus which includes a projection optical system that projects a pattern of a reticle onto a substrate, and exposes the substrate through the projection optical system and a liquid, the apparatus including a recovery unit which includes a recovery path connected to a recovery port, and is configured to reduce a pressure in the recovery path to recover the liquid, supplied to a space between the projection optical system and the substrate, through the recovery port and the recovery path, and a heating unit which is disposed in the recovery path, and configured to heat the liquid recovered through the recovery port and the recovery path. 1. An exposure apparatus which includes a projection optical system that projects a pattern of a reticle onto a substrate , and exposes the substrate through the projection optical system and a liquid , the apparatus comprising:a recovery unit which includes a recovery path connected to a recovery port, and is configured to reduce a pressure in the recovery path to recover the liquid, supplied to a space between the projection optical system and the substrate, through the recovery port and the recovery path; anda heating unit which is disposed in the recovery path, and configured to heat the liquid recovered through the recovery port and the recovery path.2. The apparatus according to claim 1 , further comprising:a storage unit configured to store a time-series heating profile representing a change in amount of heat, applied to the liquid, over time,wherein the heating unit heats the liquid in accordance with the heating profile stored in the storage unit.3. The apparatus according to claim 1 , further comprising:a detection unit configured to detect at least one of a temperature of the liquid recovered by the recovery unit, a temperature of an inner portion of the projection optical system on a side of the substrate, and a temperature of a stage space in which a substrate stage that ...

Подробнее
07-11-2013 дата публикации

EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: US20130293855A1
Принадлежит: NIKON CORPORATION

An exposure apparatus exposes a substrate via a projection optical system and a liquid. The exposure apparatus includes a stage that is movable below the projection optical system while holding the substrate and a detector that is capable of detecting a liquid adhered to a rear surface of the substrate. As an alternative, or in addition, the apparatus can include a detector that is capable of detecting a liquid adhered to an optical member disposed at the stage. 1. An exposure apparatus that exposes a substrate via a projection optical system and a liquid , the exposure apparatus comprising:a stage that is movable below the projection optical system while holding the substrate; anda detector that is capable of detecting a liquid adhered to a rear surface of the substrate.2. The exposure apparatus according to claim 1 , wherein the liquid adhered to the rear surface of the substrate is detected after exposure of the substrate.3. The exposure apparatus according to claim 2 , wherein the liquid adhered to the rear surface of the substrate is detected after the substrate is unloaded from the stage.4. The exposure apparatus according to claim 2 , whereinthe stage includes a lift apparatus that moves the substrate up and down, andthe liquid adhered to the rear surface of the substrate is detected after the exposed substrate is moved away with respect to the stage by the lift apparatus.5. The exposure apparatus according to claim 2 , wherein the detector comprises an imaging apparatus that is capable of imaging the rear surface of the substrate.6. An exposure apparatus that exposes a substrate by irradiating an exposure light on the substrate via a projection optical system and a liquid claim 2 , the exposure apparatus comprising:a stage that is movable below the projection optical system; anda detector that is capable of detecting a liquid adhered to an optical member disposed at the stage.7. The exposure apparatus according to claim 6 , wherein the optical member ...

Подробнее
07-11-2013 дата публикации

EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE

Номер: US20130293860A1
Принадлежит:

Exposure apparatus exposes a substrate by irradiating the substrate with exposure light via a projection optical system and a liquid. The exposure apparatus is provided with a liquid immersion mechanism for supplying the liquid and recovering the liquid. The liquid immersion mechanism has an inclined surface, which is opposite to a surface of the substrate and is inclined with respect to the surface of the substrate, and a liquid recovering port of the liquid immersion mechanism is formed in the inclined surface. A flat portion is provided between the substrate and the projection optical system. A liquid immersion area can be maintained to be small.

Подробнее
07-11-2013 дата публикации

Polarization-modulating optical element

Номер: US20130293862A1
Принадлежит: CARL ZEISS SMT GMBH

A microlithography optical system includes a projection objective and an illumination system that includes a temperature compensated polarization-modulating optical element. The temperature compensated polarization-modulating optical element includes a first polarization-modulating optical element of optically active material, the first polarization-modulating optical element having a first specific rotation with a sign. The temperature compensated polarization-modulating optical element includes also includes a second polarization-modulating optical element of optically active material, the second polarization-modulating optical element having a second specific rotation with a sign opposite to the sign of the first specific rotation.

Подробнее
14-11-2013 дата публикации

Wafer table having sensor for immersion lithography

Номер: US20130301016A1
Принадлежит: Nikon Corp

A liquid immersion lithography apparatus and method exposes a substrate with light via a projection system and a liquid. A table assembly has a top surface and is movable relative to the projection system while supporting the substrate. The table assembly top surface has a first opening portion, and a top surface of a sensor is arranged inside of the first opening portion. The sensor top surface is positionable opposite the projection system by the table assembly such that a gap, in which the liquid can be maintained, is formed between the projection system and the sensor top surface. The table assembly and sensor top surfaces are apposed on a substantially same plane, or are substantially co-planar.

Подробнее
14-11-2013 дата публикации

LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: US20130301017A1
Принадлежит: ASML Netherlands B.V.

In a lithographic projection apparatus, a structure surrounds a space between the projection system and a substrate table of the lithographic projection apparatus. A gas seal is formed between said structure and the surface of said substrate to contain liquid in the space. 1112.-. (canceled)113. A lithographic projection method comprising:filling a space between a projection system and a surface of a substrate with a liquid during exposure of the substrate using a liquid confinement member which is positioned adjacent a final surface of the projection system;projecting an image onto the substrate by the projection system during the exposure;supplying a gas from an inlet of the liquid confinement member, the inlet being arranged to oppose the surface of the substrate during the exposure; andremoving fluid via an outlet of the liquid confinement member, that is located further outward from the space than is the inlet, the outlet being arranged to oppose the surface of the substrate during the exposure.114. The method according to claim 113 , wherein the inlet is formed in a face of the liquid confinement member claim 113 , the face of the liquid confinement member is arranged to oppose the surface of the substrate during the exposure claim 113 , and the inlet comprises a groove in the face of the liquid confinement member.115. The method according to claim 114 , wherein the groove extends around the space.116. The method according to claim 113 , wherein the outlet is formed in a face of the liquid confinement member claim 113 , the face of the liquid confinement member is arranged to oppose the surface of the substrate during the exposure claim 113 , and the outlet comprises a groove in the face of the liquid confinement member.117. The method according to claim 116 , wherein the groove extends around the space.118. The method according to claim 113 , wherein a fluid bearing is formed between the liquid confinement member and the substrate during the exposure.119. The ...

Подробнее
14-11-2013 дата публикации

Wafer table having sensor for immersion lithography

Номер: US20130301018A1
Принадлежит: Nikon Corp

A liquid immersion lithography apparatus and method exposes a substrate with light via a projection system and liquid. A table assembly has a top surface and is movable relative to the projection system while supporting the substrate. The top surface and the substrate are positionable opposite to the projection system such that the liquid is maintained between the projection system and a portion of one or both of the top surface and a surface of the substrate. A sensor has a top surface arranged at the top surface of the table assembly and is positionable opposite to the projection system such that a gap, in which the liquid can be maintained, is formed between the projection system and the top surface of the sensor. The top surfaces of the table assembly and of the sensor are apposed on a substantially same plane, or are substantially co-planar.

Подробнее
14-11-2013 дата публикации

EXPOSURE METHOD AND APPARATUS, MAINTENANCE METHOD AND DEVICE MANUFACTURING METHOD

Номер: US20130301019A1
Автор: Nakano Katsushi
Принадлежит: NIKON CORPORATION

An exposure apparatus exposes a substrate with an exposure light via a projection optical system and a liquid, and includes a flow channel forming member and a substrate stage. The flow channel forming member forms an immersion area of the liquid at an image surface side of the projection optical system. The substrate stage has a wall portion provided so as to surround a support portion which supports the substrate, and a recovery port capable of recovering the liquid in a recess formed at an outside of the wall portion with respect to an optical axis of the projection optical system. When a cleaning is performed, a cleaning liquid is recovered via the recovery port. 1. An exposure apparatus which exposes a substrate with an exposure light via a projection optical system and a liquid , the exposure apparatus comprising:a flow passage-forming member which forms an immersion area of the liquid on an image plane side of the projection optical system; anda substrate stage having a wall portion provided so as to surround a support portion which supports the substrate, and a recovery port capable of recovering the liquid in a recess formed at an outside of the wall portion with respect to an optical axis of the projection optical system,wherein a cleaning liquid is recovered via the recovery port during a cleaning.2. The exposure apparatus according to claim 1 , further comprising a controller which moves the substrate stage relative to the immersion area so as to clean the substrate stage.3. The exposure apparatus according to claim 2 , wherein claim 2 , during the cleaning claim 2 , the controller moves the substrate stage relative to the immersion area such that a locus of the immersion area during the cleaning is different from a locus of the immersion area during exposure of the substrate.4. The exposure apparatus according to claim 1 , wherein the cleaning is performed during a cleaning period claim 1 , and the cleaning period includes a period in which exposure of ...

Подробнее
14-11-2013 дата публикации

APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE

Номер: US20130301020A1
Автор: BINNARD Michael
Принадлежит:

An immersion exposure apparatus and method exposes a substrate with an exposure beam via an optical element and immersion liquid. A table mounts the substrate. A member is positionable under the optical element. A holding member is arranged to hold the member such that the member is located opposed to the optical element. Each of the table and the member are configured to maintain the immersion liquid below the optical element when located opposed to the optical element. The member is positionable to be away from a position below the optical element when the substrate, mounted on the table, is located opposed to the optical element. The table is movable to be away from below the optical element while the member is held opposed to the optical element. 1. An immersion exposure apparatus for exposing a substrate with an exposure beam via an optical element and immersion liquid , the apparatus comprising:a table for mounting the substrate;a member which is positionable under the optical element; anda holding member arranged to hold the member such that the member is located opposed to the optical element, whereineach of the table and the member are configured to maintain the immersion liquid below the optical element when located opposed to the optical element,the member is positionable to be away from a position below the optical element when the substrate, mounted on the table, is located opposed to the optical element, andthe table is movable to be away from below the optical element while the member is held opposed to the optical element.2. The immersion exposure apparatus according to claim 1 , wherein the table and the member are movable for a transition from a first state to a second state claim 1 , the first state being a state in which the immersion liquid is maintained in a space between the optical element and the table claim 1 , the second state being a state in which the immersion liquid is maintained in a space between the optical element and the member ...

Подробнее
14-11-2013 дата публикации

Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine

Номер: US20130301021A1
Автор: Michael Binnard
Принадлежит: Nikon Corp

An immersion exposure apparatus and method exposes a substrate with an exposure beam via an optical element and immersion liquid. The apparatus includes a substrate stage having a table and a member. The table mounts the substrate and is configured to maintain the immersion liquid below the optical element when located opposed to the optical element. The member is configured to maintain the immersion liquid below the optical element when, located opposed to the optical element. The substrate stage is configured such that, when the table is located opposed to the optical element, the table and the member are movable relative to the optical element in a state in which a surface of the table and a surface of the member are arranged adjacent to each other so that the immersion liquid below the optical element is thereby transferred from the table to the member.

Подробнее
14-11-2013 дата публикации

APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE

Номер: US20130301022A1
Автор: BINNARD Michael
Принадлежит: NIKON CORPORATION

An immersion exposure apparatus and method expose a substrate with an exposure beam via an optical assembly and via immersion liquid. A first stage on which a substrate is mounted is positioned below the optical assembly so that the immersion liquid is maintained in a space between the optical assembly and the substrate. The first stage is replaced below the optical assembly with a second stage while maintaining the immersion liquid below the optical assembly. The replacing includes arranging a movable member, which is independently movable relative to the first and second stages and away from below the optical assembly, to face the optical assembly so as to substantially maintain the immersion liquid below the optical assembly while the first and second substrate stages are away from below the optical assembly. A control system controls a drive system to move the first and second stages. 1. An immersion exposure apparatus for exposing a substrate with an exposure beam via an optical assembly and immersion liquid , the apparatus comprising:a liquid immersion member that is arranged to surround part of the optical assembly to supply the immersion liquid to below the optical assembly and to recover the immersion liquid from below the optical assembly;first and second stages, each of which is configured to hold a substrate and each of which is positionable below the optical assembly;a movable member that is independently movable relative to the first and second stages and away from below the optical assembly;a drive system arranged to drive the first stage, the second stage and the movable member; anda control system configured to control the drive system to arrange the movable member to face the optical assembly in a process in which one of the first and second stages, which is arranged facing the optical assembly, is replaced with the other of the first and second stages to substantially maintain the immersion liquid below the optical assembly while the first and ...

Подробнее
21-11-2013 дата публикации

APPARATUS AND METHOD FOR MAINTAINING IMMERSION FLUID IN THE GAP UNDER THE PROJECTION LENS DURING WAFER EXCHANGE IN AN IMMERSION LITHOGRAPHY MACHINE

Номер: US20130308107A1
Автор: BINNARD Michael
Принадлежит:

An immersion exposure apparatus and method exposes a substrate with a light beam via an optical element and immersion liquid. A first stage mounts the substrate and is movable relative to the optical element. A second stage is independently movable relative to the first stage and is positionable away from below the optical element. While the first stage is positioned below the optical element, the second stage is movable relative to the first stage so that the second stage is positioned adjacent to the first stage, and when the second stage is positioned adjacent to the first stage, the adjacent first and second stages are movable to locate the second stage opposed to the optical element in place of the first stage such that the immersion liquid is maintained below the optical element during the movement. 1. An immersion exposure apparatus for exposing a substrate with a light beam via an optical element and immersion liquid , the apparatus comprising:a first stage for mounting the substrate and that is movable relative to the optical element; anda second stage that is independently movable relative to the first stage and that is positionable away from below the optical element,wherein while the first stage is positioned below the optical element, the second stage is movable relative to the first stage so that the second stage is positioned adjacent to the first stage, and when the second stage is positioned adjacent to the first stage, the adjacent first and second stages are movable to locate the second stage opposed to the optical element in place of the first stage such that the immersion liquid is maintained below the optical element during the movement.2. The immersion exposure apparatus according to claim 1 , wherein the adjacent first and second stages are movable for a transition from a first state to a second state claim 1 , the first state being a state in which the immersion liquid is maintained in a space between the optical element and the first stage ...

Подробнее
21-11-2013 дата публикации

MEASURING METHOD, STAGE APPARATUS, AND EXPOSURE APPARATUS

Номер: US20130308108A1
Автор: ARAI Dai
Принадлежит: NIKON CORPORATION

An exposure apparatus can mitigate the impact of fluctuations in the refractive index of ambient gas, and improve, for example, stage positioning accuracy. An exposure apparatus radiates an exposure illumination light to a wafer on a wafer stage through a projection optical system, and forms a prescribed pattern on the wafer, and comprises: a scale, which is provided to the wafer stage; a plurality of X heads, which detect information related to the position of the scale; a measurement frame that integrally supports the plurality of X heads and has a coefficient of linear thermal expansion that is smaller than that of the main body of the wafer stage (portions excepting a plate wherein the scale is formed); and a control apparatus that derives information related to the displacement of the wafer stage based on the detection results of the plurality of X heads. 1. An exposure apparatus that exposes a substrate with illumination light via a projection optical system , the apparatus comprising:a frame structure that supports the projection optical system;a plurality of grating members each of which has a reflecting-type grating portion, and that are suspended and supported by the frame structure such that the grating portion is arranged in substantially parallel with a predetermined face perpendicular to an optical axis of the projection optical system;a stage having a holder to hold the substrate and being movable below the grating members, the holder being provided within a concave portion formed in an upper surface of the stage; andan encoder system provided at the stage and having a plurality of heads each of which is configured to radiate beam to the grating portions from below the grating portions, so as to obtain position information of the stage,wherein each of the heads is provided at the stage and located at a more outward position than the upper surface.2. The exposure apparatus according to claim 1 ,wherein the stage has a frame member to which the heads ...

Подробнее
21-11-2013 дата публикации

LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD

Номер: US20130308110A1
Принадлежит: ASML Netherlands B.V.

In an embodiment, a lithographic projection apparatus has an off-axis image field and a concave refractive lens as the final element of the projection system. The concave lens can be cut-away in parts not used optically to prevent bubbles from being trapped under the lens. 120.-. (canceled)21. A lithographic projection apparatus , comprising:a projection system configured to project a patterned beam of radiation into an image field that is positioned off an optical axis of the projection system and to expose a radiation-sensitive target portion of a substrate using the patterned beam, the projection system having a final optical element with an outer edge, the edge having a first portion closest to the optical axis and a different second portion furthest from the optical axis; anda liquid supply system configured to supply a liquid to a space between the final optical element and the substrate, the optical axis extending through the space.22. The lithographic projection apparatus of claim 21 , wherein the image field is between the optical axis and the different second portion.23. The lithographic projection apparatus of claim 21 , wherein the first portion opposes the different second portion across the optical axis.24. The lithographic projection apparatus of claim 21 , wherein the liquid supply system comprises a member positioned below and around the final optical element to at least partially form the space claim 21 , the member shaped to match a bottom of the projection system.25. The lithographic projection apparatus of claim 21 , wherein the projection system is catadioptric.26. The lithographic projection apparatus of claim 21 , wherein the final optical element has a generally flat bottom-most surface to define at least part of the boundary of the space.27. The lithographic projection apparatus of claim 21 , wherein the final optical element has a curved bottom-most surface to define at least part of the boundary of the space.28. The lithographic ...

Подробнее
05-12-2013 дата публикации

EXPOSURE APPARATUS AND DEVICE FABRICATING METHOD

Номер: US20130321785A1
Принадлежит: NIKON CORPORATION

An exposure apparatus can suppress the occurrence of residual liquid. An exposure apparatus includes: a first stage that holds the substrate and is movable; a second stage that is movable independently of the first stage; and a liquid immersion mechanism that forms a liquid immersion region of a liquid on an upper surface of at least one stage of the first stage and the second stage; wherein, a recovery port that is capable of recovering the liquid is provided to the upper surface of the second stage. 1. A lithographic apparatus comprising:a substrate stage configured to support a substrate and a second stage;a liquid confinement system configured to at least partly confine liquid in a space between a projection system and the substrate stage, a substrate supported by the substrate stage, or both;the substrate stage and the second stage constructed and arranged for mutual cooperation to perform a joint movement wherein the liquid in the liquid confinement structure is transferred from being confined by the substrate or the substrate stage or both to being confined by the second stage, the liquid crossing an edge of the substrate stage and an opposing edge of the second stage,wherein the substrate stage, the second stage, or both, comprises a channel system in fluid communication with an opening defined by the edge of the stage, the channel system constructed and arranged to generate a fluid flow along the edge during the joint movement, the fluid flow including liquid from the liquid confinement structure.2. A lithographic apparatus comprising:a substrate stage configured to support a substrate and a second stage;a liquid confinement system configured to at least partly confine liquid in a space between a projection system and the substrate stage, a substrate supported by the substrate stage, or both;the substrate stage and the second stage constructed and arranged for mutual cooperation to perform a joint movement wherein the liquid in the liquid confinement ...

Подробнее
12-12-2013 дата публикации

Position measurement method, position control method, measurement method, loading method, exposure method and exposure apparatus, and device manufacturing method

Номер: US20130329201A1
Принадлежит: Nikon Corp

A loading method and apparatus loads an object via a carrier system in an exposure apparatus that exposes the object with an exposure beam via a projection optical system and a liquid. Position information of the depressed section is obtained by detecting a part of a stage that mounts the object in a depressed section placed at a part of an upper surface of the stage. The carrier system carries the object to above the stage placed at an exchange position of the object, the exchange position being distanced from the projection optical system. The object is loaded on the stage based on the position information of the depressed section so that the carried object is mounted in the depressed section.

Подробнее
12-12-2013 дата публикации

Mask, exposure apparatus and device manufacturing method

Номер: US20130329209A1
Автор: Yuichi Shibazaki
Принадлежит: Nikon Corp

A circular cylinder-shaped mask is used to form an image of a pattern on a substrate via a projection optical system. The mask has a pattern formation surface on which the pattern is formed and that is placed around a predetermined axis, and the mask is able to rotate, with the predetermined axis taken as an axis of rotation, in synchronization with a movement of the substrate in at least a predetermined one-dimensional direction. When a diameter of the mask on the pattern formation surface is taken as D, and a maximum length of the substrate in the one-dimensional direction is taken as L, and a projection ratio of the projection optical system is taken as β, and circumference ratio is taken as π, then the conditions for D≧(β×L)/π are satisfied.

Подробнее
19-12-2013 дата публикации

IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD WITH MEASURING DEVICE

Номер: US20130335717A1
Автор: SHIRAISHI Kenichi
Принадлежит: NIKON CORPORATION

An exposure apparatus is capable of accurately performing an exposure process and a measurement process based on a liquid immersion method. The exposure apparatus, which forms a liquid immersion area of a liquid on an image surface side of a projection optical system, and exposes a substrate via the projection optical system and the liquid of the immersion area, includes a measuring device which measures at least one of a property and composition of the liquid for forming the liquid immersion area. 1. An exposure apparatus in which a substrate is exposed to an exposure beam through an immersion liquid , the apparatus comprising:a projection system having an optical element; and a TOC meter that measures total organic carbon,', 'a particle counter that measures an amount of a foreign matter,', 'a DO meter that measures a dissolved oxygen concentration,', 'a DN meter that measures a dissolved nitrogen concentration,', 'a silica meter that measures a silica concentration, and', 'an analyzer that analyzes a type and an amount of a live bacteria., 'a measuring device that measures at least one of a property and a composition of the immersion liquid, the measuring device including at least one of2. The exposure apparatus according to claim 1 , wherein the foreign matter includes fine particles.3. The exposure apparatus according to claim 1 , wherein the measuring device further includes a resistivity meter that measures a specific resistance value.4. The exposure apparatus according to claim 1 , further comprising a substrate stage claim 1 ,wherein the measuring device is provided on the substrate stage.5. The exposure apparatus according to claim 1 , wherein the measuring device measures the immersion liquid concurrently with an exposure operation.6. The exposure apparatus according to claim 1 , further comprising a storage device that stores a measurement result of the measuring device.7. The exposure apparatus according to claim 6 , wherein the storage device stores ...

Подробнее
19-12-2013 дата публикации

Method for producing a reflective optical component for an euv projection exposure apparatus and component of this type

Номер: US20130335816A1

A method for producing a reflective optical component for an EUV projection exposure apparatus, the component having a substrate having a base body, and a reflective layer arranged on the substrate, wherein the substrate has an optically operative microstructuring, comprises the following steps: working the microstructuring into the substrate, polishing the substrate after the microstructuring has been worked into the substrate, applying the reflective layer to the substrate. A reflective optical component for an EUV projection exposure apparatus correspondingly has a polished surface between the microstructuring and the reflective layer.

Подробнее
23-01-2014 дата публикации

LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, METHOD FOR MANUFACTURING DEVICE, PROGRAM, AND RECORDING MEDIUM

Номер: US20140022522A1
Автор: SATO Shinji
Принадлежит:

A liquid immersion member is used in a liquid immersion exposure apparatus which exposes a substrate via liquid by exposure light, and forms a liquid immersion space above an object which is movable below the optical member. The liquid immersion member includes a first member that is disposed at at least a portion of surrounding of the optical member, and a second member that is disposed at at least a portion of surrounding of an optical path of the exposure light, that includes a second upper surface which is opposite to the first lower surface of the first member via a gap, a second lower surface which is capable of being opposite to the object, and a fluid recovery part which is disposed at at least a portion of surrounding of the second lower surface, and that is relatively movable with respect to the first member. 1. A liquid immersion member that is used in a liquid immersion exposure apparatus which exposes a substrate via liquid between an emitting surface of an optical member and the substrate by exposure light , and that forms a liquid immersion space above an object which is movable below the optical member , the liquid immersion member comprising:a first member that is disposed at at least a portion of surrounding of the optical member; anda second member that is disposed at at least a portion of surrounding of an optical path of the exposure light below the first member, that comprises a second upper surface which is opposite to the first lower surface of the first member via a gap, a second lower surface which is capable of being opposite to the object, and a fluid recovery part which is disposed at at least a portion of surrounding of the second lower surface, and that is relatively movable with respect to the first member.2. The liquid immersion member according to claim 1 , comprising:a support member that is connected to the second member outside the first member with respect to the optical path,wherein the second member moves according to a ...

Подробнее
23-01-2014 дата публикации

EXPOSURE APPARATUS, EXPOSURE METHOD, METHOD FOR PRODUCING DEVICE, AND OPTICAL PART

Номер: US20140022523A1
Принадлежит: NIKON CORPORATION

An exposure apparatus exposes a substrate by irradiating exposure light onto the substrate via a projection optical system and a liquid. The exposure apparatus has a stage which includes a substrate holder for holding the substrate, a detachable member detachably disposed on the stage so that its upper surface contacts an immersion region, and a sensor having a light transmissive member. The detachable member, on which an opening is formed in the upper surface, is disposed on the stage so that the light transmissive member is arranged within the opening. 1. An exposure apparatus that exposes a substrate with exposure light from a projection optical system via an immersion region formed with liquid below the projection optical system , the exposure apparatus comprising:a stage which includes a substrate holder to hold the substrate, and which is movable relative to the projection optical system;a detachable member which is detachably disposed on the stage so that an upper surface of the detachable member comes into contact with the immersion region; anda sensor which includes a light transmissive member disposed on the stage so as to transmit the exposure light from the immersion region, and which detects the exposure light from the light transmissive member,wherein the detachable member, on which an opening is formed in the upper surface, is disposed on the stage so that the light transmissive member is arranged within the opening.2. The exposure apparatus according to claim 1 , whereinthe substrate holder comprises a support which supports a back surface of the substrate; a wall which is arranged around the support so as to face the back surface of the substrate supported by the support; and a flow channel which is connected to a space surrounded by the wall so as to suck a gas within the space, and holds the substrate in a state where the space is made to have a negative pressure by sucking the gas within the space through the flow channel.3. The exposure ...

Подробнее
30-01-2014 дата публикации

EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD

Номер: US20140028987A1
Автор: KAMEYAMA Masaomi
Принадлежит: NIKON CORPORATION

An immersion lithography system includes a wafer stage, a lens for projecting an image onto a wafer located on the wafer stage, an immersion fluid supply for supplying immersion fluid between the lens and the wafer, and a purge fluid conveying device for conveying about the supplied immersion fluid a purge fluid saturated with a component of the immersion fluid. 1. An exposure apparatus comprising:a projection optical system having a plurality of optical elements, by which an exposure light is projected;a liquid immersion system having a supply inlet via which an immersion liquid is supplied and a recovery outlet via which the supplied immersion liquid is collected, the liquid immersion system forming a liquid immersion space by supplying the immersion liquid via the supply inlet and by collecting the immersion liquid via the recovery outlet; andan environmental control system having a conduit through which a fluid is supplied to an area adjacent to the liquid immersion space to control a vapor pressure in the area,wherein a substrate is exposed to the exposure light from the projection optical system through the immersion liquid in the liquid immersion space covering a portion of a surface of the substrate.2. The apparatus of claim 1 , wherein the environmental control system has a humidifier claim 1 , the fluid supplied by the environmental control system includes a gas humidified by the humidifier.3. The exposure apparatus of claim 1 , wherein the environmental control system is configured such that the vapor pressure in the area is controlled to be greater than a predetermined value.4. The exposure apparatus of claim 1 , wherein the environmental control system is configured such that the vapor pressure in the area is controlled to be a saturated vapor pressure.5. The exposure apparatus of claim 1 , wherein the environmental control system is configured such that a humidity in the area is controlled to be greater than a humidity in an external area with respect ...

Подробнее
13-02-2014 дата публикации

Optical element and exposure apparatus

Номер: US20140043592A1
Принадлежит: Nikon Corp

An optical element is used for an exposure apparatus which is configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided on a surface of a transmissive optical element on the substrate's side of the projection optical system.

Подробнее
13-02-2014 дата публикации

Exposure apparatus, exposure method, and method for producing device

Номер: US20140043593A1
Принадлежит: Nikon Corp

A liquid immersion exposure apparatus includes a nozzle member having a recovery port and an opening via which an exposure beam passes. A projection system includes a first element closest to an image surface and a second element which is second closest to the image surface. The first element has a first surface facing the image surface, a second surface facing a lower surface of the second element, an inclined outer surface extending upwardly and radially outwardly from the first surface and facing an inner surface of the nozzle member, and a flange portion provided above the inclined outer surface. A support member supports the flange portion of the first element. A substrate stage has a holder for holding a substrate to be exposed and moves the substrate below and relative to the nozzle member and the projection system.

Подробнее
20-02-2014 дата публикации

Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method

Номер: US20140049758A1
Автор: Yuichi Shibazaki
Принадлежит: Nikon Corp

During the drive of a stage, positional information in a movement plane of a stage is measured by three encoders that include at least one each of an X encoder and a Y encoder of an encoder system, and a controller switches an encoder used for a measurement of positional information of a stage in the movement plane from an encoder to an encoder so that the position of the stage in the movement plane is maintained before and after the switching. Therefore, although the switching of the encoder used for controlling the position of the stage is performed, the position of the stage in the movement plane is maintained before and after the switching, and a correct linkage becomes possible.

Подробнее
20-02-2014 дата публикации

MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD

Номер: US20140049759A1
Автор: Shibazaki Yuichi
Принадлежит: NIKON CORPORATION

During the drive of a stage, positional information in a movement plane of a stage is measured by three encoders that include at least one each of an X encoder and a Y encoder of an encoder system, and a controller switches an encoder used for a measurement of positional information of a stage in the movement plane from an encoder to an encoder so that the position of the stage in the movement plane is maintained before and after the switching. Therefore, although the switching of the encoder used for controlling the position of the stage is performed, the position of the stage in the movement plane is maintained before and after the switching, and a correct linkage becomes possible. 1. An exposure apparatus that exposes a substrate via a projection optical system , the apparatus comprising:a stage having a holder that holds the substrate;an encoder system that measures positional information of the stage, by irradiating a grating section placed substantially parallel to a predetermined plane orthogonal to an optical axis of the projection optical system, with a beam from a direction intersecting the predetermined plane, via each of a plurality of heads;a drive system having a motor that drives the stage; anda controller that controls driving of the stage by the drive system based on the measured positional information, whereinof the plurality of heads, a head used in measurement of the positional information is switched to a different head, as the stage is moved, and the positional information to be measured by the different head to be used after the switching is determined based on the positional information measured by the head used before the switching.2. The exposure apparatus according to claim 1 , whereinthe positional information to be measured by the different head is determined in a state where a head used before the switching and a head to be used after the switching both face the grating section.3. The exposure apparatus according to claim 2 , whereinthe ...

Подробнее
27-02-2014 дата публикации

LIQUID IMMERSION EXPOSURE APPARATUS

Номер: US20140055761A1
Автор: Furusho Kenji
Принадлежит: KABUSHIKI KAISHA TOSHIBA

Provided is a liquid immersion exposure apparatus which is configured to include a table, a plate, an illumination unit, an exposure position movement unit, and relative position change units. A substrate is mounted on the table. An opening portion surrounding a circumferential edge portion of the substrate mounted on the table is installed in the plate. The illumination unit forms a liquid immersion area filled with liquid immersion water at an exposure position of the substrate mounted on the table, and the illumination unit illuminates an exposure light beam on the exposure position through the liquid immersion area. The exposure position movement unit moves the exposure position. The relative position change units change a relative position between the table and the plate. 1. A liquid immersion exposure apparatus comprising:a table which is mounted on a to-be-exposed substrate;a plate where an opening portion surrounding a circumferential edge portion of the substrate mounted on the table is installed;an illumination unit which forms a liquid immersion area filled with liquid immersion water at an exposure position of the substrate mounted on the table and illuminates an exposure light beam on the exposure position through the liquid immersion area;an exposure position movement unit which moves the exposure position; anda relative position change unit which changes a relative position between the table and the plate.2. The liquid immersion exposure apparatus according to claim 1 , wherein the relative position change unit changes the relative position in a direction parallel to a normal line of the substrate mounted on the table.3. The liquid immersion exposure apparatus according to claim 1 , wherein the relative position change unit changes the relative position in a direction perpendicular to a normal line of the substrate mounted on the table.4. The liquid immersion exposure apparatus according to claim 1 , comprising:a position detection unit which detects ...

Подробнее
27-02-2014 дата публикации

OPTICAL ARRANGEMENT OF AUTOFOCUS ELEMENTS FOR USE WITH IMMERSION LITHOGRAPHY

Номер: US20140055762A1
Автор: NOVAK W. Thomas
Принадлежит: NIKON CORPORATION

A lithographic projection apparatus includes a projection system having a last element from which an exposure light is projected onto a wafer through liquid in a space under the last element. A light incident surface of the last element has a convex shape. A liquid retaining member is disposed adjacent to a surface of the last element through which the exposure light does not pass. The liquid retaining member has an undersurface under which liquid is retained. A gap is formed between the liquid retaining member and the surface of the last element, the gap being in fluidic communication with the space. The liquid is retained between the last element and the undersurface of the liquid retaining member on one side and an upper surface of the wafer on the other side. The liquid locally covers a portion of the upper surface of the wafer. 1. A lithographic projection apparatus comprising:a projection system having a last element from which an exposure light is projected through liquid in a space under the last element, a light incident surface of the last element having a convex shape;a liquid retaining member disposed adjacent to a surface of the last element through which the exposure light does not pass, the liquid retaining member having an undersurface under which liquid is retained; anda gap formed between the liquid retaining member and the surface of the last element, the gap being in fluidic communication with the space, the gap including a lower portion and an upper portion between which the gap extends,whereina wafer moves below and relative to the last element and the liquid retaining member;the liquid is retained between the last element and the undersurface of the liquid retaining member on one side and an upper surface of the wafer on the other side; andthe liquid locally covers a portion of the upper surface of the wafer to expose the wafer by projecting the exposure light onto the wafer through the liquid in the space.2. The apparatus according to claim 1 ...

Подробнее