Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 13484. Отображено 199.
13-10-2021 дата публикации

Glow plasma gas measurement signal processing

Номер: GB0002593983A
Принадлежит:

Methods and systems are disclosed for enhanced determination of the composition of a sample gas using glow discharge optical emission spectroscopy (GD-OES) for gas analysis. A first method comprises: generating one or more oscillating electromagnetic fields within a plasma cell to excite particles therein to produce a glow discharge from plasma in the plasma cell; controlling the operating conditions for the plasma cell to maintain glow discharge optical emissions from the plasma within a desired operating range while flowing a gas mixture through the plasma cell; monitoring one or more glow discharge optical emissions from the plasma in the plasma cell, wherein said monitoring comprises measuring the optical emissions, or measuring a signal that correlates with the optical emissions, at twice the plasma excitation frequency; and processing the signal during each excitation cycle of the electromagnetic excitation, to determine the concentration of a gas within the gas mixture flowing through ...

Подробнее
05-02-2020 дата публикации

Method and apparatus for plasma etching

Номер: GB0201919215D0
Автор:
Принадлежит:

Подробнее
07-12-2017 дата публикации

A surgical implant conductor with increased radio frequency alternating current resistance

Номер: AU2016271986A1
Принадлежит: Origin Patent and Trade Mark Attorneys

In one aspect the invention provides a surgical implant conductor formed from a length of conductive material which exhibits increased radio frequency alternating current resistance. This conductive material defines an exterior surface where at least a portion of the exterior surface of the conductor defines a region with a roughened surface. Preferably the roughening of the exterior surface increases the area of the exterior surface when compared to a non-roughened surface, resulting in a reduction in the effective cross-section area of the conductor used to transport alternating currents.

Подробнее
30-07-1985 дата публикации

ELECTRODE TREATMENT FOR PLASMA PATTERNING OF POLYMERS

Номер: CA1191109A
Автор: YEH JAMES T, YEH, JAMES T.

This patent specification describes a technique for protectively coating the electrodes in a plasma patterning device so as to minimize the effect of backscattering of electrode material. The potentials present in a patterning chamber are such that electrode sputtering material may occur. If the material of the electrode where the sample is mounted (RIE mode) or the material of the counterelectrode (plasma etch mode) of the reaction chamber is not etchable in the etchant present, electrode material can be sputtered off, backscattered on the polymer surface, and cause incomplete etching. Polymer films, patterned in fine dimensions by masking and etching, are widely used in microelectronics. If backscattered material present in the etch area cannot be etched as fast as the polymer film, the backscattered material on the polymer film surface will cause partial masking and incomplete etching, leaving spikes of polymer. Coating the electrode with the same polymer, or with a photoresist or different ...

Подробнее
10-12-2015 дата публикации

END-FACE COATING OF A WAVEGUIDE

Номер: CA0002950193A1
Принадлежит:

The invention concerns a waveguide, such as an optical fibre, having a front face, such as a fibre facet, provided with a coating. The coating comprises one or more organic fluorine compounds. The invention also concerns a method for producing this type of waveguide by means of plasma polymerisation.

Подробнее
19-07-1997 дата публикации

PROCESS AND IMAGING A FERROELECTRIC PRINTING FORM AND PRINTING HEAD

Номер: CA0002195366A1
Автор: HIRT ALFRED, HIRT, ALFRED
Принадлежит:

A printing head suitable for imaging a ferroelectric printing form is sealed relative to the printing form by a spring-elastic seal. In the discharge chamber of the printing head, at a low pressure, preferably less than 10 hPa, and especially in the presence of a gas with high ionization probability, a discharge plasma is created, from which charge carriers emerge onto the printing form as the result of activation between electrodes, with simultaneous focusing by the application of electric and/or magnetic fields between plates.

Подробнее
08-01-1998 дата публикации

PLASMA ETCH REACTOR AND METHOD

Номер: CA0002259976A1
Принадлежит:

A plasma etch reactor (20) includes an upper electrode (24), a lower electrode (28), a peripheral ring electrode (26) disposed therebetween. The upper electrode (24) is grounded, the peripheral electrode (26) is powered by a high frequency AC power supply, while the lower electrode (28) is powered by a low frequency AC power supply, as well as a DC power supply. The reactor chamber (22) is configured with a solid source (50) of gaseous species and a protruding baffle (40). A nozzle (36) provides a jet stream of process gases in order to ensure uniformity of the process gases at the surface of a semiconductor wafer (48). The configuration of the plasma etch reactor (20) enhances the range of densities for the plasma in the reactor (20), which range can be selected by adjusting more of the power supplies (30, 32).

Подробнее
08-01-1998 дата публикации

PLASMA ETCH REACTOR AND METHOD FOR EMERGING FILMS

Номер: CA0002259973A1
Принадлежит:

A plasma etch reactor (20) includes a reactor chamber (22) with a grounded upper electrode (24), a lower electrode (28) which is attached to a high frequency power supply (30) and a low frequency power supply (32), and a peripheral electrode (26) which is located between the upper and lower electrode, and which is allowed to have a floating potential. Rare earth magnets (46, 47) are used to establish the magnetic field which confines the plasma developed within the reactor chamber (22). The plasma etch reactor (20) is capable of etching emerging films used with high density semiconductor devices.

Подробнее
10-05-2019 дата публикации

PLASMA PERFORATION

Номер: UA0000119139C2
Принадлежит:

Подробнее
18-10-2000 дата публикации

System and method for monitoring and controlling gas plasma process

Номер: CN0001270684A
Принадлежит:

Подробнее
12-12-2017 дата публикации

Etching substrates using ale and selective deposition

Номер: CN0107464747A
Принадлежит:

Подробнее
22-08-2017 дата публикации

Variable depth edge ring for etch uniformity control

Номер: CN0107086168A
Принадлежит:

Подробнее
08-05-2020 дата публикации

Plasma etching apparatus

Номер: CN0104576281B
Автор:
Принадлежит:

Подробнее
28-09-2016 дата публикации

Capacitively coupled plasma processing apparatus of the shower nozzle electrode assembly

Номер: CN0103681304B
Автор:
Принадлежит:

Подробнее
13-08-2021 дата публикации

VACUUM PROCESSING DEVICE

Номер: CN113261390A
Принадлежит:

This vacuum processing device performs plasma processing. The vacuum processing device has: an electrode flange connected to a high-frequency power supply; a shower plate that is set apart from and faces the electrode flange, the shower plate serving as a cathode together with the electrode flange; an insulation shield provided around the shower plate; a processing chamber in which a substrate being processed is disposed on the side of the shower plate that is opposite from the electrode flange; an electrode frame attached to the shower-plate side of the electrode flange; and a slide plate attached to the peripheral edge part, on the electrode-frame side, of the shower plate. The shower plate is formed so as to have a substantially rectangular outline. The electrode frame and the slide plate can be made to slide in correspondence with thermal deformation occurring when the temperature of the shower plate increases or decreases, and the space surrounded by the shower plate, the electrode ...

Подробнее
08-06-2017 дата публикации

에칭 방법

Номер: KR0101744625B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... 산화 실리콘으로 구성된 제 1 영역을 질화 실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 에칭 방법이 제공된다. 이 에칭 방법은 공정(a)과 공정(b)을 포함한다. 공정(a)에서는, 플루오르카본 가스의 플라즈마에 피처리체가 노출되고, 제 2 영역 상에 제 1 영역 상에 형성되는 보호막보다 두꺼운 보호막이 형성된다. 공정(b)에서는, 플루오르카본 가스의 플라즈마에 의해 제 1 영역이 에칭된다. 공정(a)에서는, 피처리체의 온도가 60 ℃ 이상 250 ℃ 이하의 온도로 설정된다.

Подробнее
27-11-2015 дата публикации

보호막의 에칭 방법, 템플릿의 제조 방법 및 그들을 이용해서 제조된 템플릿

Номер: KR1020150133274A
Автор: 오츠 아키히코
Принадлежит:

... (과제) 이면에 오목부를 갖는 기판 상에 형성된 보호막에 양질의 요철 패턴을 형성하는 것을 가능하게 한다. (해결 수단) 표면 상에 보호막(11)이 형성되고, 이 표면의 반대측의 이면에 오목부(13)를 갖는 기판(10)을 준비하고, 보호막(11) 상에 레지스트 패턴(12)을 형성하고, 레지스트 패턴(12)을 마스크로 하여 바이어스 전압을 인가하면서 플라스마를 이용하여 보호막(11)을 에칭하는 보호막(11)의 에칭 방법에 있어서, 보호막(11)이 존재하는 상기 표면의 피복 영역(R1)에 대응하는 기판(10)의 대응 영역(R2)의 비유전율의 감소 형태에 따라서 바이어스 전압을 증가시킨다.

Подробнее
07-08-2019 дата публикации

Номер: KR1020190092219A
Автор:
Принадлежит:

Подробнее
13-10-2010 дата публикации

PLASMA PROCESSING METHOD

Номер: KR1020100110886A
Автор:
Принадлежит:

Подробнее
25-07-2013 дата публикации

ETCH WITH INCREASED MASK SELECTIVITY

Номер: KR1020130084637A
Автор:
Принадлежит:

Подробнее
05-02-2008 дата публикации

ETCH AND SIDEWALL SELECTIVITY IN PLASMA SPUTTERING

Номер: KR1020080011704A
Принадлежит:

A substrate processing method practiced in a plasma sputter reactor (8) including an RF coil (44) and two or more coaxial electromagnets (78, 80), at least two of which are wound at different radii. After a barrier layer, for example, of tantalum is sputter deposited into a via hole, the RF coil is powered to cause argon sputter etching of the barrier layer and the current to the electromagnets are adjusted to steer the argon ions, for example to eliminate sidewall asymmetry. For example, the two electromagnets are powered with unequal currents of opposite polarities or a third electromagnet wrapped at a different height is powered. In one embodiment, the steering straightens the trajectories near the wafer edge. In another embodiment, the etching is divided into two steps in which the steering inclines the trajectories at opposite angles. The invention may also be applied to other materials, such as copper. © KIPO & WIPO 2008 ...

Подробнее
11-06-2018 дата публикации

전력 공급 도파로 내의 조리개들에 의한 마이크로파 회전 및 임피던스 쉬프팅을 위한 일반화된 원통형 공동 시스템

Номер: KR1020180062973A
Принадлежит:

... 공동의 임의의 공진 모드 또는 에 대해 회전 마이크로파가 확립되며, 여기서 사용자는 모드 인덱스들 m, n 및 l의 값들을 자유롭게 선택한다. 동작 마이크로파 진동수와 동일한 회전 진동수를 갖는 고속 회전은 2개의 마이크로파 입력 포트 P 및 Q 사이의 시간적 위상 차 및 방위각 를 m, n 및 l의 함수로서 설정함으로써 달성된다. 진동수 (전형적으로, 1-1000Hz)의 저속 회전은 직교 입력 시스템에서의 이중 필드 입력들 및 를 2개의 마이크로파 포트 P 및 Q 사이의 각도 에 의해 정의되는 사교 시스템으로 변환함으로써 확립된다.

Подробнее
23-08-2019 дата публикации

Номер: KR1020190098918A
Автор:
Принадлежит:

Подробнее
06-05-2015 дата публикации

플라즈마 처리 방법 및 플라즈마 처리 장치

Номер: KR1020150048134A
Принадлежит:

... 본 실시예의 플라즈마 처리 방법은, 먼저, 제 1 불소 함유 가스를 플라즈마 처리 공간으로 공급하고, 제 1 불소 함유 가스의 플라즈마를 이용하여 피처리 기판을 에칭하는 에칭 공정을 실행한다(S101). 이어서, 플라즈마 처리 방법은, O2 가스를 플라즈마 처리 공간으로 공급하고, 플라즈마 처리 공간에 표면을 대향시켜 배치된 부재에 대하여 에칭 공정 후에 부착한 카본 함유물을 O2 가스의 플라즈마를 이용하여 제거하는 카본 함유물 제거 공정을 실행한다(S102). 이어서, 플라즈마 처리 방법은, 질소 함유 가스 및 제 2 불소 함유 가스를 플라즈마 처리 공간으로 공급하고, 부재에 대하여 에칭 공정 후에 부착한 티탄 함유물을 질소 함유 가스 및 제 2 불소 함유 가스의 플라즈마를 이용하여 제거하는 티탄 함유물 제거 공정을 실행한다(S103).

Подробнее
19-02-2020 дата публикации

Plasma processing apparatus

Номер: KR1020200018658A
Принадлежит:

Подробнее
02-12-2015 дата публикации

DRILLING WITH PLASMA

Номер: AR0000096043A1
Принадлежит:

Procedimiento y dispositivo para la perforación con plasma de papel de filtro (4), en el que en la superficie del papel de filtro (4) se genera un plasma de baja temperatura (3), ionizando una mezcla de gases durante corto espacio de tiempo a través de una fuente de energía a ser posible en forma de punta, en los que la mezcla de gases ionizada se limita localmente a una zona muy pequeña de la superficie del papel de filtro (4).

Подробнее
01-04-2020 дата публикации

Номер: TWI689970B
Принадлежит: TOKYO ELECTRON LTD, TOKYO ELECTRON LIMITED

Подробнее
16-03-2018 дата публикации

Plasma source and plasma processing device

Номер: TW0201811124A
Автор: EBE AKINORI, EBE, AKINORI
Принадлежит:

The present invention addresses the problem of providing a plasma source capable of supplying plasma to a plasma processing space in a state in which a gas is sufficiently ionized. This plasma source 10 is a device for supplying plasma to a plasma processing space wherein processing using plasma is to be carried out. This plasma source 10 comprises: a plasma generation chamber 11; an opening 12 wherethrough the plasma generation chamber 11 and the plasma processing space communicate; a high-frequency antenna 13, which is a coil having a number of turns of less than one and provided at a position allowing a high-frequency electromagnetic field of a predetermined intensity required for generating the plasma to be generated inside the plasma generation chamber 11; voltage application electrodes 14 provided inside the plasma generation chamber 11 at a location near the opening 12; and a gas supply unit (gas supply tube) 15 supplying a plasma source gas, and located inside the plasma generation ...

Подробнее
01-02-2011 дата публикации

Plasma etching method, plasma etching apparatus and computer-readable storage medium

Номер: TW0201104742A
Принадлежит:

Provided are a plasma etching method, a plasma etching apparatus and a computer-readable storage medium capable of plasma-etching a silicon-containing antireflection coating film (Si-ARC) with a high etching rate and a high selectivity while suppressing damage (roughness) of an ArF photoresist. In the plasma etching method, a Si-containing antireflection film 102 located under an ArF photoresist 103 formed on a substrate is etched by plasma of a processing gas while using the ArF photoresist as a mask. A gaseous mixture containing a CF3I gas, an O2 gas, and a CF-based gas and/or a CHF-based gas is used as the processing gas, and a DC voltage is applied to the upper electrode.

Подробнее
16-04-2014 дата публикации

Plasma-enhanced etching in an augmented plasma processing system

Номер: TW0201415560A
Принадлежит:

Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.

Подробнее
16-10-2017 дата публикации

Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device

Номер: TW0201737293A
Принадлежит:

The present disclosure generally relates to apparatuses and methods that control RF amplitude of an edge ring. The apparatuses and methods include an electrode that is coupled to ground through a variable capacitor. The electrode may be ring-shaped and embedded in a substrate support including an electrostatic chuck. The electrode may be positioned beneath the perimeter of a substrate and/or the edge ring. As the plasma sheath drops adjacent the edge ring due to edge ring erosion, the capacitance of the variable capacitor is adjusted in order to affect the RF amplitude near the edge of the substrate. Adjustment of the RF amplitude via the electrode and variable capacitor results in adjustment of the plasma sheath near the substrate perimeter.

Подробнее
16-01-2019 дата публикации

Etching method

Номер: TW0201903518A
Принадлежит:

A method includes mounting a wafer on a chuck disposed within a chamber of an etching system, the wafer being encircled by a focus ring. While etching portions of the wafer, an etch direction is adjusted to a first desired etch direction by adjusting a vertical position of the focus ring relative to the wafer to a first desired vertical position. While etching portions of the wafer, the etch direction is adjusted to a second desired etch direction by adjusting the vertical position of the focus ring relative to the wafer to a second desired vertical position. The second desired vertical position is different from the first desired vertical position. The second desired etch direction is different from the first desired etch direction.

Подробнее
16-01-2020 дата публикации

Plasma treatment apparatus

Номер: TW0202004831A
Принадлежит:

The present invention provides a technology with which it is possible to reduce treatment variation in the in-plane direction of a sample and to improve yield of the treatment. This plasma treatment apparatus 1 is provided with: a first electrode (base material 110B) disposed within a sample stage 110; a ring-shaped second electrode (conductor ring 114) disposed so as to surround the outer peripheral side of an upper face portion 310 (dielectric film portion 110A) of the sample stage 110; a ring-shaped member (susceptor ring 113) which is made of a dielectric material and which is disposed so as to cover the second electrode and to surround the outer periphery of the upper face portion 310; a plurality of power-feeding paths for supplying high-frequency power from a high-frequency power source to the first and second electrodes; and a matching device 117 disposed on the power-feeding path to the second electrode. In addition, a grounding location and a first location (A1), which is between ...

Подробнее
30-01-2019 дата публикации

PLASMA PROCESSING APPARATUS, ELECTROSTATIC ATTRACTION METHOD, AND ELECTROSTATIC ATTRACTION PROGRAM

Номер: SG10201804649VA
Принадлежит:

PLASMAPROCESSING APPARATUS, ELECTROSTATIC ATTRACTION METHOD, AND ELECTROSTATIC ATTRACTION PROGRAM Disclosed is a plasma processing apparatus including: a placing table including a focus ring placed thereon and an electrode provided therein so as to face the focus ring; and a voltage application unit that applies, to the electrode, voltages having different polarities in cycles or a voltage having a large absolute value in steps, during a plasma processing period. Figure ...

Подробнее
01-08-2020 дата публикации

Lower plasma exclusion zone ring for bevel etcher

Номер: TW0202029844A
Принадлежит:

A substrate processing system for processing a substrate includes an upper plasma exclusion zone ring arranged above a substrate during plasma treatment of a bevel edge of the substrate. An upper electrode is arranged above the substrate during plasma treatment. A lower plasma exclusion zone ring is at least partially arranged below the substrate during the plasma treatment. A lower electrode is at least partially arranged below the substrate during plasma treatment. The lower plasma exclusion zone ring includes an annular body with a lower portion at least partially arranged below the substrate and an upwardly projecting flange extending upwardly from the lower portion of the annular body at a location spaced from a radially outer edge of the substrate. The upwardly projecting flange includes an uppermost surface extending to one of a middle portion of the substrate in a vertical direction and above the middle portion of the substrate.

Подробнее
16-01-2021 дата публикации

Plasma processor and temperature control method of base through the switch of a plurality of valves to change the length of the heat exchange pipe to achieve rapid temperature adjustment of the base

Номер: TW202103521A
Принадлежит:

The present invention discloses a plasma processor and a temperature control system for a base, comprising: a first temperature liquid container outputting a first liquid; a second temperature liquid container outputting a second liquid; a heat exchanger comprising a heat pipe and at least one cold pipe, through the heat pipe wall between the heat pipe and the cold pipe to carry out heat exchange between the first liquid and the second liquid, the outlet end of the heat pipe outputting a first heat exchange liquid that undergoes heat exchange, and the outlet end of the cold pipe outputting a second heat exchange liquid that undergoes heat exchange and reaches a set temperature; the second heat exchange liquid is transmitted to the base to control the temperature of the base, and flows back to the second temperature liquid container from the outlet end of the cooling pipe channel of the base, and the first heat exchange liquid flows back to the first temperature liquid container from the ...

Подробнее
27-08-2019 дата публикации

CHAMBER CLEANING AND SEMICONDUCTOR ETCHING GASES

Номер: SG10201906117XA
Принадлежит:

OF THE DISCLOSURE The present invention relates to fluoroolefin compositions useful as gases for CVD semiconductor manufacture, particularly for etching applications including methods for removing surface deposits from the interior of a chemical vapor deposition chamber by using an activated gas mixture, and methods for etching the surface of a semiconductor. [NO FIGURE] ...

Подробнее
27-11-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: SG10201909553YA
Принадлежит:

Подробнее
28-05-2020 дата публикации

SENSOR AND ADJUSTER FOR A CONSUMABLE

Номер: SG10202004091TA
Принадлежит:

Подробнее
09-02-2012 дата публикации

SYSTEMS, METHODS AND APPARATUS FOR SEPARATE PLASMA SOURCE CONTROL

Номер: WO2012018366A1
Принадлежит:

A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.

Подробнее
12-01-2006 дата публикации

ETCHING METHOD AND SYSTEM

Номер: WO2006003962A1
Принадлежит:

Procédé et système d’attaque chimique capable d’attaquer profondément avec un rapport important de sélection de masque et d’une excellente anisotropie. Le système d’attaque chimique comporte une électrode flottante soutenue en état potentiellement flottant tout en faisant face à une électrode de substrat aménagée dans une chambre à vide, un matériau de formation d’un film de protection contre les attaques chimiques prévu sur le côté de l’électrode flottante faisant face à l’électrode de substrat, et un moyen de commande pour appliquer une puissance haute fréquence de manière intermittente à l’électrode flottante. Dans le procédé d’attaque chimique, un film de pulvérisation cathodique est formé sur le substrat en appliquant une puissance haute fréquence à l’électrode flottante à l’aide du matériau de formation d’un film de protection contre les attaques chimiques aménagé sur le côté faisant face à l’électrode de substrat de l’électrode flottante disposée en face de l’électrode de substrat ...

Подробнее
25-03-1999 дата публикации

SYSTEM AND METHOD FOR MONITORING AND CONTROLLING GAS PLASMA PROCESSES

Номер: WO1999014699A1
Принадлежит:

A system and method for monitoring the conditions in a gas plasma processing system while varying or modulating the RF power (2A, 2B, 2C) supplied to the system, so that resulting signals of the electrical circuits of the system provide information regarding operational parameters of the system or the state of a process. Significant improvements in sensitivity and accuracy over conventional techniques are thereby achieved. In addition, the plasma processing system can be thoroughly tested and characterized before delivery, to allow more accurate monitoring by monitor controller (1) of and greater control over a process, thereby improving quality control/assurance of substrates (40) being produced by the system. The information obtained by the modulation technique can be displayed on a monitor screen, in order to allow an operator to accurately monitor the system/process and diagnose any problems with the system/process.

Подробнее
04-01-2018 дата публикации

ION TO NEUTRAL CONTROL FOR WAFER PROCESSING WITH DUAL PLASMA SOURCE REACTOR

Номер: US20180005852A1
Принадлежит:

The disclosed techniques relate to methods and apparatus for etching a substrate. A plate assembly divides a reaction chamber into a lower and upper sub-chamber. The plate assembly includes an upper and lower plate having apertures therethrough. When the apertures in the upper and lower plates are aligned, ions and neutral species may travel through the plate assembly into the lower sub-chamber. When the apertures are not aligned, ions are prevented from passing through the assembly while neutral species are much less affected. Thus, the ratio of ion flux:neutral flux may be tuned by controlling the amount of area over which the apertures are aligned. In certain embodiments, one plate of the plate assembly is implemented as a series of concentric, independently movable injection control rings. Further, in some embodiments, the upper sub-chamber is implemented as a series of concentric plasma zones separated by walls of insulating material. 1. A plate assembly for a reaction chamber comprising a plasma source , the plate assembly comprising:a first plate; anda second plate comprising at least two substantially concentric plate sections that are independently rotatable with respect to the first plate, wherein the first plate and second plate have apertures extending through the thickness of each plate, and wherein the first plate and second plate are substantially parallel and vertically aligned with one another such that either (i) the first plate is above the second plate, or (ii) the first plate is below the second plate.2. The plate assembly of claim 1 , wherein the second plate comprises at least three substantially concentric plate sections.30204. The plate assembly of claim 1 , wherein at least some of the apertures in at least one of the plates of the plate assembly have an aspect ratio between about .-..4. The plate assembly of claim 1 , wherein at least one of the plates of the plate assembly has an open area between about 40-60%.5. The plate assembly of ...

Подробнее
09-07-2019 дата публикации

Common terminal heater for ceramic pedestals used in semiconductor fabrication

Номер: US0010345802B2
Принадлежит: Lam Research Corporation, LAM RES CORP

System and methods for processing a substrate using a reactor with multiple heating zones and control of said heating zones using a common terminal shared between two power supplies are provided. The reactor includes a heater assembly for supporting the substrate and a showerhead for supplying process gases into the reactor. An inner heater and an outer heater are integrated in the heater assembly. An inner power supply has a positive terminal connected to a first end of the inner heater and a negative terminal is connected to a second end of the inner heater that is coupled to a common terminal. An outer power supply has a positive terminal connected to a first end of the outer heater and a negative terminal connected to a second end of the outer heater that is coupled to the common terminal. A common-terminal heater module is configured to receive a measured temperature that is proximate to the inner heater. A desired temperature setting is received and a servo control law is processed ...

Подробнее
06-08-2019 дата публикации

System for tunable workpiece biasing in a plasma reactor

Номер: US0010373804B2

Systems and methods for tunable workpiece biasing in a plasma reactor are provided herein. In some embodiments, a system includes: a plasma chamber that performs plasma processing on a workpiece, a first pulsed voltage source, coupled directly to a workpiece, a second pulsed voltage source, coupled capacitively to the workpiece, and a biasing controller comprising one or more processors, and memory, wherein the memory comprises a set of computer instructions that when executed by the one or more processors, independently controls the first pulsed voltage source and the second pulsed voltage source based on one or more parameters of the first pulsed voltage source and the second pulsed voltage source in order to tailor ion energy distribution of the flux of ions directed to the workpiece.

Подробнее
07-07-2020 дата публикации

Plasma etching method and plasma etching apparatus

Номер: US0010707091B2
Принадлежит: Tokyo Electron Limited, TOKYO ELECTRON LTD

A plasma etching method for plasma-etching an object including an etching target film and a patterned mask. The plasma etching method includes a first step of plasma-etching the etching target film using the mask, and a second step of depositing a silicon-containing film using plasma of a silicon-containing gas on at least a part of a side wall of the etching target film etched by the first step.

Подробнее
01-01-2015 дата публикации

MULTIPLE-MODE PLASMA GENERATION APPARATUS

Номер: US2015000844A1
Автор: WOO JE-HO
Принадлежит:

The present invention relates to a multiple-mode plasma generation apparatus that can supply plasma for multiple processes in order to improve processing efficiency. The plasma generation apparatus may include a first plasma generation unit and a second plasma generation unit connected in series with the first plasma generation unit. Here, a gas is changed to plasma by a magnetic field generated by the first plasma generation unit and the second plasma generation unit, the first plasma generation unit is operated by a low-frequency power supply, and the second plasma generation unit is operated by a high-frequency power supply.

Подробнее
25-03-2021 дата публикации

APPARATUS FOR FABRICATING A SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING A SEMICONDUCTOR STRUCTURE

Номер: US20210090935A1
Принадлежит:

The present disclosure provides an apparatus for fabricating a semiconductor structure, including a chuck, an edge ring surrounding the chuck, wherein the edge ring comprises a cavity, a focus ring adjacent to an edge of the chuck and over the edge ring, and a first actuator in the cavity of the edge ring and engaging with the focus ring.

Подробнее
09-07-2019 дата публикации

Methods for manufacturing semiconductor devices having three-dimensionally arranged memory cells

Номер: US0010347502B2

Methods for manufacturing semiconductor devices may include forming a stack structure including layers stacked on a substrate, forming a mask pattern on the stack structure, and patterning the stack structure using the mask pattern such that the stack structure has an end portion with a stepped profile. The patterning of the stack structure may include performing a pad etching process of etching the stack structure using the mask pattern as an etch mask, and performing a mask etching process of etching a sidewall of the mask pattern. The performing of the mask etching process may include irradiating an ion beam onto the mask pattern, which may be irradiated at a first tilt angle with respect to the sidewall of the mask pattern and at a second tilt angle with respect to a top surface of the mask pattern. The first tilt angle may be different from the second tilt angle.

Подробнее
09-07-2019 дата публикации

Embedded mask patterning process for fabricating magnetic media and other structures

Номер: US0010347467B2

In some examples, a method including depositing a functional layer over a substrate; depositing a granular layer over the functional layer, the granular layer including a first material defining a plurality of grains separated by a second material defining grain boundaries of the plurality of grains; removing the second material from the granular layer such that the plurality of grains of the granular layer define a hard mask layer on the functional layer; and removing, via reactive ion etching with a carrier gas, portions of the functional layer not masked by the hard mask layer, wherein the carrier gas comprises a gas with an atomic number less than an atomic number of argon.

Подробнее
12-06-2018 дата публикации

Etching method

Номер: US0009997374B2
Принадлежит: Tokyo Electron Limited, TOKYO ELECTRON LTD

An etching method performed by an etching apparatus includes a first process of causing a first high-frequency power supply to output a first high-frequency power with a first frequency and causing a second high-frequency power supply to output a second high-frequency power with a second frequency lower than the first frequency in a cryogenic environment where the temperature of a wafer is −35° C. or lower, to generate plasma from a hydrogen-containing gas and a fluorine-containing gas and to etch, with the plasma, a multi-layer film of silicon dioxide and silicon nitride and a single-layer film of silicon dioxide that are formed on the wafer; and a second process of stopping the output of the second high-frequency power supply. The first process and the second process are repeated multiple times, and the first process is shorter in time than the second process.

Подробнее
12-06-2018 дата публикации

Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Номер: US0009997372B2
Принадлежит: Lam Research Corporation, LAM RES CORP

Various embodiments herein relate to methods, apparatus and systems for forming a recessed feature in dielectric material on a semiconductor substrate. Separate etching and deposition operations are employed in a cyclic manner. Each etching operation partially etches the feature. Each deposition operation forms a protective coating on the sidewalls of the feature to prevent lateral etch of the dielectric material during the etching operations. The protective coating may be deposited using methods that result in formation of the protective coating along substantially the entire length of the sidewalls. The protective coating may be deposited using particular reactants having low sticking coefficients in some embodiments. The protective coating may also be deposited using particular reaction mechanisms that result in substantially complete sidewall coating. In some cases the protective coating is deposited using plasma assisted atomic layer deposition or plasma assisted chemical vapor deposition ...

Подробнее
17-02-2022 дата публикации

ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20220051902A1
Автор: Koki TANAKA
Принадлежит: TOKYO ELECTRON LIMITED

An etching method includes: (a) providing a substrate that contains silicon, on a support; (b) etching the substrate with plasma generated from a first gas that includes a fluorine-containing gas, to form an etching shape having a bottom; (c) generating plasma from a second gas that includes a hydrogen fluoride (HF) gas, to selectively form a condensed or solidified layer of HF at the bottom of the etching shape; and (d) etching the bottom with the plasma generated from the second gas, by supplying a bias power to the support. During (c) and (d), a temperature of the substrate is maintained to be 0° C. or lower.

Подробнее
01-02-2022 дата публикации

Methods and systems to enhance process uniformity

Номер: US0011239061B2
Принадлежит: Applied Materials, Inc.

A semiconductor processing chamber may include a remote plasma region, and a processing region fluidly coupled with the remote plasma region. The processing region may be configured to house a substrate on a support pedestal. The support pedestal may include a first material at an interior region of the pedestal. The support pedestal may also include an annular member coupled with a distal portion of the pedestal or at an exterior region of the pedestal. The annular member may include a second material different from the first material.

Подробнее
15-02-2022 дата публикации

Multi-layer plasma resistant coating by atomic layer deposition

Номер: US0011251023B2
Принадлежит: APPLIED MATERIALS, INC.

Described herein are articles, systems and methods where a plasma resistant coating is deposited onto a surface of a chamber component using an atomic layer deposition (ALD) process. The plasma resistant coating has a stress relief layer and a layer comprising a solid solution of Y2O3—ZrO2and uniformly covers features, such as those having an aspect ratio of about 3:1 to about 300:1.

Подробнее
05-12-1995 дата публикации

Radio frequency monitor for semiconductor process control

Номер: US0005472561A
Автор:
Принадлежит:

A RF sensor for monitoring voltage, current and phase angle of a RF signal being coupled to a plasma reactor. Outputs from the sensor are used to calculate various properties of the plasma. These values are then utilized to characterize the process and/or used to provide feedback for in-situ control of an ongoing plasma process.

Подробнее
13-02-2014 дата публикации

HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING

Номер: US2014045337A1
Принадлежит:

An exemplary method is directed to powering heaters in a substrate support assembly on which a semiconductor substrate is supported. The support assembly has an array of heaters powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to a power supply and at least two of the heaters and each power return line is connected to at least two of the heaters, and a switching device which independently connects each one of the heaters to one of the power supply lines and one of the power return lines so as to provide time-averaged power to each of the heaters by time divisional multiplexing of switches of the switching device. The method includes supplying power to each of the heaters sequentially using a time-domain multiplexing scheme.

Подробнее
29-10-2019 дата публикации

Method of marking a solid state material, and solid state materials marked according to such a method

Номер: US0010457089B2
Принадлежит: Master Dynamic Limited, MASTER DYNAMIC LTD

A method of forming a non-optically detectable identifiable mark at an outer surface of an article formed from a solid state material, said method including the steps of forming a plurality of recesses within a predetermined region of a photoresist 5 applied to an outer surface of an article formed from a solid state material, wherein said plurality of recesses is formed by two-photon absorption lithography and wherein said one or more recesses extend at least partially through the photoresist and from an outer surface of the photoresist and towards said outer surface of the article 10 formed from a solid state material; and applying an etching process such that at least a portion of the outer surface of said article is exposed and etched so as to form a plurality of etched portions extending into said article from the outer surface of the article and corresponding to said plurality of recesses; wherein said predetermined region of said photoresist defines an identifiable mark to be applied ...

Подробнее
24-10-2017 дата публикации

Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching

Номер: US0009799491B2

The disclosure concerns a method of operating a plasma reactor having an electron beam plasma source for independently adjusting electron beam energy, plasma ion energy and radical population. The disclosure further concerns an electron beam source for a plasma reactor having an RF-driven electrode for producing the electron beam.

Подробнее
24-11-2020 дата публикации

Moving focus ring for plasma etcher

Номер: US0010847349B2

A semiconductor manufacturing method and semiconductor manufacturing tool for performing the same are disclosed. The semiconductor manufacturing tool includes a plasma chamber, a mounting platform disposed within the plasma chamber, a focus ring disposed within the plasma chamber, and at least one actuator mechanically coupled to the focus ring and configured to move the focus ring vertically. The actuator is configured to move the focus ring vertically when a plasma is present in the plasma chamber.

Подробнее
11-12-2018 дата публикации

Plasma etching apparatus

Номер: US0010153135B2

An ICP plasma etching apparatus for etching a substrate includes at least one chamber, a substrate support positioned within the chamber, a plasma production device for producing a plasma for use in etching the substrate, and a protective structure which surrounds the substrate support so that, in use, a peripheral portion of the substrate is protected from unwanted deposition of material. The protective structure is arranged to be electrically biased and is formed from a metallic material so that metallic material can be sputtered from the protective structure onto an interior surface of the chamber to adhere particulate material to the interior surface.

Подробнее
11-09-2018 дата публикации

Glow discharge spectroscopy method and system for measuring in situ the etch depth of a sample

Номер: US0010073038B2
Принадлежит: Horiba Jobin Yvon SAS

A glow discharge spectrometry system includes a glow discharge lamp suitable for receiving a solid sample (10) and forming a glow discharge etching plasma (19). The system (100) for measuring in situ the depth of the erosion crater generated by etching of the sample (10) includes an optical separator (3), optical elements (4) suitable for directing a first incident beam (21) toward a first zone (11) of the sample, the first zone being exposed to the etching plasma, and a second incident beam (22) toward a second zone (12) of the same side of the sample, the second zone being protected from the etching plasma, respectively, and an optical recombining device (3) suitable for forming an interferometric beam (30) so as to determine the depth (d) of the erosion crater.

Подробнее
21-03-2019 дата публикации

PLASMA PROCESSING APPARATUS AND PREDICTION METHOD OF THE CONDITION OF PLASMA PROCESSING APPARATUS

Номер: US20190088455A1
Принадлежит:

A system that predicts an apparatus state of a plasma processing apparatus including a processing chamber in which a sample is processed is configured to have a data recording unit that records emission data of plasma during processing of the sample and electrical signal data obtained from the apparatus during the plasma processing, an arithmetic unit that includes a first calculation unit for calculating a first soundness index value of the plasma processing apparatus and a first threshold for an abnormality determination using a first algorithm with respect to the recorded emission data and a second calculation unit for calculating a second soundness index value of the plasma processing apparatus and a second threshold for the abnormality determination using a second algorithm with respect to the electrical signal data recorded in the data recording unit, and a determination unit that determines soundness of the plasma processing apparatus using the calculated first soundness index value ...

Подробнее
28-02-2019 дата публикации

INTEGRATED EPITAXY AND PRECLEAN SYSTEM

Номер: US20190066998A1
Принадлежит:

Implementations of the present disclosure generally relates to a transfer chamber coupled to at least one vapor phase epitaxy chamber a plasma oxide removal chamber coupled to the transfer chamber, the plasma oxide removal chamber comprising a lid assembly with a mixing chamber and a gas distributor; a first gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a second gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; a third gas inlet formed through a portion of the lid assembly and in fluid communication with the mixing chamber; and a substrate support with a substrate supporting surface; a lift member disposed in a recess of the substrate supporting surface and coupled through the substrate support to a lift actuator; and a load lock chamber coupled to the transfer chamber.

Подробнее
16-04-2020 дата публикации

ADJUSTABLE EXTENDED ELECTRODE FOR EDGE UNIFORMITY CONTROL

Номер: US20200118798A1
Принадлежит:

Embodiments described herein generally related to a substrate processing apparatus. In one embodiment, a process kit for a substrate processing chamber disclosed herein. The process kit includes a ring having a first ring component and a second ring component, an adjustable tuning ring, and an actuating mechanism. The first ring component is interfaced with the second ring component such that the second ring component is movable relative to the first ring component forming a gap therebetween. The adjustable tuning ring is positioned beneath the ring and contacts a bottom surface of the second ring component. A top surface of the adjustable tuning ring contacts the second ring component. The actuating mechanism is interfaced with the bottom surface of the adjustable tuning ring. The actuating mechanism is configured to actuate the adjustable tuning ring such that the gap between the first ring component and the second ring component varies.

Подробнее
24-11-2016 дата публикации

Textured Silicon Liners In Substrate Processing Systems

Номер: US20160343545A1
Принадлежит:

Substrate processing systems, such as ion implantation systems, deposition systems and etch systems, having textured silicon liners are disclosed. The silicon liners are textured using a chemical treatment that produces small features, referred to as micropyramids, which may be less than 20 micrometers in height. Despite the fact that these micropyramids are much smaller than the textured features commonly found in graphite liners, the textured silicon is able to hold deposited coatings and resist flaking. Methods for performing preventative maintenance on these substrate processing systems are also disclosed.

Подробнее
14-12-2021 дата публикации

Process kit with adjustable tuning ring for edge uniformity control

Номер: US0011201037B2
Принадлежит: APPLIED MATERIALS, INC.

Process kits, processing chambers, and methods for processing a substrate are provided. The process kit includes an edge ring, an adjustable tuning ring, and an actuating mechanism. The edge ring has a first ring component interfaced with a second ring component that is movable relative to the first ring component forming a gap therebetween. A lower surface of the second ring component contains an upper alignment coupling and an upper surface of the adjustable tuning ring contains a lower alignment coupling. The lower alignment coupling of the adjustable tuning ring is configured to mate with the upper alignment coupling of the second ring component to form an interface. The actuating mechanism is interfaced with the lower surface of the adjustable tuning ring. The actuating mechanism is configured to actuate the adjustable tuning ring such that the gap between the first ring component and the second ring component is varied.

Подробнее
06-02-2018 дата публикации

Method for manufacturing vertically-growing open carbon nanotube thin film

Номер: US0009884768B2

Disclosed is a method for manufacturing a vertically-growing open carbon nanotube thin film. The method comprises: grinding the surface of a ceramic film by using metallographical sandpaper, performing ultrasonic cleaning by using acetone and performing boiling with water, and performing drying to obtain a ceramic film substrate; dissolving a catalyst ferrocene in a carbon source dimethylbenzene in an ultrasonic manner, and adding a carbon nanotube growth promoting agent thiophene to form a mixed solution; putting the ceramic film substrate in a tubular furnace reactor, introducing nitrogen, and slowly injecting the mixed solution at a constant speed to perform a high-temperature vapor deposition reaction; and further performing plasma etching and nitric acid reflux heating treatment to open closed ends of carbon nanotubes, and removing catalyst particles on the carbon nanotube thin film to obtain the open carbon nanotube thin film that is highly vertically aligned.

Подробнее
30-01-2018 дата публикации

Etching method and substrate processing apparatus

Номер: US0009882124B2

An etching method is provided for etching a multilayer film material that includes a metal laminated film having an insulating layer arranged between a first magnetic layer and a second magnetic layer. The etching method includes an etching step of generating a plasma by supplying a first gas to a processing chamber and etching the metal laminated film using the generated plasma. The first gas is a gas containing PF3 gas.

Подробнее
06-06-2019 дата публикации

SYNCHRONIZATION WITH A BIAS SUPPLY IN A PLASMA PROCESSING SYSTEM

Номер: US20190172685A1
Принадлежит:

Plasma processing systems and methods are disclosed. The method includes generating and sustaining a plasma in a plasma chamber and producing a surface potential on a surface of a workpiece in the plasma chamber by applying, with a bias supply, an output waveform to a bias electrode within the plasma chamber where the output waveform has a repetition period, T. A waveform dataset is produced to represent the output waveform of the bias supply during the repetition period, T, and the waveform dataset is sent to one or more other pieces of equipment connected to the plasma chamber. A synchronization pulse with a synchronization-pulse-repetition-period is sent to the one or more other pieces of equipment connected to the plasma chamber to enable synchronization among the one or more other pieces of equipment.

Подробнее
19-11-2020 дата публикации

FOCUS RING ADJUSTMENT ASSEMBLY OF A SYSTEM FOR PROCESSING WORKPIECES UNDER VACUUM

Номер: US20200365405A1
Принадлежит:

A focus ring adjustment assembly of a system for processing workpieces under vacuum, where the focus ring may include a lower side having a first surface portion and a second surface portion, the first surface portion being vertically above the second surface portion. The adjustment assembly may include a pin configured to selectively contact the first surface portion of the focus ring, and an actuator operable to move the pin along the vertical direction between an extended position and a retracted position. The extended position of the pin may be associated with the distal end of the pin contacting the first surface of the focus ring and the focus ring being accessible for removal by a workpiece handling robot from the vacuum process chamber.

Подробнее
22-06-2017 дата публикации

SYSTEMS AND METHODS ENABLING LOW DEFECT PROCESSING VIA CONTROLLED SEPARATION AND DELIVERY OF CHEMICALS DURING ATOMIC LAYER DEPOSITION

Номер: US20170175269A1
Принадлежит:

A gas delivery system includes a first valve including an inlet that communicates with a first gas source. A first inlet of a second valve communicates with an outlet of the first valve and a second inlet of the second valve communicates with a second gas source. An inlet of a third valve communicates with a third gas source. A connector includes a first gas channel and a cylinder defining a second gas channel. The cylinder and the first gas channel collectively define a flow channel between an outer surface of the cylinder and an inner surface of the first gas channel. The flow channel communicates with the outlet of the third valve and the first end of the second gas channel. A third gas channel communicates with the second gas channel, with the outlet of the second valve and with a gas distribution device of a processing chamber.

Подробнее
18-02-2021 дата публикации

TRANSFER METHOD AND TRANSFER APPARATUS FOR SUBSTRATE PROCESSING SYSTEM

Номер: US20210050240A1
Принадлежит: Tokyo Electron Limited

A semiconductor substrate is transferred accurately with respect to an edge ring. A transfer apparatus uses a transfer method for a substrate processing system, where the method includes tray loading, measuring, positioning, substrate placement, and tray removing. The tray loading includes loading a tray on which a semiconductor substrate and an edge ring are placeable into a mounting chamber including a support. The measurement includes measuring a position of the edge ring placed on the tray and obtaining position information about the edge ring. The positioning includes positioning the semiconductor substrate based on the position information. The substrate placement includes placing the positioned semiconductor substrate onto the tray. The tray removing includes removing the tray on which the semiconductor substrate and the edge ring are placed from the mounting chamber.

Подробнее
11-05-2017 дата публикации

ION ASSISTED DEPOSITION FOR RARE-EARTH OXIDE BASED COATINGS

Номер: US20170130319A1
Принадлежит:

A method of manufacturing an article comprises performing ion assisted deposition (IAD) to deposit a protective layer on at least one surface of the article, wherein the protective layer is a plasma resistant rare earth oxide film having a thickness of less than 300 μm and an average surface roughness of 10 micro-inches or less.

Подробнее
12-05-2020 дата публикации

Auto-calibration to a station of a process module that spins a wafer

Номер: US0010651065B2
Принадлежит: Lam Research Corporation, LAM RES CORP

A method for calibration including determining a temperature induced offset in a pedestal of a process module under a temperature condition for a process. The method includes delivering a wafer to the pedestal of the process module by a robot, and detecting an entry offset. The method includes rotating the wafer over the pedestal by an angle. The method includes removing the wafer from the pedestal by the robot and measuring an exit offset. The method includes determining a magnitude and direction of the temperature induced offset using the entry offset and exit offset.

Подробнее
28-06-2012 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20120160418A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes: a radio frequency (RF) power source which applies an RF power to a lower electrode; a direct current (DC) power source which applies a DC voltage to an upper electrode; a ground member for the DC voltage that is a ring shape formed of a conductive material, that is arranged in the processing chamber such that at least a part of the ground member is exposed to the processing space, and that forms a ground potential with respect to the DC voltage applied to the upper electrode; and a plurality of vertical movement mechanisms which move the ground member for the DC voltage in a vertical direction to adjust a grounding state of the ground member for the DC voltage.

Подробнее
09-06-2015 дата публикации

Boron ionization for aluminum oxide etch enhancement

Номер: US0009051655B2

Embodiments described herein generally provide a method for performing a semiconductor precleaning process. More specifically, embodiments provided herein relate to boron ionization for aluminum oxide etch enhancement. A process for removing native oxide from aluminum may utilize ionized boron alone or in combination with a halogen plasma. The ionized boron may provide improved aluminum oxide etching properties while being highly selective for native oxides more generally.

Подробнее
08-12-2016 дата публикации

ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS

Номер: US20160358782A1
Принадлежит:

Provided herein are ALE methods of removing III-V materials such as gallium nitride (GaN) and related apparatus. In some embodiments, the methods involve exposing the III-V material to a chlorine-containing plasma without biasing the substrate to form a modified III-V surface layer; and applying a bias voltage to the substrate while exposing the modified III-V surface layer to a plasma to thereby remove the modified III-V surface layer. The disclosed methods are suitable for a wide range of applications, including etching processes for trenches and holes, fabrication of HEMTs, fabrication of LEDs, and improved selectivity in etching processes.

Подробнее
14-05-2015 дата публикации

ALUMINUM SELECTIVE ETCH

Номер: US20150129541A1
Принадлежит:

Methods of selectively etching aluminum and aluminum layers from the surface of a substrate are described. The etch selectively removes aluminum materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon carbon nitride, silicon oxycarbide and/or silicon nitride. The methods include exposing aluminum materials (e.g. aluminum) to remotely-excited chlorine (Cl2) in a substrate processing region. A remote plasma is used to excite the chlorine and a low electron temperature is maintained in the substrate processing region to achieve high etch selectivity. Aluminum oxidation may be broken through using a chlorine-containing precursor or a bromine-containing precursor excited in a plasma or using no plasma-excitation, respectively.

Подробнее
20-08-2019 дата публикации

Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor

Номер: US0010388492B2
Принадлежит: FM Industries, Inc., FM IND INC

A semiconductor processing member is provided, including a body and a plasma spray coating provided on the body. The coating is an ABO or ABCO complex oxide solid solution composition, where A, B and C are selected from the group consisting of La, Zr, Ce, Gd, Y, Yb and Si, and O is an oxide. The coating imparts both chlorine and fluorine plasma erosion resistance, reduces particle generation during plasma etching, and prevents spalling of the coating during wet cleaning of the semiconductor processing member.

Подробнее
13-08-2019 дата публикации

Ion beam etching

Номер: US0010381231B2
Принадлежит: Veeco Instruments Inc., VEECO INSTR INC

Pattern-multiplication via a multiple step ion beam etching process utilizing multiple etching steps. The ion beam is stationary, unidirectional or non-rotational in relation to the surface being etched during the etching steps, but sequential etching steps can utilize an opposite etching direction. Masking elements are used to create additional masking elements, resulting in decreased spacing between adjacent structures and increased structure density.

Подробнее
16-03-2023 дата публикации

PLASMA ETCHING METHOD AND SEMICONDUCTOR DEVICE FABRICATION METHOD INCLUDING THE SAME

Номер: US20230084124A1
Принадлежит:

A plasma etching method and a semiconductor device fabrication method, the plasma etching method including providing a source power having a first single pulse to an electrostatic chuck in order to generate a plasma on a substrate; providing a first bias power having a burst pulse different from the first single pulse to concentrate the plasma on the substrate; and providing a second bias power having a second single pulse the same as the first single pulse to accelerate the plasma toward the substrate.

Подробнее
20-12-2022 дата публикации

Multi-zone heater model-based control in semiconductor manufacturing

Номер: US0011533783B2

A plurality of heating zones in a substrate support assembly in a chamber is independently controlled. Temperature feedback from a plurality of temperature detectors is provided as a first input to a process control algorithm, which may be a closed-loop algorithm. A second input to the process control algorithm is targeted values of heater temperature for one or more heating zones, as calculated using a model. Targeted values of heater power needed for achieving the targeted values of heater temperature for the one or more heating zones is calculated. Chamber hardware is controlled to match the targeted value of heater temperature that is correlated with the wafer characteristics corresponding to the current optimum values of the one or more process parameters.

Подробнее
28-12-2023 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20230420294A1
Принадлежит:

A substrate processing method of processing a substrate having a base film includes a loading process of loading the substrate into a processing container, a first process of performing a first plasma process in a state where the loaded substrate is held at a first position by raising substrate support pins of a stage arranged in the processing container, and a second process of performing a second plasma process while holding the substrate at a second position by lowering the substrate support pins.

Подробнее
26-04-2022 дата публикации

Substrate processing method and substrate processing apparatus

Номер: US0011315795B2

A substrate processing method performed in a substrate processing apparatus includes providing a substrate which has a first film composed of silicon only and a second film including silicon; and etching the first film by plasma formed from a mixed gas including a halogen-containing gas and a silicon-containing gas but not including an oxygen-containing gas.

Подробнее
14-07-2022 дата публикации

GLASS-CERAMICS WITH PLASMA RESISTANCE AND PARTS FOR DRY ETCHING COMPRISING THE SAME

Номер: US20220220023A1
Принадлежит:

A crystallized glass includes crystalline and vitreous structures, in which the crystalline structure includes lithium disilicate as a main crystalline phase and at least one crystalline phase among lithium phosphonate (Li3PO4), lithium metasilicate (Li2SiO3), silica (SiO2), and zirconia (ZrO2) as a sub-crystalline phase, has an excellent processability and an excellent plasma corrosion resistance, thereby being useful as a material for production of parts for various dry etching apparatuses.

Подробнее
09-01-2024 дата публикации

Virtual metrology enhanced plasma process optimization method

Номер: US0011869756B2
Принадлежит: Tokyo Electron Limited

A method of optimizing a recipe for a plasma process includes (a) building a virtual metrology (VM) model that predicts a wafer characteristic resulting from the plasma process based on a plasma parameter and (b) building a control model that describes a relationship between the plasma parameter and a recipe parameter. (c) The wafer characteristic is measured after performing the plasma process according to the recipe. (d) Whether the wafer characteristic is within a predetermined range is determined. (e) The VM model and the control model are calibrated based on the wafer characteristic. (f) The recipe is optimized by updating the plasma parameter based on the wafer characteristic using the VM model and updating the recipe parameter based on the plasma parameter using the control model. (c), (d), (e) and (f) are repeated until the wafer characteristic is within the predetermined range.

Подробнее
29-01-1992 дата публикации

Process for the determination of the complete removal of a thin film on a non-planar substrate

Номер: EP0000468897A1
Принадлежит:

The present invention relates to a process for determining the complete removal of a thin layer (3) deposited on a substrate (1). This process comprises the steps consisting in providing on a zone of the substrate (1) an optical diffraction grating (2, 2'), the thin layer deposited on the substrate also overlapping this diffraction grating, and the etching of the thin layer also being done in the region of this diffraction grating; in illuminating the grating (2, 2') with a monochromatic light beam; and in observing the change in the diffracted light during the operation of etching the thin layer, so as to determine the instant at which the material of the thin layer is wholly eliminated. ...

Подробнее
29-03-2012 дата публикации

Adapter Ring For Silicon Electrode

Номер: US20120073752A1
Автор: Terry Parde
Принадлежит: SunEdison Inc

Methods and systems are provided for retrofitting wafer etching systems. The methods and systems use an adapter ring to retrofit wafer etching systems designed for use with multiple piece electrodes such that single piece electrodes can be used in the etching systems. A portion of the adapter ring is disposed in a receptacle formed in a thermal coupled plate in the wafer etching system. Another portion of the adapter ring is positioned in a channel formed in an upper electrode.

Подробнее
29-03-2012 дата публикации

Methods for Controlling Bevel Edge Etching in a Plasma Chamber

Номер: US20120074099A1
Принадлежит: Lam Research Corp

Methods for bevel edge etching are provided. One example method is for etching a film on a bevel edge of a substrate in a plasma etching chamber. The method includes providing the substrate on a substrate support in the plasma etching chamber. The plasma etching chamber has a top edge electrode and a bottom edge electrode disposed to surround the substrate support. Then flowing an etching process gas through a plurality of edge gas feeds disposed along a periphery of the gas delivery plate. The periphery of the gas deliver plate is oriented above the substrate support and the bevel edge of the substrate, and the flowing is further directed to a space between the top edge electrode and bottom edge electrode. And, flowing a tuning gas through a center gas feed of the gas delivery plate.

Подробнее
16-08-2012 дата публикации

Etch processing chamber

Номер: US20120208300A1
Принадлежит: Applied Materials Inc

A substrate etching method and apparatus are disclosed. In one embodiment, a method for etching is provided that includes, in a plasma processing chamber, etching a feature in a silicon layer using an etch recipe that includes cyclical etching and deposition substeps until an end point is reached, wherein an aspect ratio of the feature increases with a number of cyclical etching and deposition substeps performed over time until the end point is reached; and adjusting a recipe variable of the etch recipe in response to the current aspect ratio of the feature during etching to manage thickness of sidewall polymers when the feature becomes deeper to avoid closing the feature and preventing subsequent etching.

Подробнее
20-09-2012 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20120238040A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a technology that can obtain high in-plane uniformity of etching while etching a substrate using plasma. A proper temperature of a focus ring capable of performing etching having high in-plane uniformity is identified in advance for each of the multilayers formed on a wafer, the temperature is reflected to a processing recipe as a set temperature, and a heating mechanism and a cooling mechanism are controlled such that the temperature of the focus ring is within an appropriate temperature range including the set temperature thereof for each of the layers to be successively etched. Heat of the focus ring is radiated using a laser and is discharged to a supporting table without using a heater, to independently separate the heating mechanism and the cooling mechanism from each other.

Подробнее
06-12-2012 дата публикации

Gas distribution system for ceramic showerhead of plasma etch reactor

Номер: US20120305190A1
Принадлежит: Lam Research Corp

A gas delivery system for a ceramic showerhead includes gas connection blocks and a gas ring, the gas connection blocks mounted on the gas ring such that gas outlets in the blocks deliver process gas to gas inlets in an outer periphery of the showerhead. The gas ring includes a bottom ring with channels therein and a welded cover plate enclosing the channels. The gas ring can include a first channel extending ½ the length of the gas ring, two second channels connected at midpoints thereof to downstream ends of the first channel, and four third channels connected at midpoints thereof to downstream ends of the second channels. the cover plate can include a first section enclosing the first channel, two second sections connected at midpoints thereof to ends of the first section, and third sections connected at midpoints thereof to ends of the second sections. The channels are arranged such that the process gas travels equal distances for a single gas inlet in the gas ring to eight outlets in the cover ring allowing equal gas flow.

Подробнее
10-01-2013 дата публикации

Plasma processing apparatus

Номер: US20130008609A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode disposed to face each other, a high frequency power supply unit for applying a high frequency power to either the first electrode or the second electrode, a processing gas supply unit for supplying a processing gas to a processing space, and a main dielectric member provided at a substrate mounting portion on a main surface of the first electrode. A focus ring is attached to the first electrode to cover a peripheral portion of the main surface of the first electrode and a peripheral dielectric member is provided in a peripheral portion on the main surface of the first electrode so that an electrostatic capacitance per unit area applied between the first electrode and the focus ring is smaller than that applied between the first electrode and the substrate by the main dielectric member.

Подробнее
10-01-2013 дата публикации

Methods for automatically determining capacitor values and systems thereof

Номер: US20130008871A1
Автор: Arthur H. Sato
Принадлежит: Individual

A method for automatically performing power matching using a mechanical RF match during substrate processing is provided. The method includes providing a plurality of parameters for the substrate processing wherein the plurality of parameters including at least a predefined number of learning cycles. The method also includes setting the mechanical RF match to operate in a mechanical tuning mode. The method further includes providing a first set of instructions to the substrate processing to ignore a predefined number of cycles of Rapid Alternating Process RAP steps. The method yet also includes operating the mechanical RF match in the mechanical tuning mode for the predefined number of learning cycles. The method yet further includes determining a set of optimal capacitor values. The method moreover includes providing a second set of instructions to a power generator to operate in a frequency tuning mode.

Подробнее
24-01-2013 дата публикации

Corrosion-resistant member for semiconductor manufacturing apparatus and method for manufacturing the same

Номер: US20130022526A1
Принадлежит: NGK Insulators Ltd

A mixed powder was prepared by weighing Yb 2 O 3 and SrCO 3 in such a way that the molar ratio became 1:1. The resulting mixed powder was subjected to uniaxial pressure forming, so as to produce a disc-shaped compact. The compact was heat-treated in an air atmosphere, so that a complex oxide was synthesized. The resulting complex oxide was pulverized. After the pulverization, a slurry was taken out and was dried in a nitrogen gas stream, so as to produce a synthesized powder material. The resulting synthesized powder material was subjected to uniaxial pressure forming, so as to produce a disc-shaped compact. The resulting compact was fired by a hot-press method, so as to obtain a corrosion-resistant member for semiconductor manufacturing apparatus. The resulting corrosion-resistant member was made from a SrYb 2 O 4 .

Подробнее
31-01-2013 дата публикации

Gas supply system

Номер: US20130025715A1
Принадлежит: Horiba Stec Co Ltd

A gas supply system is provided. The system includes a plurality of component gas supply pipes, a plurality of flow rate control mechanisms for controlling flow rates of the component gases flowing in the component gas supply pipes, and a material gas supply pipe connected with downstream ends of the component gas supply pipes, and connected with one of the gas supply ports at a downstream. The flow rate control mechanism includes flow rate control valves, individual pressure sensors, and fluid resistance elements provided to the component gas supply pipes in this order from upstream, respectively, a common pressure sensor, and controllers for calculating the flow rates of the gases flowing in the component gas supply and controlling the flow rate control valves of the corresponding component gas supply pipes so that the calculated component gas flow rate approaches a predetermined gas flow rate, respectively.

Подробнее
31-01-2013 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20130029492A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing method and a plasma processing apparatus in which a stable process region can be ensured in a wide range, from low microwave power to high microwave power. The plasma processing method includes making production of plasma easy in a region in which production of plasma by continuous discharge is difficult, and plasma-processing an object to be processed, with the generated plasma, wherein the plasma is produced by pulsed discharge in which ON and OFF are repeated, radio-frequency power for producing the pulsed discharge, during an ON period, is a power to facilitate production of plasma by continuous discharge, and a duty ratio of the pulsed discharge is controlled so that an average power of the radio-frequency power per cycle is power in the region in which production of plasma by continuous discharge is difficult.

Подробнее
04-07-2013 дата публикации

Mixed mode pulsing etching in plasma processing systems

Номер: US20130168354A1
Автор: Keren Jacobs Kanarik
Принадлежит: Individual

A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times.

Подробнее
01-08-2013 дата публикации

Etching method and etching apparatus

Номер: US20130196511A1
Принадлежит: Tokyo Electron Ltd

An etching method of etching a periodic pattern formed by self-assembling a first polymer and a second polymer of a block copolymer that is capable of being self-assembled, the etching method includes supplying a high frequency power which is set such that a great amount of ion energy is distributed within a range smaller than ion energy distribution at which an etching yield of the first polymer is generated and larger than or equal to ion energy distribution at which an etching yield of the second polymer is generated, and supplying a predetermined gas, generating plasma from the supplied gas by the high frequency power, and etching the periodic pattern on a processing target object by using the generated plasma.

Подробнее
21-11-2013 дата публикации

Contamination Removal Apparatus and Method

Номер: US20130306101A1
Принадлежит: Rave N P Inc

A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel.

Подробнее
09-01-2014 дата публикации

Plasma System, Chuck and Method of Making a Semiconductor Device

Номер: US20140011356A1
Автор: Manfred Engelhardt
Принадлежит: INFINEON TECHNOLOGIES AG

A chuck, a system including a chuck and a method for making a semiconductor device are disclosed. In one embodiment the chuck includes a first conductive region configured to be capacitively coupled to a first RF power generator, a second conductive region configured to be capacitively coupled to a second RF power generator and an insulation region that electrically insulates the first conductive region from the second conductive region.

Подробнее
16-01-2014 дата публикации

Laser scribing and plasma etch for high die break strength and clean sidewall

Номер: US20140017881A1
Принадлежит: Individual

In embodiments, a hybrid wafer or substrate dicing process involving an initial laser scribe and subsequent plasma etch is implemented for die singulation. The laser scribe process may be used to cleanly remove a mask layer, organic and inorganic dielectric layers, and device layers. The laser etch process may then be terminated upon exposure of, or partial etch of, the wafer or substrate. In embodiments, a multi-plasma etching approach is employed to dice the wafers where an isotropic etch is employed to improve the die sidewall following an anisotropic etch. The isotropic etch removes anisotropic etch byproducts, roughness, and/or scalloping from the anisotropically etched die sidewalls after die singulation.

Подробнее
16-01-2014 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20140017900A1
Принадлежит: Tokyo Electron Ltd

A plasma etching apparatus performs plasma etching on a substrate having a resist pattern formed thereon and an outer edge portion where the substrate surface is exposed. The plasma etching apparatus includes a support part that supports the substrate, a cover member that covers the outer edge portion of the substrate and prevents plasma from coming around the outer edge portion, and a control unit that generates plasma by controlling high frequency power application and supply of a processing gas for etching, and uses the generated plasma to etch the substrate that is supported by the support part and has the outer edge portion covered by the cover member. After etching the substrate, the control unit generates plasma by controlling high frequency power application and supply of a processing gas for ashing, and uses the generated plasma to perform ashing on the resist pattern on the etched substrate.

Подробнее
06-02-2014 дата публикации

Method for providing vias

Номер: US20140038419A1
Принадлежит: Lam Research Corp

A method for forming via holes in an etch layer disposed below a patterned organic mask with a plurality of patterned via holes is provided. The patterned organic mask is treated by flowing a treatment gas comprising H 2 . A plasma is formed from the treatment gas. The patterned via holes are rounded to form patterned rounded via holes by exposing the patterned via holes to the plasma. The flow of the treatment gas is stopped. The plurality of patterned rounded via holes are transferred into the etch layer.

Подробнее
20-02-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140047705A1
Принадлежит: Lam Research Corp

An exemplary method for manufacturing a heating plate for a substrate support assembly includes forming holes in at least one sheet, printing a slurry of conductor powder, or pressing a precut metal foil, or spraying a slurry of conductor powder, on the at least one sheet to form the planar heater zones, the power supply lines, and power return lines. The holes in the at least one sheet are filled with a slurry of conductor powder to form power supply and power return vias. The sheets are then aligned, pressed, and bonded to form the heating plate.

Подробнее
20-02-2014 дата публикации

Plasma baffle ring for a plasma processing apparatus and method of use

Номер: US20140051253A1
Автор: Joydeep Guha
Принадлежит: Lam Research Corp

A plasma processing apparatus includes a baffle ring which separates an internal space of a vacuum chamber into a plasma space and an exhaust space. Plasma is generated in the plasma space by exciting a process gas using an energy source. The process gas is then exhausted out of the plasma space through the plasma baffle ring which surrounds an outer periphery of a substrate support. The plasma baffle ring comprises an inner support ring, an outer support ring, and vertically spaced apart circumferentially overlapping rectangular blades extending between the inner ring and the outer ring. Each blade has a major surface used to block a line of sight from the plasma space to the exhaust space, wherein the major surfaces of the blades are configured to capture nonvolatile by-products, such as plasma etch by-products, before the by-products evacuate the plasma space.

Подробнее
27-02-2014 дата публикации

Apparatus for cylindrical magnetron sputtering

Номер: US20140054168A1
Принадлежит: Cardinal CG Co

A cathode target assembly for use in sputtering target material onto a substrate includes a generally cylindrical target and a magnetic array. The magnetic array is adapted to provide a plasma confinement region adjacent an outer surface of the target. End portions of the magnetic array are adapted to make the shape and strength of the confinement field at the turns of the racetrack closely match the shape and strength of the confinement field along the straight part of the racetrack so as to significantly reduce cross-corner effect.

Подробнее
06-03-2014 дата публикации

Gas distribution showerhead for inductively coupled plasma etch reactor

Номер: US20140065827A1
Принадлежит: Lam Research Corp

A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber. The gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber such that the etching gas in the plenum can be replaced with the deposition gas.

Подробнее
27-03-2014 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20140083977A1
Принадлежит: Toshiba Corp

In one embodiment, a plasma processing apparatus includes: a chamber; an introducing part; a counter electrode; a high-frequency power source; and a plurality of low-frequency power sources. A substrate electrode is disposed in the chamber, a substrate is directly or indirectly placed on the substrate electrode, and the substrate electrode has a plurality of electrode element groups. The introducing part introduces process gas into the chamber. The high-frequency power source outputs a high-frequency voltage for ionizing the process gas to generate plasma. The plurality of low-frequency power sources apply a plurality of low-frequency voltages of 20 MHz or less with mutually different phases for introducing ions from the plasma, to each of the plurality of electrode element groups.

Подробнее
10-04-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140096909A1
Принадлежит: Lam Research Corp

A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches.

Подробнее
01-01-2015 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20150000841A1
Принадлежит:

A plasma processing apparatus performs a stable and accurate matching operation with high reproducibility in a power modulation process of modulating of a high frequency power to be supplied into a processing vessel in a pulse shape. In the plasma processing apparatus, an impedance sensor A provided in a matching device performs a dual sampling averaging process on a RF voltage measurement value and an electric current measurement value respectively obtained from a RF voltage detector A of a voltage sensor system and a RF electric current detector A of an electric current sensor system by sampling-average-value calculating circuits A and A and by moving-average-value calculating circuits A and A. Thus, an update speed of a load impedance measurement value outputted from the impedance sensor A can be matched well with a driving control speed of a motor in a matching controller. 1. A plasma processing apparatus of generating plasma by high frequency discharge of a processing gas between a first electrode and a second electrode which are provided to face each other within an evacuable processing vessel that accommodates therein a substrate to be processed , which is loaded into and unloaded from the processing vessel , and performing a process on the substrate held on the first electrode under the plasma , the plasma processing apparatus comprising:a first high frequency power supply configured to output a first high frequency power having a frequency suitable for ion attraction into the substrate on the first electrode from the plasma;a first high frequency transmission line configured to transmit the first high frequency power outputted from the first high frequency power supply to the first electrode;a first matching device configured to match impedance on the side of the first high frequency power supply with load impedance on the first high frequency transmission line;a second high frequency power supply configured to output a second high frequency power having a ...

Подробнее
01-01-2015 дата публикации

POWER SUPPLY SYSTEM, PLASMA ETCHING APPARATUS, AND PLASMA ETCHING METHOD

Номер: US20150000842A1
Принадлежит:

A power supply system includes high frequency power supplies and that supply a high frequency power for plasma generation; a DC power supply that supplies a DC voltage to be applied to an electrode; and control unit that controls the high frequency power supplies and and the DC power supply including a first DC power supply unit that supplies a first negative DC voltage V, a second DC power supply unit that supplies a second negative DC voltage V having a higher absolute value than the first negative DC voltage V, and a selecting circuit that selectively connects the first DC power supply unit and the second DC power supply unit to the electrode; and a discharging circuit connected with a node between the first DC power supply unit and the selecting circuit 1. A power supply system in a plasma etching apparatus in which a lower electrode included in a mounting table that mounts a target substrate thereon and an upper electrode facing the lower electrode are provided within a processing chamber , the power supply system comprising:a high frequency power supply which is electrically connected with the lower electrode and configured to generate a high frequency power for plasma generation; a DC power supply, configured to apply an output voltage as a negative DC voltage to the upper electrode, having a first DC power supply unit configured to supply a first negative DC voltage, a second DC power supply unit configured to supply a second negative DC voltage having a higher absolute value than that of the first negative DC voltage, and a selecting circuit configured to selectively connect the first DC power supply unit and the second DC power supply unit to the upper electrode; anda control unit configured to control the high frequency power supply and the DC power supply,wherein the control unit is configured to alternately repeat an output of the high frequency power and a stop of the output thereof at a certain frequency by outputting a first control signal to the ...

Подробнее
01-01-2015 дата публикации

PLASMA ETCHING APPARATUS AND METHOD

Номер: US20150000843A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma etching apparatus includes a first RF power supply unit configured to apply a first RF power for plasma generation to a first electrode or a second electrode disposed opposite to each other in a process container configured to be vacuum-exhausted, a second RF power supply unit configured to apply a second RF power for ion attraction to the second electrode, and a controller configured to control the second RF power supply unit. The second RF power supply unit includes a second RF power supply and a second matching unit. The controller is preset to control the second RF power supply unit to operate in a power modulation mode that executes power modulation in predetermined cycles between a first power and a second power, while controlling the second matching unit to switch a matching operation in synchronism with the power modulation. 1. A plasma etching apparatus comprising:a process container configured to be vacuum-exhausted;a first electrode disposed inside the process container;a second electrode disposed opposite to the first electrode and configured to support a target substrate thereon;a first RF power supply unit configured to apply a first RF power for plasma generation to the first electrode or the second electrode;a second RF power supply unit configured to apply a second RF power for ion attraction to the second electrode;a process gas supply unit configured to supply a process gas into the process container; anda controller configured to control the second RF power supply unit,wherein the second RF power supply unit includes a second RF power supply and a second matching unit, andthe controller is preset to control the second RF power supply unit to operate in a power modulation mode that executes power modulation in predetermined cycles between a first power and a second power, while controlling the second matching unit to switch a matching operation in synchronism with the power modulation.2. The plasma etching apparatus according to claim 1 , ...

Подробнее
01-01-2015 дата публикации

Multiple-mode plasma generation apparatus

Номер: US20150000844A1
Автор: Je-Ho Woo
Принадлежит: EQBESTECH Inc

The present invention relates to a multiple-mode plasma generation apparatus that can supply plasma for multiple processes in order to improve processing efficiency. The plasma generation apparatus may include a first plasma generation unit and a second plasma generation unit connected in series with the first plasma generation unit. Here, a gas is changed to plasma by a magnetic field generated by the first plasma generation unit and the second plasma generation unit, the first plasma generation unit is operated by a low-frequency power supply, and the second plasma generation unit is operated by a high-frequency power supply.

Подробнее
01-01-2015 дата публикации

Textured Silicon Liners In Substrate Processing Systems

Номер: US20150001391A1
Автор: Julian Blake

Substrate processing systems, such as ion implantation systems, deposition systems and etch systems, having textured silicon liners are disclosed. The silicon liners are textured using a chemical treatment that produces small features, referred to as micropyramids, which may be less than 20 micrometers in height. Despite the fact that these micropyramids are much smaller than the textured features commonly found in graphite liners, the textured silicon is able to hold deposited coatings and resist flaking. Methods for performing preventative maintenance on these substrate processing systems are also disclosed.

Подробнее
06-01-2022 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20220005672A1
Принадлежит:

A plasma processing apparatus includes a chamber; a dielectric window, a first antenna for generating plasma within the chamber, and a first power supply for supplying RF power to the first antenna. Power is fed to a first line constituting the first antenna from the first power supply and the vicinity of the midpoint is grounded so that the first antenna resonates at a wavelength that is ½ of a wavelength of the RF power. The first antenna includes a first portion close to a first end with reference to a first position separated from the first end by a first distance toward a central portion of the first line, a second portion close to a second end with reference to a second position separated from the second end by a second distance toward the central portion, and a first intermediate portion between the first and second portions. 1. A plasma processing apparatus comprising:a chamber in which a substrate is accommodated;a dielectric window constituting an upper portion of the chamber;a first antenna provided above the chamber via the dielectric window, and formed of a conductive material in a linear shape, the first antenna being configured to generate plasma within the chamber by radiating radio frequency (RF) power into the chamber; anda first power supply configured to supply the RF power to the first antenna,wherein opposite ends of a first line constituting the first antenna are open, power is fed to a midpoint of the first line or a vicinity of the midpoint from the first power supply, and the vicinity of the midpoint is grounded so that the first antenna is configured to resonate at a wavelength that is ½ of a wavelength of the RF power supplied from the first power supply, and a first portion that is a portion of the first antenna at a side close to a first end, which is one of the opposite ends of the first line, with reference to a first position separated from the first end by a first distance toward a central portion of the first line;', 'a second ...

Подробнее
06-01-2022 дата публикации

High Speed Synchronization Of Plasma Source/Bias Power Delivery

Номер: US20220005674A1
Принадлежит:

A radio frequency (RF) generator system includes first and second RF power sources, each RF power source applying a respective RF signal and second RF signal to a load. The first RF signal is applied in accordance with the application of the second RF signal. The application of the first RF signal is synchronized to application of the second RF signal. The first RF signal may be amplitude modulated in synchronization with the second RF signal, and the amplitude modulation can include blanking of the first RF signal. A frequency offset may be applied to the first RF signal in synchronization with the second RF signal. A variable actuator associated with the first RF power source may be controlled in accordance with the second RF signal. 1. A radio frequency (RF) generator comprising:a first RF power source; anda RF power controller coupled to the first RF power source, the RF power controller generating a control signal to vary a first RF output from the first RF power source to a load, the RF power controller configured to control at least one of the first RF output or a match network actuator in a first predetermined manner over a portion of a negative voltage segment of a second RF output from a second RF power source,wherein the first predetermined manner includes controlling at least one of a power of the first RF output, a frequency of the first RF output, or the match network actuator associated with the first RF output.2. The RF generator of claim 1 , wherein the first RF output is a source RF output signal applied to the load and the second RF output is a bias RF output signal applied to the load.3. The RF generator of claim 1 , wherein the RF power controller receives a synchronization signal that varies in accordance with the second RF output and wherein the synchronization signal indicates the portion of the negative voltage segment of the second RF output.4. The RF generator of claim 1 , wherein the RF power controller increases the power of the first RF ...

Подробнее
06-01-2022 дата публикации

DUAL-PHASE COOLING IN SEMICONDUCTOR MANUFACTURING

Номер: US20220005675A1
Принадлежит:

In some examples, a substrate processing system comprises a processing chamber, a dual-phase cooling system, and a back-pressure regulator which regulates the pressure of the dual-phase coolant. The dual-phase cooling system regulates the temperature of the processing chamber or a first component thereof. The dual-phase cooling system includes a cooling loop in thermal communication with the processing chamber or the component. The cooling loop contains a dual-phase coolant in fluid communication with a heat exchanger. The processing chamber or the first component includes a top plate or a cool plate comprising one or more e passageways forming part of the cooling loop. 1. A substrate processing system , comprising:a processing chamber for processing a substrate,a dual-phase cooling system for regulating a temperature of the processing chamber or a first component thereof, the dual-phase cooling system including a cooling loop in thermal communication with the processing chamber or the component, the cooling loop containing a dual-phase coolant in fluid communication with a heat exchanger;the processing chamber or the first component including a top plate or a cool plate comprising one or more passageways forming part of the cooling loop and through which the dual-phase coolant can pass in a path to and from the heat exchanger; anda back-pressure regulator for regulating a pressure of the dual-phase coolant.2. The substrate processing system of claim 1 , further comprising at least one heater to regulate a temperature of the dual-phase coolant prior to entry or passage of the dual-phase coolant through a section of the cooling loop.3. The substrate processing system of claim 1 , wherein the pressure of the dual-phase coolant regulated by the back-pressure regulator is based on a saturation temperature of the dual-phase coolant or a temperature differential thereof.4. The substrate processing system of claim 1 , wherein a temperature of the top plate or cool plate is ...

Подробнее
06-01-2022 дата публикации

CONTROL METHOD FOR MULTI-ZONE ACTIVE-MATRIX TEMPERATURE CONTROL IN PLASMA PROCESSING APPARATUS

Номер: US20220005677A1
Принадлежит:

Method for multi-zone temperature control system having temperature control matrix and gate driver; N*M temperature control modules form N-row M-column matrix, power supply line, and power return line; each temperature control module comprising: a temperature control unit adapts to be heated up by electrical power for temperature controlling; semiconductor switch provided with a gate electrode connected with the gate driver, two ends of the gate being connected with the power supply line, and the power return line through the temperature control unit, respectively. In the temperature control matrix, one ends, which are connected with a power return line, of the temperature control units of temperature control modules in a same row or same column are serially connected, and connected with the power supply line; one ends, which are connected with the power supply line at same row or same column are serially connected, and connected with the power supply line. 1. A method of temperature control for a multi-zone active-matrix temperature control system , wherein , the multi-zone active-matrix temperature control system comprises a temperature control matrix and a gate driver; the temperature control matrix comprises: temperature control modules forming a matrix , a power supply line , and a power return line; each temperature control module comprises: a heater; a semiconductor switch with a gate electrode connected to the gate driver , the semiconductor switch further including other two electrodes first electrode being connected to the power supply line , and second electrode connected to the power return line through the heater , respectively , selectively connect said two electrodes according to the signal on gate electrode ,the temperature control method comprising:supplying power, by the power supply line, to the temperature control modules in a temperature adjusting zone;turning on the semiconductor switches corresponding to the temperature adjusting zone by the ...

Подробнее
05-01-2017 дата публикации

Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity

Номер: US20170002465A1
Автор: Shaikh Fayaz, Tan Taide
Принадлежит:

A chamber for use in implementing a deposition process includes a pedestal for supporting a semiconductor wafer. A silicon ring is disposed over the pedestal and surrounds the semiconductor wafer. The silicon ring has a ring thickness that approximates a semiconductor wafer thickness. The silicon ring has an annular width that extends a process zone defined over the semiconductor wafer to an extended process zone that is defined over the semiconductor wafer and the silicon ring. A confinement ring defined from a dielectric material is disposed over the pedestal and surrounds the silicon ring. A showerhead having a central showerhead area and an extended showerhead area is also included. The central showerhead area is substantially disposed over the semiconductor wafer and the silicon ring. The extended showerhead area is substantially disposed over the confinement ring. The annular width of the silicon ring enlarges a surface area of the semiconductor wafer that is exposed and shifts non-uniformity effects of deposition materials over the semiconductor wafer from an edge of the semiconductor wafer to an outer edge of the silicon ring. 1. A chamber for processing a semiconductor wafer , the processing of the semiconductor wafer includes performing deposition of a material over a surface of the semiconductor wafer , the chamber comprising ,a pedestal for supporting the semiconductor wafer;a silicon ring surrounding the semiconductor wafer and disposed over the pedestal, the silicon ring having a ring thickness that approximates a semiconductor wafer thickness, the silicon ring has an annular width that extends a process zone over the semiconductor wafer to an extended process zone that is over the semiconductor wafer and the silicon ring;a confinement ring surrounding the silicon ring and disposed on the pedestal, the confinement ring being defined from a dielectric material;a showerhead having a central showerhead area and an extended showerhead area, the central ...

Подробнее
01-01-2015 дата публикации

METHOD OF CONTROLLING TEMPERATURE AND PLASMA PROCESSING APPARATUS

Номер: US20150004794A1
Автор: HARADA Akitoshi
Принадлежит:

A method of controlling a temperature is provided. In the method, a plasma process is performed in a processing chamber on an object to be processed placed on an electrostatic chuck configured to have its temperature adjustable. The electrostatic chuck is controlled to have a first temperature. The temperature of the electrostatic chuck is controlled in a step-by-step manner so as to change from the first temperature to a second temperature that is lower than the first temperature after performing the plasma process. An inside of the processing chamber is purged with an inactive gas after performing the plasma process. 1. A method of controlling a temperature , the method comprising steps of:performing a plasma process in a processing chamber on an object to be processed placed on an electrostatic chuck configured to have its temperature adjustable, the electrostatic chuck being controlled to have a first temperature;controlling the temperature of the electrostatic chuck in a step-by-step manner so as to change from the first temperature to a second temperature that is lower than the first temperature after performing the plasma process; andpurging the processing chamber with an inactive gas after performing the plasma process.2. The method as claimed in claim 1 , further comprising steps of:carrying the object to be processed into the processing chamber; andcontrolling the temperature of the electrostatic chuck before starting the plasma process so as to be the first temperature that is higher than the temperature of the electrostatic chuck when the object to be processed carried into the processing chamber.3. The method as claimed in claim 1 , further comprising:controlling a pressure in the processing chamber after performing the plasma process to be a first pressure that is higher than a pressure in the processing chamber when performing the plasma processing.4. The method as claimed in claim 1 , wherein a part of the steps of controlling the temperature of the ...

Подробнее
04-01-2018 дата публикации

FLEXIBLE SENSOR

Номер: US20180004318A1
Принадлежит:

Systems, apparatuses, and/or methods to manufacture and/or implement a sensor film, a composite electrode, and/or a computing device such as a flexible device. The sensor film may include a random network of metal lines and graphene interconnecting the metal lines. The composite electrode may be formed from the sensor film. In addition, the composite electrode may include a first portion including a metal layer in a graphene layer, wherein the metal layer is randomly located in the graphene layer, and a second portion excluding the metal layer and including the graphene layer. The sensor film may be patterned to include any composite electrode configuration, such as an antenna electrode configuration, a touch electrode configuration, and so on. Thus, the flexible device may include a flexible touch screen. 1. A sensor film comprising:a random network of metal lines, andgranphene interconnecting the metal lines.2. The sensor film of claim 1 , further including a flexible substrate attached to the sensor film.3. The sensor film of claim 1 , further including a composite electrode from the sensor film comprising:a first portion including a metal layer in a graphene layer, anda second portion excluding the metal layer and including the graphene layer.4. The sensor film of claim 3 , wherein one or more of the sensor film or the composite electrode is to provide a sheet resistance of about 1 ohm/square to about 10 ohm/square and a transmittance of at least about 90%.5. A composite electrode comprising:a first portion including a metal layer in a graphene layer, wherein the metal layer is randomly located in the graphene layer, anda second portion excluding the metal layer and including the graphene layer.6. The composite electrode of claim 5 , wherein the metal layer includes a transition metal.7. The composite electrode of claim 5 , wherein the graphene layer includes single-layer graphene claim 5 , bi-layer graphene claim 5 , tri-layer graphene claim 5 , few-layer ...

Подробнее
03-01-2019 дата публикации

Formation of Antireflective Surfaces

Номер: US20190004215A1
Принадлежит: BROOKHAVEN SCIENCE ASSOCIATES LLC

Technologies are described for methods and systems effective for etching nanostructures in a substrate. The methods may comprise depositing a patterned block copolymer on the substrate. The patterned block copolymer may include first and second polymer block domains. The methods may comprise applying a precursor to the patterned block copolymer to generate an infiltrated block copolymer. The precursor may infiltrate into the first polymer block domain and generate a material in the first polymer block domain. The methods may comprise applying a removal agent to the infiltrated block copolymer to generate a patterned material. The removal agent may be effective to remove the first and second polymer block domains from the substrate. The methods may comprise etching the substrate. The patterned material on the substrate may mask the substrate to pattern the etching. The etching may be performed under conditions to produce nanostructures in the substrate.

Подробнее
05-01-2017 дата публикации

MULTIPLE READER STACKS DISPOSED IN CLOSE PROXIMITY

Номер: US20170004851A1
Принадлежит:

In accordance with one embodiment, a multi-reader can be manufactured so as to be able to read from multiple regions of a storage device contemporaneously during operation. Such a device can be configured, for example, by forming a first wall; forming a second wall; and utilizing the first wall and the second wall to form two adjacent reader stacks. 1. A method of forming two adjacent reader stacks comprising:depositing a mandrel material;forming a first wall on a first sidewall of the mandrel material;forming a second wall on a second sidewall of the mandrel material; andforming the two adjacent reader stacks using the first wall and the second wall.2. The method of claim 1 , wherein depositing the first wall further comprises:depositing the first wall from an etch resistant hardmask material.3. The method of further comprising:removing the mandrel material.4. The method of wherein the adjacent reader stacks are formed in the same layer.5. The method of wherein the two adjacent reader stacks are formed in the same layer.6. The method of wherein the adjacent reader stacks are separated by no more than 100 nanometers.7. The method of wherein forming the two adjacent reader stacks further comprises:forming the two adjacent reader stacks symmetrically spaced on respective sides of an insulating divider.8. The method of further comprising:forming a clear valley between the first reader stack and the second reader stack.9. The method of wherein the two adjacent reader stacks comprise a first reader stack and a second reader stack claim 1 , the method further comprising:forming at least one divided shield, wherein a first portion of the divided shield is in electrical contact with the first reader stack and a second portion of the divided shield is in electrical contact with the second reader stack and wherein the first portion of the divided shield and second portion of the divided shield are separated by an electrical insulator. The present application is a divisional ...

Подробнее
05-01-2017 дата публикации

POWER DELIVERY SYSTEMS AND MANUFACTURING EQUIPMENT INCLUDING A VARIABLE VACUUM CAPACITOR

Номер: US20170004925A1
Принадлежит: Comet AG

A variable vacuum capacitor includes two pairs of electrodes ganged together in series such that no moving parts are required to connect electrically to any static pans. Two sets, or gangs, of movable electrodes are connected mechanically and electrically together such that they move together and such that they require no electrical connection to any other part of the device. The ganged arrangement means that the device can be constructed with a smaller diameter, but without significantly increasing the overall length of the device. The variable vacuum capacitor may be a component of e.g., a power delivery system for a plasma process, a power delivery system for surface treatment, semi-conductor manufacturing equipment, photovoltaic manufacturing equipment, and flat panel manufacturing equipment. 1. A power delivery system for a plasma process , comprising: a vacuum enclosure,', 'a first variable electrode assembly comprising one or more first static electrodes and one or more first mobile electrodes,', 'a second variable electrode assembly comprising one or more second static electrodes and one or more second mobile electrodes,', 'a first electrical connection terminal for providing an electrical connection to the one or more first static capacitor electrodes,', 'a second electrical connection terminal for providing an electrical connection to the one or more second static capacitor electrodes,', 'displacement means for displacing the first and/or second mobile electrodes relative to the first and/or second static electrodes respectively, along an axis of the vacuum capacitor,', 'wherein, in the variable vacuum capacitor,', 'the first and second electrode assemblies are ganged along the axis such that the first mobile electrode assembly is offset along the axis by a gang offset distance from the second electrode assembly, and', 'the variable vacuum capacitor comprises mobile electrode linkage means for providing a kinematic linkage between the one or more first ...

Подробнее
05-01-2017 дата публикации

System for Instantaneous Radiofrequency Power Measurement and Associated Methods

Номер: US20170004955A1
Автор: Leeser Karl F.
Принадлежит:

Each of multiple plasma processing chambers has an RF power input line connected to receive RF power from a common RF power source. An RF control module is connected to distribute RF power from the common RF power source to the RF power input lines of the multiple chambers. A voltage sensor and a current sensor are connected to a corresponding RF power input line. Each voltage sensor measures an instantaneous electrical voltage present on its RF power input line. Each current sensor measures an instantaneous electrical current present on its RF power input line. An analog multiplier module is connected to receive as inputs the instantaneous electrical voltage from its corresponding voltage sensor and the instantaneous electrical current from its corresponding current sensor. Each analog multiplier module generates an output signal that indicates an instantaneous RF power present on the corresponding RF power input line of the corresponding chamber. 1. A system for semiconductor substrate processing , comprising:a plurality of plasma processing chambers, each of the plurality of plasma processing chambers respectively including a radiofrequency (RF) power input line through which RF power is transmitted to generate a plasma within the plasma processing chamber;a radiofrequency (RF) power source configured to generate RF power for transmission to the plurality of plasma processing chambers;an RF control module connected to receive the RF power generated by the RF power source and distribute a respective portion of the RF power to each RF power input line of the plurality of plasma processing chambers;a plurality of voltage sensors respectively connected to the RF power input lines of the plurality of plasma processing chambers, such that each of the plurality of plasma processing chambers has a separate one of the plurality of voltage sensors connected to its RF power input line, each of the plurality of voltage sensors configured to output a signal indicating an ...

Подробнее
05-01-2017 дата публикации

PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20170004956A1
Принадлежит:

A plasma processing method according to an aspect includes: preparing a plasma processing apparatus including: a chamber; a lower electrode; an upper electrode; a focus ring surrounding a peripheral edge of the lower electrode; and an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode; placing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring; introducing process gas into the chamber; generating plasma of the process gas by applying high-frequency power across the upper electrode and the lower electrode; and leveling an interface of a plasma sheath on an upper portion of the substrate with that on an upper portion of the focus ring by generating a magnetic field by supplying a current to the annular coil. 1. A plasma processing method comprising: a chamber;', 'a lower electrode disposed in the chamber;', 'an upper electrode disposed in the chamber and facing the lower electrode;', 'a focus ring disposed in the chamber and surrounding a peripheral edge of the lower electrode; and', 'an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode;, 'preparing a plasma processing apparatus, the plasma processing apparatus comprisingplacing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring;introducing process gas into the chamber;applying high-frequency power across the upper electrode and the lower electrode to generate plasma of the process gas; andgenerating a magnetic field by supplying a current to the annular coil to level an interface of a plasma sheath on an upper portion of the substrate with the interface of the plasma sheath on an upper portion of the focus ring.2. The plasma processing method according to claim 1 ,wherein the leveling of the interface of the plasma sheath reduces the ...

Подробнее
05-01-2017 дата публикации

Film Forming Apparatus and Film Forming Method

Номер: US20170004995A1
Принадлежит: ULVAC, INC.

Provided is a film forming apparatus in which a thin film can be formed with a good coverage on the inner surface of a hole with high aspect ratio by preventing the negative electric charges from getting concentrated on the substrate edge portion at the time of etching processing. The film forming apparatus is provided with: a vacuum chamber in which a target is disposed; a stage for holding a substrate inside the vacuum chamber; a first electric power for applying predetermined electric power to the target; and a second electric power for applying AC power to the stage. The film forming apparatus performs: film forming processing in which the target is sputtered by applying electric power to the target by the first electric power; and etching processing in which a thin film formed on the substrate is etched by applying AC power to the stage by the second electric power. 1. A film forming apparatus comprising:a vacuum chamber in which a target is disposed;a stage for holding a substrate inside the vacuum chamber;a first power source for applying a predetermined electric power to the target;a second power source for applying AC power to the stage, thereby performing: film forming processing in which the target is sputtered by applying electric power by the first power source to the target; and etching processing in which a thin film formed on the substrate is etched by applying AC power by the second power source to the stage,characterized in that the film forming apparatus has disposed a deposition prevention plate which encloses the stage,let that side of the substrate held in position by the stage which is subjected to film forming be defined as an upper side, the film forming apparatus further comprises a driving means for moving up and down the deposition prevention plate between a film forming position in which that portion of the deposition prevention plate which is proximate to the substrate is positioned on a plane substantially equal to an upper surface of ...

Подробнее
13-01-2022 дата публикации

VOLTAGE WAVEFORM GENERATOR FOR PLASMA PROCESSING APPARATUSES

Номер: US20220013330A1
Принадлежит:

A plasma processing apparatus may include a mechanism for generating a plasma, a processing platform for supporting a substrate to be processed, and a voltage waveform generator having an output electrically coupled to the processing platform. The voltage waveform generator includes a plurality of first buck converters arranged in parallel and coupled to the output. The first buck converters include actively switchable semiconductor switches. A control unit is configured to operate the actively switchable semiconductor switches through pulse width modulation signals, and the control unit is configured to operate the plurality of first buck converters in an interleaved manner. 1. A plasma processing apparatus , comprising:a plasma reactor configured to generate a plasma,a processing platform configured to support a substrate to be processed, anda voltage waveform generator comprising an output electrically coupled to the processing platform,wherein the voltage waveform generator comprises:a plurality of first buck converters arranged in parallel and coupled to the output, the first buck converters comprising actively switchable semiconductor switches, anda control unit configured to operate the actively switchable semiconductor switches through pulse width modulation signals,wherein the control unit is configured to operate the plurality of first buck converters in an interleaved manner.2. The plasma processing apparatus of claim 1 , wherein the actively switchable semiconductor switches in each buck converter comprise a first semiconductor switch and a second semiconductor switch arranged in a half bridge configuration.3. The plasma processing apparatus of claim 2 , wherein the control unit is configured to generate phase shifted pulse width modulation signals applied to the plurality of first buck converters.4. The plasma processing apparatus of claim 1 , wherein the voltage waveform generator comprises a plurality of second buck converters arranged in parallel and ...

Подробнее
07-01-2016 дата публикации

METHODS OF REMOVING RESIDUAL POLYMERS FORMED DURING A BORON-DOPED AMORPHOUS CARBON LAYER ETCH PROCESS

Номер: US20160005602A1
Принадлежит:

Methods for removing residual polymers formed during etching of a boron-doped amorphous carbon layer are provided herein. In some embodiments, a method of etching a feature in a substrate includes: exposing a boron doped amorphous carbon layer disposed on the substrate to a first plasma through a patterned mask layer to etch a feature into the boron doped amorphous carbon layer, wherein the first plasma is formed from a first process gas that reacts with the boron doped amorphous carbon layer to form residual polymers proximate a bottom of the feature; and exposing the residual polymers to a second plasma through the patterned mask layer to etch the residual polymers proximate the bottom of the feature, wherein the second plasma is formed from a second process gas comprising nitrogen (N), oxygen (O), hydrogen (H), and methane (CH). 1. A method of etching a feature in a substrate , comprising:exposing a boron doped amorphous carbon layer disposed on the substrate to a first plasma through a patterned mask layer to etch a feature into the boron doped amorphous carbon layer, wherein the first plasma is formed from a first process gas that reacts with the boron doped amorphous carbon layer to form residual polymers proximate a bottom of the feature; and{'sub': 2', '2', '2', '4, 'exposing the residual polymers to a second plasma through the patterned mask layer to etch the residual polymers proximate the bottom of the feature, wherein the second plasma is formed from a second process gas comprising nitrogen (N), oxygen (O), hydrogen (H), and methane (CH).'}2. The method of claim 1 , wherein the first process gas comprises one of a fluorine-containing gas or a chlorine-containing gas.3. The method of claim 1 , wherein the second plasma forms hydroxylamine (NHOH) to react with the residual polymers proximate the bottom of the feature.4. The method of claim 1 , further comprising forming the first plasma by igniting the first process gas using an RF power source.5. The ...

Подробнее
04-01-2018 дата публикации

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер: US20180005801A1
Принадлежит:

Provided are apparatuses and methods for performing deposition and etch processes in an integrated tool. An apparatus may include a plasma processing chamber that is a capacitively-coupled plasma reactor, and the plasma processing chamber can include a showerhead that includes a top electrode and a pedestal that includes a bottom electrode. The apparatus may be configured with an RF hardware configuration so that an RF generator may power the top electrode in a deposition mode and power the bottom electrode in an etch mode. In some implementations, the apparatus can include one or more switches so that at least an HFRF generator is electrically connected to the showerhead in a deposition mode, and the HFRF generator and an LFRF generator is electrically connected to the pedestal and the showerhead is grounded in the etch mode. 1. A method of filling one or more gaps in a wafer , the method comprising:providing a wafer on a pedestal in a plasma processing chamber, wherein the wafer has one or more gaps each having a depth to width aspect ratio of greater than about 5:1;depositing, in the plasma processing chamber, a first dielectric layer in the one or more gaps via ALD;anisotropically etching with slope control, in the plasma processing chamber, the first dielectric layer; anddepositing, in the plasma processing chamber, a second dielectric layer in the one or more gaps over the first dielectric layer via ALD.2. The method of claim 1 , wherein a wafer temperature is between about 80° C. and about 400° C. while depositing the first dielectric layer claim 1 , while anisotropically etching with slope control the first dielectric layer claim 1 , and while depositing the second dielectric layer.3. The method of claim 1 , wherein a wafer temperature is greater than about 200° C. while anisotropically etching with slope control the first dielectric layer.4. The method of claim 1 , wherein anisotropically etching with slope control the first dielectric layer is performed ...

Подробнее
04-01-2018 дата публикации

Methods and Systems for Independent Control of Radical Density, Ion Density, and Ion Energy in Pulsed Plasma Semiconductor Device Fabrication

Номер: US20180005803A1
Принадлежит:

For a first period of time, a higher radiofrequency power is applied to generate a plasma in exposure to a substrate, while applying low bias voltage at the substrate level. For a second period of time, a lower radiofrequency power is applied to generate the plasma, while applying high bias voltage at the substrate level. The first and second periods of time are repeated in an alternating and successive manner for an overall period of time necessary to produce a desired effect on the substrate. In some embodiments, the first period of time is shorter than the second period of time such that on a time-averaged basis the plasma has a greater ion density than radical density. In some embodiments, the first period of time is greater than the second period of time such that on a time-averaged basis the plasma has a lower ion density than radical density. 1. A method for controlling a plasma in a semiconductor fabrication process , comprising:(a) supplying a process gas composition to a plasma generation region overlying a substrate holder on which a substrate is present;(b) for a first period of time, applying a first radiofrequency power to the process gas composition within the plasma generation region to generate a plasma, the first radiofrequency power corresponding to a high radiofrequency power level, and applying bias voltage at the substrate holder at a first bias voltage setting corresponding to a low bias voltage level that is below a threshold voltage required for removal of a material from the substrate through interaction of ions from the plasma with the material on the substrate;(c) for a second period of time, after completion of the first period of time, applying a second radiofrequency power to the process gas composition within the plasma generation region to generate the plasma, wherein the second radiofrequency power is applied instead of the first radiofrequency power, the second radiofrequency power corresponding to a low radiofrequency power level, ...

Подробнее
04-01-2018 дата публикации

WAFERLESS CLEAN IN DIELECTRIC ETCH PROCESS

Номер: US20180005804A1
Принадлежит:

A system and method for a waferless cleaning method for a capacitive coupled plasma system. The method includes forming a protective layer on a top surface of an electrostatic chuck, volatilizing etch byproducts deposited on one or more inner surfaces of the plasma process chamber, removing volatilized etch byproducts from the plasma process chamber and removing the protective layer from the top surface of the electrostatic chuck. A capacitive coupled plasma system including a waferless cleaning recipe is also described. 1. A controller for use with a plasma chamber that is configured as a capacitively coupled plasma system , the controller is configured for operating a waferless cleaning process of the plasma chamber , the controller comprising ,logic for setting a temperature difference between an electrostatic chuck and an edge ring that surrounds the electrostatic chuck of the plasma chamber, the temperature difference maintains the electrostatic chuck at a lower temperature than the edge ring that surrounds the electrostatic chuck;logic for generating plasma using a first process gas containing a carbon component and fluorine component, the plasma is configured to form a protective layer on a top surface of the electrostatic chuck and volatize etch byproducts formed on one or more inner surfaces of the plasma chamber from prior etch operations of a wafer, wherein the one or more inner surfaces of the plasma chamber include the edge ring that is maintained at the set temperature difference, the carbon component is configured to assist in the formation of the protective layer while the fluorine component is configured to assist in volatizing of the etch byproducts;logic for controlling removal of volatilized etch byproducts from the plasma chamber; andlogic causing removal of the protective layer from the top surface of the electrostatic chuck using a second process gas that includes oxygen or an oxygen containing compound.2. The controller of claim 1 , wherein ...

Подробнее
04-01-2018 дата публикации

METHOD FOR OPERATION INSTABILITY DETECTION IN A SURFACE WAVE PLASMA SOURCE

Номер: US20180005805A1
Принадлежит:

Provided are methods and systems for operation instability detection in a surface wave plasma source. In an embodiment a system for plasma processing may include a surface wave plasma source configured to generate a plasma field. The system may also include an optical sensor configured to generate information characteristic of optical energy collected in a region proximate to the surface wave plasma source. Additionally, the system may include a sensor logic unit configured to detect a region of instability proximate to the surface wave plasma source in response to the information generated by the optical sensor. 1. A system for plasma processing comprising:a surface wave plasma source configured to generate a plasma field;an optical sensor configured to generate information characteristic of optical energy collected in a region proximate to the surface wave plasma source; anda sensor logic unit configured to detect a region of instability proximate to the surface wave plasma source in response to the information generated by the optical sensor.2. The system of wherein the surface wave plasma source further comprises a plasma distribution plate having a plurality of regions of non-uniformity on a surface of the plasma distribution plate.3. The system of wherein the plurality of regions of non-uniformity comprise dimples formed in a surface of the plasma distribution plate.4. The system of further comprising an optical fiber disposed in a region proximate a dimple formed in the surface of the plasma distribution plate.5. The system of wherein the optical fiber is configured to collect the optical energy from plasma generated in the region proximate to the dimple formed in the surface plasma distribution plate.6. The system of wherein the optical sensor comprises a light sensitive diode disposed proximate to the optical fiber.7. The system of further comprising a plurality of optical fibers claim 4 , each optical fiber disposed in a region proximate one of a plurality ...

Подробнее
07-01-2021 дата публикации

SUPPORT UNIT AND SUBSTRATE TREATING APPARATUS INCLUDING THE SAME

Номер: US20210005419A1
Принадлежит: SEMES CO., LTD.

A support unit provided in an apparatus for treating a substrate using plasma includes a dielectric plate on which the substrate is placed, an electrode plate disposed under the dielectric plate, a power supply rod that applies power to the electrode plate, and a flange that has a shape surrounding the power supply rod and that is spaced apart from the power supply rod. 1. A support unit provided in an apparatus for treating a substrate using plasma , the support unit comprising:a dielectric plate on which the substrate is placed;an electrode plate disposed under the dielectric plate;a power supply rod configured to apply power to the electrode plate; anda flange having a shape surrounding the power supply rod, the flange being spaced apart from the power supply rod.2. The support unit of claim 1 , wherein the flange is grounded.3. The support unit of claim 2 , wherein the flange is provided to surround part of the power supply rod and is moved in an up-down direction by a lifting member.4. The support unit of claim 3 , wherein the lifting member includes:a cylinder including a cam shaft movable in a first direction; anda roller shaft configured to make contact with the cam shaft, the roller shaft being movable in a second direction different from the first direction by movement of the cam shaft.5. The support unit of claim 4 , wherein the flange is coupled with the roller shaft.6. The support unit of claim 3 , wherein the lifting member includes:a rack connected with an actuator, the rack being movable in a first direction; anda pinion engaged with the rack, the pinion being movable in a second direction different from the first direction by movement of the rack.7. The support unit of claim 6 , wherein the lifting member further includes a lifting shaft connected to the pinion and extending in the second direction claim 6 , andwherein the flange is connected with the lifting shaft.8. The support unit of claim 6 , wherein the rack has a shape upwardly inclined with ...

Подробнее
07-01-2021 дата публикации

SHOWER HEAD UNIT AND SYSTEM FOR TREATING SUBSTRATE WITH THE SHOWER HEAD UNIT

Номер: US20210005424A1
Принадлежит:

Provided is a shower head unit for control temperature for each area using a planar heating element and a substrate treating system having the same. The substrate treating system includes a housing, a shower head unit installed on an inner upper side of the housing and for entering a process gas for etching a substrate into the housing, and an electrostatic chuck installed on an inner lower side of the housing and for seating the substrate, wherein the shower head unit is installed as a planar heating element in a plurality of areas to control temperature for each area. 1. A substrate treating system comprising:a housing;a shower head unit installed on an inner upper side of the housing and for entering a process gas for etching a substrate into the housing; andan electrostatic chuck installed on an inner lower side of the housing and for seating the substrate,wherein the shower head unit is installed as a planar heating element in a plurality of areas to control temperature for each area.2. The substrate treating system of claim 1 ,wherein the shower head unit comprises,a shower plate having a plurality of first holes and for spraying the process gas into the housing through the first hole;a lower plate installed on the shower plate and having a plurality of second holes connected to the first hole and formed to be stepped;an upper plate installed on the lower plate and for distributing the process gas to the second hole; anda heating member installed on the shower plate and installed as the planar heating element in a center area, a middle area, and an edge area, respectively.3. The substrate treating system of claim 2 ,wherein the heating member is installed between the lower plate and the upper plate, installed inside the lower plate, or installed between the shower plate and the lower plate.4. The substrate treating system of claim 2 ,wherein the heating member comprises,a first heating element installed in the center area as the planar heating element;a second ...

Подробнее
04-01-2018 дата публикации

CHAMBER FILLER KIT FOR DIELECTRIC ETCH CHAMBER

Номер: US20180005851A1
Принадлежит:

A chamber filler kit for balancing electric fields in a dielectric etch chamber is provided. A transport module filler comprises an electrical conductive body, an etch resistant surface, wherein the etch resistant surface comprises an inner curved surface, which matches a partial cylindrical bore of the etch chamber, and a wafer transport aperture, wherein the transport module filler fits into a transport aperture of the etch chamber. A transport module sealer plate is adapted to be mechanically and electrically connected to the partially cylindrical chamber body and the transport module filler. A bias housing filler is adapted to be mechanically and electrically connected to a bias housing wall and comprises a conductive body and an etch resistant surface, wherein the etch resistant surface comprises a curved surface, which matches the partial cylindrical bore. 1. A chamber filler kit for balancing electric fields in a dielectric etch chamber , wherein the dielectric etch chamber comprises a partially cylindrical chamber body with a partial cylindrical bore with a transport aperture and a bias housing aperture opposite the transport aperture , and a bias housing wall adjacent to the bias housing aperture , the chamber filler kit comprising: an electrical conductive body;', 'an etch resistant surface, wherein the etch resistant surface comprises an inner curved surface, which matches the partial cylindrical bore; and', 'a wafer transport aperture for allowing a wafer and a robotic arm to pass into the partial cylindrical bore, wherein the transport module filler fits into the transport aperture and fills at least half of a volume of the transport aperture;, 'a transport module filler comprisinga transport module sealer plate adapted to be mechanically and electrically connected to the partially cylindrical chamber body and the transport module filler comprising a seal for creating a seal around the transport aperture; and a conductive body; and', 'an etch resistant ...

Подробнее
07-01-2021 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATE

Номер: US20210005426A1
Автор: Lee Dongha
Принадлежит: SEMES CO., LTD.

An apparatus for treating a substrate includes a chamber having a treatment space therein, a support unit that supports the substrate in the treatment space, a gas supply unit that supplies, into the treatment space, a process gas used to treat the substrate, a plasma source that generates plasma by exciting the process gas supplied into the treatment space, heaters that heat the support unit for different regions of the substrate, a heater power supply that applies powers to the heaters, a plurality of heater cables that deliver the powers to the heaters, and variable capacitors configured be grounded, the variable capacitors being connected to the plurality of heater cables, respectively. 1. An apparatus for treating a substrate , the apparatus comprising:a chamber having a treatment space therein;a support unit configured to support the substrate in the treatment space;a gas supply unit configured to supply, into the treatment space, a process gas used to treat the substrate;a plasma source configured to generate plasma by exciting the process gas supplied into the treatment space;heaters configured to heat the support unit for different regions of the substrate;a heater power supply configured to apply powers to the heaters;a plurality of heater cables configured to deliver the powers to the heaters; andvariable capacitors configured to be grounded, the variable capacitors being connected to the plurality of heater cables, respectively.2. The apparatus of claim 1 , further comprising:a filter configured to pass the powers through the plurality of heater cables and interrupt introduction of RF power into the heater power supply,wherein the plurality of heater cables are connected between the filter and the heaters.3. The apparatus of claim 2 , wherein the variable capacitors configured to be grounded are connected to input terminals of the filter.4. The apparatus of claim 3 , wherein the filter includes a plurality of terminals claim 3 , andwherein the variable ...

Подробнее
07-01-2021 дата публикации

PLASMA ETCHING METHOD AND PLASMA PROCESSING DEVICE

Номер: US20210005427A1
Принадлежит:

A plasma etching method according to an exemplary embodiment comprises arranging a substrate on an electrostatic chuck in a region surrounded by a focus ring. The substrate, in a state of being held by the electrostatic chuck, is etched by means of ions from a plasma. The electrostatic chuck includes a plurality of electrodes including a first electrode and a second electrode. The first electrode extends under a central region of the substrate. The second electrode extends under an edge region of the substrate. A plurality of voltages are respectively applied to the plurality of electrodes, wherein the plurality of voltages are determined such that, in the state in which the substrate is held by the electrostatic chuck, the ions from the plasma are incident on both the central region and the edge region substantially vertically. 116-. (canceled)17. A plasma etching method , the method comprising: 'wherein the substrate support has a lower electrode and an electrostatic chuck installed on the lower electrode and is installed in a chamber of the plasma processing device, and the substrate is arranged on the electrostatic chuck and in a region surrounded by a focus ring;', 'mounting a substrate on a substrate support of a plasma processing device,'} 'wherein the plurality of electrodes includes a first electrode extending below a central region of the substrate and a second electrode extending below an edge region of the substrate, and the plurality of voltages are determined such that ions from plasma are substantially vertically incident on both the central region and the edge region while the substrate is held by the electrostatic chuck; and', 'determining a plurality of voltages respectively applied to a plurality of electrodes of the electrostatic chuck,'}etching the substrate with the ions from the plasma generated in the chamber while the plurality of voltages is respectively applied to the plurality of electrodes.18. The method of claim 17 , wherein the ...

Подробнее
07-01-2021 дата публикации

PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND MEMORY MEDIUM

Номер: US20210005429A1
Принадлежит: CANON ANELVA CORPORATION

A plasma processing apparatus includes an impedance matching circuit, a balun having a first unbalanced terminal connected to the impedance matching circuit, a grounded second unbalanced terminal, a first balanced terminal and a second balanced terminal, a grounded vacuum container, a first electrode electrically connected to the first balanced terminal, a second electrode electrically connected to the second balanced terminal, an adjustment reactance configured to affect a relationship between a first voltage applied to the first electrode and a second voltage applied to the second electrode, a high-frequency power supply configured to supply a high frequency between the first unbalanced terminal and the second unbalanced terminal via the impedance matching circuit, and a controller configured to control an impedance of the impedance matching circuit and a reactance of the adjustment reactance. 1. A plasma processing apparatus comprising:an impedance matching circuit;a balun including a first unbalanced terminal connected to the impedance matching circuit, a grounded second unbalanced terminal, a first balanced terminal, and a second balanced terminal;a grounded vacuum container;a first electrode electrically connected to the first balanced terminal;a second electrode electrically connected to the second balanced terminal;an adjustment reactance configured to affect a relationship between a first voltage applied to the first electrode and a second voltage applied to the second electrode;a high-frequency power supply configured to supply a high frequency between the first unbalanced terminal and the second unbalanced terminal via the impedance matching circuit; anda controller configured to control an impedance of the impedance matching circuit and a reactance of the adjustment reactance,wherein the first electrode is configured to hold a first target, the second electrode is configured to hold a second target, the first electrode faces a space on a side of a ...

Подробнее
04-01-2018 дата публикации

SYSTEM AND METHOD FOR SUBSTRATE SUPPORT FEED-FORWARD TEMPERATURE CONTROL BASED ON RF POWER

Номер: US20180005857A1
Принадлежит:

A temperature controller is provided and includes interfaces, a compensation controller, summers, and a second controller. An interface receives a bias power signal and a plasma signal. The bias power signal indicates a bias RF power level of a RF generator. The plasma signal indicates a plasma RF power level of another RF generator. Another interface receives a temperature signal indicating a temperature of a substrate support. The compensation controller generates a compensation value based on a bias feed-forward transfer function and the bias RF power level and another compensation value based on a plasma feed-forward transfer function and the plasma RF power level. A summer generates an error signal based on a set point and the temperature. The second controller generates a control signal based on the error signal. Another summer controls an actuator to adjust the temperature based on the compensation values and the control signal. 1. A temperature controller for a substrate support in a substrate processing system , the temperature controller comprising:a first interface configured to receive a bias power signal and a plasma signal, wherein the bias power signal indicates a bias radio frequency (RF) power level of a first RF generator for the substrate support, and wherein the plasma signal indicates a plasma RF power level of a second RF generator;a second interface configured to receive a temperature signal, wherein the temperature signal indicates a temperature of the substrate support;a compensation controller configured to generate (i) a first compensation value based on a bias feed-forward transfer function and the bias RF power level, and (ii) a second compensation value based on a plasma feed-forward transfer function and the plasma RF power level;a first summer configured to generate an error signal based on a set point temperature and the temperature of the substrate support;a second controller configured to generate a control signal based on the ...

Подробнее
02-01-2020 дата публикации

METHODS AND APPARATUS FOR ELECTRON BEAM ETCHING PROCESS

Номер: US20200006036A1
Принадлежит:

Embodiments described herein relate to apparatus and methods for performing electron beam etching process. In one embodiment, a method of etching a substrate includes delivering a process gas to a process volume of a process chamber, applying a RF power to an electrode formed from a high secondary electron emission coefficient material disposed in the process volume, generating a plasma comprising ions in the process volume, bombarding the electrode with the ions to cause the electrode to emit electrons and form an electron beam, applying a negative DC power to the electrode, accelerating electrons emitted from the bombarded electrode toward a substrate disposed in the process chamber, and etching the substrate with the accelerated ions. 1. A method of etching a substrate , comprising:delivering a process gas to a process volume of a process chamber;applying a RF power to an electrode formed from a high secondary electron emission coefficient material disposed in the process volume;generating a plasma comprising ions in the process volume;bombarding the electrode with the ions to cause the electrode to emit electrons and form an electron beam;applying a negative DC power to the electrode;accelerating electrons emitted from the bombarded electrode toward a substrate disposed in the process chamber; andetching the substrate with the accelerated ions.2. The method of claim 1 , wherein the RF power has a low frequency of about 2 MHz.3. The method of claim 1 , wherein the RF power has a high frequency of about greater than 60 MHz.4. The method of claim 1 , wherein accelerating the electrons emitted from the electrode comprises:generating a magnetic field in the process volume of the process chamber;5. The method of further comprising:altering a trajectory of the electrons in the process volume.6. The method of claim 1 , wherein applying the RF power to the electrode and applying the negative DC power to the electrode are performed sequentially.7. The method of claim 1 , ...

Подробнее
02-01-2020 дата публикации

METHODS AND APPARATUSES FOR PLASMA CHAMBER MATCHING AND FAULT IDENTIFICATION

Номер: US20200006039A1
Принадлежит:

Methods of operating and assembling a plasma chamber are disclosed. An operating method includes tuning a match network of a plasma chamber while running a non-plasma discharge recipe. A hardware impedance of the plasma chamber is calculated from the match network settings from the tuning. A match loss for the plasma chamber is also calculated according to match network settings. A radio frequency (RF) power setting for the first plasma chamber is set according to the calculated hardware impedance and the calculated match loss. Such methods can be utilized to provide chamber-to-chamber performance matching across different plasma chambers. Certain disclosed methods of operating the plasma chamber can be utilized to identify hardware faults during operation and/or assembly processes. 1. A method of operating a plasma chamber , comprising:tuning a match network of a first plasma chamber while running a non-plasma discharge recipe in the first plasma chamber;calculating a hardware impedance of the first plasma chamber according to match network settings set in the tuning;calculating a match loss for the first plasma chamber according to match network settings set in the tuning; andsetting a radio frequency (RF) power setting of the first plasma chamber according to the calculated hardware impedance and the calculated match loss.2. The method of claim 1 , further comprising:establishing the non-plasma discharge recipe for the first plasma chamber.3. The method of claim 1 , further comprising:calculating a value for actual power delivered to a plasma of the first plasma chamber using the calculated hardware impedance and the calculated match loss.4. The method of claim 3 , wherein the RF power setting of the first plasma chamber set according to the calculated hardware impedance and the calculated match loss is set such that the value for the actual power delivered to the plasma of the first plasma chamber is equal to a value of an actual power delivered to a plasma of a ...

Подробнее
02-01-2020 дата публикации

DRY ETCHING DEVICE AND ELECTRODE THEREOF

Номер: US20200006040A1
Автор: WEN Chun-Bin
Принадлежит:

A dry etching device and an electrode thereof are disclosed in this application. The electrode of a dry etching device includes: an electrode plate, a surface of the electrode plate including a component-disposing area and an edge area surrounding the component-disposing area; a barrier ring, disposed in the edge area, and located on a periphery of the component-disposing area; and spacers, disposed on an outer side of the electrode plate, and abutting a periphery of the barrier ring, where the spacer has a plurality of through holes. 1. An electrode of a dry etching device , comprising:an electrode plate, a surface of the electrode plate comprising a component-disposing area and an edge area surrounding the component-disposing area;a barrier ring, disposed in the edge area, and located on a periphery of the component-disposing area; andspacers, disposed on an outer side of the electrode plate, and abutting a periphery of the barrier ring, wherein the spacer has a plurality of through holes.2. The electrode of a dry etching device according to claim 1 , wherein the spacers are disposed on the periphery of the barrier ring in a manner of equal intervals claim 1 , unequal intervals claim 1 , partially equal intervals or no interval.3. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes is evenly claim 1 , unevenly claim 1 , or partially evenly provided on the spacer.4. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes has a same shape and size.5. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes has different shapes and sizes.6. The electrode of a dry etching device according to claim 1 , wherein the plurality of through holes has partially same shapes and sizes.7. A dry etching apparatus claim 1 , comprising:a chamber;a base, disposed inside the chamber;a first electrode, disposed on the base, and a surface of the first ...

Подробнее
02-01-2020 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20200006079A1
Принадлежит:

An plasma etching method for etching a film layer includes a plurality of times repeating a step set including a first step of introducing a gas containing hydrogen fluoride into a processing chamber and supplying hydrogen fluoride molecules to the surface of an oxide film, a second step of exhausting the interior of the processing chamber in vacuum to remove the hydrogen fluoride, and a third step of introducing a gas containing hydrogen nitride into the processing chamber and supplying hydrogen nitride to the surface of the oxide film to form a compound layer containing nitrogen, hydrogen, and fluorine on the surface of the film layer, and removing the compound layer formed on the surface of the film layer. Foreign object contamination is prevented by inhibiting mixing of hydrogen fluoride gas and hydrogen nitride gas, and the etching amount is controlled by the number of times of repeating application thereof. 1. An plasma etching method for etching a film layer of an object to be processed disposed in a processing chamber inside a vacuum container and is made of a member containing silicon , the plasma etching method comprising:forming a film by repeating a set of steps a plurality of times, the set of steps includinga first step of introducing a gas containing at least hydrogen fluoride into the processing chamber and supplying hydrogen fluoride molecules to a surface of the film layer of the object to be processed,a second step of exhausting the interior of the processing chamber to remove the gas containing hydrogen fluoride, anda third step of supplying hydrogen nitride molecules to the surface of the film layer of the object to be processed to form a compound layer containing nitrogen, hydrogen, and fluorine on the surface of the film layer; andremoving the compound layer formed on the surface of the film layer to be processed after the forming of the film.2. The plasma etching method according to claim 1 , whereinthe third step includes introducing a gas ...

Подробнее
02-01-2020 дата публикации

ELECTROSTATIC CHUCK SIDEWALL GAS CURTAIN

Номер: US20200006109A1

The present disclosure describes an apparatus. The apparatus includes a chuck for placing an object thereon, a gas passage extending along a periphery of an outer sidewall of the chuck and separating the chuck into an inner portion and a sidewall portion, and a plurality of gas holes through the sidewall portion and configured to connect a gas external to the chuck to the gas passage. 1. An apparatus , comprising:a chuck for placing an object thereon;a gas passage extending along a periphery of an outer sidewall of the chuck and dividing the chuck into an inner portion and a sidewall portion; anda plurality of gas holes through the sidewall portion and configured to connect the gas passage to a gas external to the chuck.2. The apparatus of claim 1 , wherein the gas passage surrounds the inner portion from a plurality of directions.3. The apparatus of claim 1 , further comprising an inlet connected to the gas passage and configured to receive an inert gas source.4. The apparatus of claim 1 , wherein a height of the gas passage is substantially equal to or less than a height of the chuck.5. The apparatus of claim 1 , wherein a diameter of the plurality of gas holes is in a range of about 0.05 mm to about 0.5 mm.6. The apparatus of claim 5 , wherein the diameter is about 0.1 mm.7. The apparatus of claim 1 , wherein the plurality of gas holes are distributed uniformly on the sidewall portion.8. The apparatus of claim 1 , wherein the sidewall portion comprises a vertical sidewall portion claim 1 , and wherein a first one or more of the plurality of gas holes in the vertical sidewall portion have a first exit direction.9. The apparatus of claim 8 , wherein the sidewall portion of the chuck further comprises a horizontal sidewall portion claim 8 , and wherein a second one or more of the plurality of gas holes in the horizontal sidewall portion have a second exit direction different from the first exit direction.10. The apparatus of claim 9 , wherein the first and second ...

Подробнее
03-01-2019 дата публикации

SEMICONDUCTOR MANUFACTURING DEVICE AND METHOD OF OPERATING THE SAME

Номер: US20190006150A1
Принадлежит:

A semiconductor manufacturing device includes a plasma chamber, a source power supply, and first and second bias power supplies. The source power supply applies a first source voltage to the plasma chamber at a first time and a second source voltage to the plasma chamber at a second time. The first bias power supply applies a first turn-on voltage to the plasma chamber at the first time and a first turn-off voltage to the plasma chamber at the second time. The second bias power supply applies a second turn-off voltage to the plasma chamber at the first time and a second turn-on voltage to the plasma chamber at the second time. The plasma chamber forms plasmas of different conditions from a gas mixture in the plasma chamber based on the source, turn-on, and turn-off voltages. 1. A semiconductor manufacturing device , comprising:a plasma chamber to receive a gas mixture containing a first gas and a second gas different from each other;a source power supply to apply a source voltage of a first level to the plasma chamber at a first time and a source voltage of a second level different from the first level to the plasma chamber at a second time;a first bias power supply to apply a first turn-on voltage to the plasma chamber at the first time and a first turn-off voltage to the plasma chamber at the second time; anda second bias power supply to apply a second turn-off voltage to the plasma chamber at the first time and a second turn-on voltage to the plasma chamber at the second time, wherein the plasma chamber is to form plasma of a first condition from the gas mixture based on the source voltage of the first level and the first turn-on voltage at the first time and is to form plasma of a second condition, which is different from the first condition, from the gas mixture based on the source voltage of the second level and the second turn-on voltage at the second time.2. The device as claimed in claim 1 , wherein in the first condition:the plasma chamber is to form ...

Подробнее
03-01-2019 дата публикации

THE PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20190006153A1
Принадлежит:

In order to provide a plasma processing apparatus or method with improved processing uniformity, a plasma processing apparatus includes: a processing chamber which is disposed inside a vacuum container; a sample stage which is disposed inside the processing chamber and has a top surface for placing a wafer corresponding to a processing target thereon; an electric field forming part which forms an electric field supplied into the processing chamber; a coil which forms a magnetic field for forming plasma inside the processing chamber by an interaction with the electric field; and a controller which increases or decreases intensity of the plasma inside the processing chamber by repeatedly increasing or decreasing intensity of the magnetic field formed by the coil at a predetermined interval, wherein the wafer is processed while the plasma is repeatedly formed and diffused. 1. A plasma processing apparatus comprising:a processing chamber which is disposed inside a vacuum container;a sample stage which is disposed inside the processing chamber and has a top surface for placing a wafer corresponding to a processing target thereon;an electric field forming part which forms an electric field supplied into the processing chamber;a coil which forms a magnetic field for forming plasma inside the processing chamber by an interaction with the electric field; anda controller which increases or decreases intensity of the plasma inside the processing chamber by repeatedly increasing or decreasing intensity of the magnetic field formed by the coil at a predetermined interval,wherein the wafer is processed while the plasma is repeatedly formed and diffused.2. The plasma processing apparatus according to claim 1 ,wherein the controller decreases the intensity of the magnetic field of the coil after the plasma is formed and a change in intensity of the plasma falls within a predetermined range.3. The plasma processing apparatus according to claim 1 ,wherein the controller increases the ...

Подробнее
03-01-2019 дата публикации

PLASMA REACTOR HAVING A FUNCTION OF TUNING LOW FREQUENCY RF POWER DISTRIBUTION

Номер: US20190006155A1
Принадлежит:

The present disclosure provides a plasma reactor having a function of tuning low frequency RF power distribution, comprising: a reaction chamber in which an electrically conductive base is provided, the electrically conductive base being connected to a low frequency RF source via a first match, an electrostatic chuck being provided on the electrically conductive base, an upper surface of the electrostatic chuck being configured for fixing a to-be-processed substrate, an outer sidewall of the electrically conductive base being coated with at least one layer of plasma corrosion-resistance dielectric layer, a coupling ring made of a dielectric material surrounding an outer perimeter of the base, a focus ring being disposed above the coupling ring, the focus ring being arranged surround the electrostatic chuck and be exposed to a plasma during a plasma processing procedure; the plasma reactor further comprising an annular electrode that is disposed above the coupling ring but below the focus ring; a wire, a first end of which is electrically connected to the base, and a second end of which is connected to the annular electrode, a variable capacitance being serially connected to the wire. 1. A plasma reactor having a function of tuning low frequency RF power distribution , comprising:a reaction chamber in which an electrically conductive base is provided, the electrically conductive base being connected to a low frequency RF source via a first match, an electrostatic chuck being provided on the electrically conductive base, an upper surface of the electrostatic chuck being configured for fixing a to-be-processed substrate, a coupling ring being arranged to surround an outer perimeter of the base, a focus ring being disposed above the coupling ring, the focus ring being arranged to surround the electrostatic chuck and to be exposed to a plasma during a plasma processing procedure;an annular electrode that is disposed above the coupling ring; and{'i': 'intermedia', 'an ...

Подробнее
03-01-2019 дата публикации

Plasma Processing Apparatus

Номер: US20190006156A1
Принадлежит:

A plasma processing apparatus includes an electrostatic chuck configured to adsorb and hold a wafer, a focus ring disposed to surround an upper edge of the electrostatic chuck, an insulating tube disposed to cover a side surface of the electrostatic chuck, and a conductive tube disposed to cover the insulating tube. 1. A plasma processing apparatus comprising:an electrostatic chuck configured to adsorb and hold a wafer;a focus ring disposed to surround an upper edge of the electrostatic chuck;an insulating tube disposed to cover a side surface of the electrostatic chuck; anda conductive tube disposed to cover the insulating tube,whereinthe focus ring is disposed to extend over an electrostatic depression depressed in a ring shape at an edge of the electrostatic chuck, an upper end of the insulating tube, and an upper end of the conductive tube,the focus ring includes an external ring which is formed of an insulator and an internal conductive ring which is buried in the external ring,the external ring includes a first external ring which has a first height, a second external ring which has the same bottom surface as the first external ring and gradually increases in height to have an inclined surface, and a third external ring which has the same bottom surface as the second external ring and has a second height,the internal conductive ring includes a first internal conductive ring which is buried in the first external ring and extends flat, a second internal conductive ring which is continuously connected to the first internal conductive ring to extend to be inclined and is buried in the second external ring, and a third internal conductive ring which is continuously connected to the second internal conductive ring, extends flat, and is buried in the third external ring,the internal conductive ring is capacitively coupled to RF power applied to the electrostatic chuck to adjust a voltage structure of a sheath of plasma which is in contact with the focus ring,the ...

Подробнее
08-01-2015 дата публикации

PLASMA PROCESSING DEVICE AND HIGH-FREQUENCY GENERATOR

Номер: US20150007940A1
Принадлежит: TOKYO ELECTRON LIMITED

Provided is a plasma processing device which processes an object to be processed using plasma. The plasma processing device includes: a processing container configured to perform a processing by the plasma therein; and a plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves. The plasma generation mechanism is configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator. The high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator. The signal has a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and has reduced different frequency components. 1. A plasma processing device which processes an object to be processed using plasma , the plasma processing device comprising:a processing container configured to perform a processing by the plasma therein; anda plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves, and the plasma generation mechanism being configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator,wherein the high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator, the signal having a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and having reduced different frequency components.2. The plasma processing device of claim 1 , wherein the high-frequency generator includes an isolator configured to transmit a frequency signal unidirectionally from the high-frequency ...

Подробнее
08-01-2015 дата публикации

ION MILLING DEVICE

Номер: US20150008121A1
Принадлежит: Hitachi High- Technologies Corporation

The present invention aims at providing an ion milling device that can set a high-precision processing area with a simple structure. In order to achieve the above object, there is proposed an ion milling device including a sample holder that holds a sample and a mask partially restricting irradiation of the sample with an ion beam, in which the sample holder includes a first contact surface that contacts with an end surface of the sample located on a passing orbit side of the ion beam, and a second contact surface that contacts with an end surface of the mask so that the mask is located at a position spaced apart from the ion beam more than the first contact surface. 1. An ion milling device comprising:an ion source for irradiating a sample with an ion beam; anda sample stage disposed within a vacuum chamber for the sample to be irradiated with the ion beam,wherein a sample holder that holds the sample, and a mask that partially limits irradiation of the sample with the ion beam is provided, and the sample holder includes a first contact surface that contacts with an end surface of the sample located on a passing orbit side of the ion beam, and a second contact surface that contacts with an end surface of the mask so that the mask is located at a position spaced apart from the ion beam more than the first contact surface.2. The ion milling device according to claim 1 ,wherein the first contact surface and the second contact surface are formed into a stepped shape.3. The ion milling device according to claim 1 ,wherein the first contact surface includes two surfaces that contact with different regions of the sample, and a passage opening through which the ion beam passes is provided between the two surfaces of the first contact surface.4. An ion milling sample table for an ion milling device that processes a sample by irradiating the sample with an ion beam emitted from an ion source claim 1 ,wherein a shield is arranged at a position that contacts with the sample ...

Подробнее
03-01-2019 дата публикации

APPARATUS AND TECHNIQUES FOR ANISOTROPIC SUBSTRATE ETCHING

Номер: US20190006587A1

A method may include generating a plasma in a plasma chamber, the plasma comprising an etchant species and extracting a pulsed ion beam from the plasma chamber and directing the pulsed ion beam to a substrate, where the pulsed ion beam comprises an ON portion and an OFF portion. During the OFF portion the substrate may not be biased with respect to the plasma chamber, and the duration of the OFF portion may be less than a transit time of the etchant species from the plasma chamber to the substrate. 1. A method , comprising:generating a plasma in a plasma chamber, the plasma comprising an etchant species; andextracting a pulsed ion beam from the plasma chamber and directing the pulsed ion beam to a substrate, the pulsed ion beam comprising an ON portion and an OFF portion,wherein during the OFF portion the substrate is not biased with respect to the plasma chamber, andwherein a duration of the OFF portion is less than a transit time of the etchant species from the plasma chamber to the substrate.2. The method of claim 1 , wherein the plasma is generated by providing RF power to the plasma chamber claim 1 , the method further comprising adjusting a level of RF power of the plasma in concert with the pulsed ion beam claim 1 , wherein the plasma comprises a first RF power level during the ON portion and a second RF power level during the OFF portion claim 1 , wherein the first RF power level is higher than the second RF power level.3. The method of claim 2 , wherein the second RF power level is adequate to sustain the plasma.4. The method of claim 1 , wherein the etchant species is a thermal neutral species.5. The method of claim 1 , wherein the etchant species comprises at least one of chlorine neutrals and chlorine ions.6. The method of claim 1 , comprising:setting a separation between the plasma chamber and the substrate, wherein the transit time of the etchant species is proportional to the separation; andsetting the duration of the OFF portion to be less than the ...

Подробнее
20-01-2022 дата публикации

Gas nozzle, manufacturing method of gas nozzle, and plasma treatment device

Номер: US20220020563A1
Принадлежит: Kyocera Corp

A gas nozzle according to the present disclosure includes a supply hole having a tubular shape and configured to guide a gas and an injection hole connecting to the supply hole. The gas nozzle configured to inject the gas from the injection hole is made from ceramics or single crystal including an oxide, a fluoride, or an oxyfluoride of a rare earth element or an yttrium aluminum composite oxide as a primary component. An arithmetic mean roughness Ra of an inner circumferential surface forming the supply hole is smaller on an outflow side than on an inflow side of the gas.

Подробнее
20-01-2022 дата публикации

ETCHING PROCESSING APPARATUS, QUARTZ MEMBER AND PLASMA PROCESSING METHOD

Номер: US20220020596A1
Принадлежит:

An etching processing apparatus includes a stage configured to receive a substrate, a chamber configured to contain the stage, and a plasma generator configured to generate plasma in the chamber. An annular quartz member is disposed in a space in which the plasma is generated. The annular quartz member includes a surface facing the space. A coating film covers the surface of the quartz member. The coating film is made of a material other than quartz, and has a thickness of 10 nm or more and less than 800 nm. 1. An etching processing apparatus , comprising:a stage configured to receive a substrate;a chamber configured to contain the stage;a plasma generator configured to generate plasma in the chamber;an annular quartz member disposed in a space in which the plasma is generated, the annular quartz member including a surface facing the space; anda coating film covering the surface of the quartz member,wherein the coating film is made of a material other than quartz, and has a thickness of 10 nm or more and less than 800 nm.2. The etching processing apparatus as claimed in claim 1 , wherein the coating film is made of a compound consisting of any two or more elements of C claim 1 , Si claim 1 , F claim 1 , N claim 1 , O and B.3. The etching processing apparatus as claimed in claim 2 , wherein the coating film is composed of any one of SiC claim 2 , SiN claim 2 , and BC.4. The etching processing apparatus as claimed in claim 3 , wherein the coating film is composed of SiC.5. The etching processing apparatus as claimed in claim 1 , further comprising:an edge ring disposed to surround the substrate,wherein the annular quartz member is disposed to surround the edge ring.7. The etching processing apparatus as claimed in claim 1 , wherein the coating film is formed by any one of ALD claim 1 , PVD and CVD.8. An annular quartz member used for an etching processing apparatus including a stage configured to receive a substrate claim 1 , a chamber configured to contain the stage ...

Подробнее
20-01-2022 дата публикации

INTEGRATION PROCESSES UTILIZING BORON-DOPED SILICON MATERIALS

Номер: US20220020599A1
Принадлежит: Applied Materials, Inc.

Exemplary processing methods may include depositing a boron-containing material or a silicon-and-boron-containing material on a substrate disposed within a processing region of a semiconductor processing chamber. The methods may include etching portions of the boron-containing material or the silicon-and-boron-containing material with a chlorine-containing precursor to form one or more features in the substrate. The methods may also include removing remaining portions of the boron-containing material or the silicon-and-boron-containing material from the substrate with a fluorine-containing precursor. 1. A processing method comprising:depositing a boron-containing material on a substrate disposed within a processing region of a semiconductor processing chamber;etching portions of the boron-containing material with a chlorine-containing precursor to form one or more features in the substrate; andremoving remaining portions of the boron-containing material from the substrate with a fluorine-containing precursor.2. The processing method of claim 1 , wherein the boron-containing material is a silicon-and-boron-containing material claim 1 , and wherein depositing the boron-containing material comprises:delivering a silicon-containing precursor and a boron-containing precursor to a processing region of a semiconductor processing chamber;providing a hydrogen-containing precursor with the silicon-containing precursor and the boron-containing precursor, wherein a flow rate ratio of the hydrogen-containing precursor to either of the silicon-containing precursor or the boron-containing precursor is greater than or about 2:1; andforming a plasma of all precursors within the processing region of a semiconductor processing chamber.3. The processing method of claim 2 , wherein the silicon-containing precursor comprises silane claim 2 , and wherein the boron-containing precursor comprises diborane.4. The processing method of claim 1 , wherein the removing occurs at a rate of greater ...

Подробнее
08-01-2015 дата публикации

METHODS AND APPARATUS FOR DEPOSITING AND/OR ETCHING MATERIAL ON A SUBSTRATE

Номер: US20150011088A1
Принадлежит:

Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (T) of the processing chamber for the step. 2. A method according to claim 1 , wherein the corresponding gas residence time of the processing chamber for the at least one of the control steps is between 0.1 and 5 seconds claim 1 , preferably between 0.5 and 2 seconds claim 1 , still preferably approximately 1 second.3. A method according to claim 1 , wherein the or each control step comprising instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step claim 1 , which duration is less than the corresponding gas residence time (T) of the processing chamber for the step claim 1 , has a duration of less than 1 second claim 1 , preferably less than or equal to 750 milliseconds claim 1 , more preferably less than or equal to 500 milliseconds claim 1 , further preferably less than or equal to 100 milliseconds claim 1 , still preferably less than or equal to 50 milliseconds claim 1 , most preferably between 5 and 50 milliseconds.4. A method according to claim 1 , wherein a first sequential subset of one or more of the series of control steps constitutes a passivation process phase during which the processing ...

Подробнее
08-01-2015 дата публикации

ION BEAM ETCHING SYSTEM

Номер: US20150011093A1
Принадлежит:

The disclosed embodiments relate to methods and apparatus for removing material from a substrate. In various implementations, conductive material is removed from a sidewall of a previously etched feature such as a trench, hole or pillar on a semiconductor substrate. In practicing the techniques herein, a substrate is provided in a reaction chamber that is divided into an upper plasma generation chamber and a lower processing chamber by a corrugated ion extractor plate with apertures therethrough. The extractor plate is corrugated such that the plasma sheath follows the shape of the extractor plate, such that ions enter the lower processing chamber at an angle relative to the substrate. As such, during processing, ions are able to penetrate into previously etched features and strike the substrate on the sidewalls of such features. Through this mechanism, the material on the sidewalls of the features may be removed. 1. A method of removing material from sidewalls of features in semiconductor device structures , comprising:(a) receiving a substrate in a reaction chamber, wherein the reaction chamber is divided into a plasma generation sub-chamber and a processing sub-chamber by an ion extractor plate, wherein the ion extractor plate is at least partially corrugated and has apertures designed or configured to direct the passage of ions therethrough at a plurality of angles with respect to the substrate;(b) flowing a plasma generating gas into and generating a plasma in the plasma generation sub-chamber; and(c) accelerating ions from the plasma generation chamber, through the ion extractor plate, and into the processing volume toward the substrate at a plurality of angles to thereby remove material from feature sidewalls facing a plurality of directions.212-. (canceled)13. An apparatus for removing material from sidewalls of features on a semiconductor substrate surface , comprising:(a) a reaction chamber;(b) an ion extractor plate positioned in the reaction chamber, ...

Подробнее
12-01-2017 дата публикации

TOUCH PANEL AND FABRICATING METHOD THEREOF

Номер: US20170010741A1
Принадлежит:

A touch panel includes a cover plate, a first adhesive component and a second adhesive component. The first adhesive component which is adhered underneath the cover plate, includes a plurality of first pattered sensing lines. The second adhesive component which is adhered underneath the first adhesive component, includes a plurality of second pattered sensing lines. The first pattered sensing lines and second pattered sensing lines are electrically insulated from each other. 1. A touch panel , comprising:a cover plate;a first adhesive component adhered underneath the cover plate and comprising a plurality of first patterned sensing lines; anda second adhesive component adhered underneath the first adhesive component and comprising a plurality of second patterned sensing lines, wherein the first patterned sensing lines and second patterned sensing lines are electrically insulated from each other.2. The touch panel of claim 1 , wherein the first adhesive component further comprises a first adhesive bearing layer claim 1 , the first patterned sensing lines are directly formed on the first adhesive bearing layer claim 1 , the first patterned sensing lines are adhered to the cover plate by the first adhesive bearing layer claim 1 , the second adhesive component further comprises a second adhesive bearing layer claim 1 , the second patterned sensing lines are directly formed on the second adhesive bearing layer and the second patterned sensing lines are adhered to the first adhesive component by the second adhesive bearing layer.3. The touch panel of claim 1 , wherein a material of the first patterned sensing lines and a material of the second patterned sensing lines are silver nanowire.4. The touch panel of claim 2 , wherein the first patterned sensing lines are between the cover plate and the first adhesive bearing layer claim 2 , the second patterned sensing lines are between the first adhesive bearing layer and the second adhesive bearing layer claim 2 , a thickness ...

Подробнее
27-01-2022 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHOD

Номер: US20220028664A1
Автор: Kim Daehyun
Принадлежит: SEMES CO., LTD.

A substrate treating apparatus is disclosed. The apparatus includes a process chamber having a treating space defined therein, a support unit for supporting a substrate in the treating space, a gas supply unit for supplying process gas into the treating space, and an RF power source for supplying an RF signal to excite the process gas to a plasma state, wherein the support unit includes an edge ring surrounding the substrate, a coupling ring disposed below the edge ring and including an electrode therein, and an edge impedance control circuit connected to the electrode wherein the edge impedance control circuit includes a harmonics control circuit unit for controlling harmonics caused by the RF power source, and an ion flux control circuit unit for controlling an ion flux in an edge region of the substrate. 1. A substrate treating apparatus comprising:a process chamber having a treating space defined therein;a support unit for supporting a substrate in the treating space;a gas supply unit for supplying process gas into the treating space; andan RF power source for supplying an RF signal to excite the process gas to a plasma state, an edge ring surrounding the substrate;', 'a coupling ring disposed below the edge ring and including an electrode therein; and', 'an edge impedance control circuit connected to the electrode,', a harmonics control circuit unit for controlling harmonics caused by the RF power source; and', 'an ion flux control circuit unit for controlling an ion flux in an edge region of the substrate., 'wherein the edge impedance control circuit includes], 'wherein the support unit includes2. The substrate treating apparatus of claim 1 , wherein the harmonics control circuit unit includes a first variable capacitor claim 1 ,wherein the ion flux control circuit unit includes a second variable capacitor.3. The substrate treating apparatus of claim 2 , wherein the ion flux control circuit unit further includes at least one band stop filter.4. The substrate ...

Подробнее
27-01-2022 дата публикации

MICRO-SURFACE MORPHOLOGICAL MATCHING FOR REACTOR COMPONENTS

Номер: US20220028668A1
Принадлежит: Intel Corporation

A method is disclosed, which comprises estimating a first value of a parameter of a component, prior to a use of the component in a reactor. In an example, the parameter of the component is to change during the use of the component in the reactor. The component may be treated, subsequent to the use of the component in the reactor. A second value of the parameter of the component may be estimated, subsequent to treating the component. The second value may be compared with the first value, where a reuse of the component in the reactor is to occur in response to the second value being within a threshold range of the first value. 1. A plasma etch process reactor component comprising a metal , wherein:a surface of the metal comprises aluminum and is substantially free of fluorine;a morphology of the surface comprises more micropits than abrasion grooves; andindividual ones of the abrasion grooves have a first length at least ten times longer than a first width, and individual ones of the micropits have a diameter that is larger than the first width.2. The plasma etch process reactor component of wherein the surface of the metal comprises bare claim 1 , uncoated bulk aluminum.3. The plasma etch process reactor component of claim 1 , wherein the micropits have diameter of greater than 1 μm in diameter.4. The plasma etch process reactor component of claim 3 , wherein the first width is less than 2 μm.5. The plasma etch process reactor component of claim 1 , wherein the surface has mean surface area are greater than 13000 μm.6. The plasma etch process reactor component of claim 1 , wherein the surface of the component has more than 50 micropits of greater than 1 μm in diameter within a sample area of at least 6 claim 1 ,500 μm.7. The plasma etch process reactor component of claim 1 , wherein the surface has fewer than ten abrasion grooves of at least 40 μm in length and less than 1 μm in width.8. The plasma etch process reactor component of claim 1 , wherein the component is ...

Подробнее
27-01-2022 дата публикации

Method for etching an etch layer

Номер: US20220028696A1
Автор: Eric Hudson, Kalman Pelhos
Принадлежит: Lam Research Corp

A method of forming a feature in a stack comprising a dielectric material on a substrate is provided. An etch plasma is generated from an etch gas, exposing the stack to the etch plasma and partially etching the feature in the stack. The stack is primed. A protective film is deposited on sidewalls of the feature by repeating for a plurality of cycles the steps of exposing the stack to a first reactant, allowing the first reactant to adsorb onto the stack, and exposing the stack to a second reactant, wherein the first and second reactants react with one another to form the protective film over the stack. The etching, priming, and depositing a protective film are repeated until the feature is etched to a final depth.

Подробнее
27-01-2022 дата публикации

LIFT PIN INTERFACE IN A SUBSTRATE SUPPORT

Номер: US20220028720A1
Автор: SULYMAN Alexander
Принадлежит:

Methods and apparatus for lift pin interfaces for electrostatic chucks are provided herein. In some embodiments, a lift pin interface in an electrostatic chuck includes: a dielectric plate having a support surface for a substrate; a conductive plate disposed beneath the dielectric plate and having an opening formed therethrough, wherein the dielectric plate includes a protrusion extending into the opening in the conductive plate; and a lift pin guide disposed in the opening, wherein the lift pin guide includes one or more features that extend from an upper surface of the lift pin guide and that overlap with the protrusion of the dielectric plate. 1. A lift pin interface in an electrostatic chuck , comprising:a dielectric plate having a support surface for a substrate;a conductive plate disposed beneath the dielectric plate and having an opening formed therethrough, wherein the dielectric plate includes a protrusion extending into the opening in the conductive plate; anda lift pin guide disposed in the opening, wherein the lift pin guide includes one or more features that extend from an upper surface of the lift pin guide and that overlap with the protrusion of the dielectric plate, and wherein the lift pin guide includes a passageway to accommodate a lift pin.2. The lift pin interface of claim 1 , wherein the one or more features include a shoulder.3. The lift pin interface of claim 2 , wherein the protrusion in the dielectric plate includes a countersink that mates with the shoulder.4. The lift pin interface of claim 2 , wherein the one or more features include a single inner shoulder disposed around the passageway.5. The lift pin interface of claim 2 , wherein the one or more features include a single outer shoulder that surrounds the protrusion.6. The lift pin interface of claim 1 , further comprising:an o-ring disposed on the upper surface of the lift pin guide between the lift pin guide and the protrusion.7. The lift pin interface of claim 1 , wherein the one ...

Подробнее
12-01-2017 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20170011886A1
Принадлежит:

Disclosed is a plasma processing apparatus including: a processing container; and a partition plate made of an insulating material, having a plurality of openings, and configured to partition an inside of the processing container into a plasma generating chamber and a processing chamber. A first conductive member made of a conductive material is provided on a surface of the processing chamber side of the partition plate, and the first conductive member is applied with at least one of an AC voltage, and a DC voltage of a polarity that is opposite to a polarity of charged particles guided from the plasma generating chamber into the processing chamber through each of the openings. 1. A plasma processing apparatus comprising:a processing container; anda partition plate made of an insulating material, having a plurality of openings, and configured to partition an inside of the processing container into a plasma generating chamber and a processing chamber,wherein a first conductive member made of a conductive material is provided on a surface of the processing chamber side of the partition plate, andthe first conductive member is applied with at least one of an AC voltage and a DC voltage of a polarity that is opposite to a polarity of charged particles guided from the plasma generating chamber into the processing chamber through each of the openings.2. The plasma processing apparatus of claim 1 , wherein the first conductive member is formed by coating the conductive material on the surface of the processing chamber side of the partition plate.3. The plasma processing apparatus of claim 2 , wherein at least a part of an inner wall of each of the plurality of openings is coated with the conductive material claim 2 , andthe first conductive member is conductive with the conductive material coated on the inner wall of each of the plurality of openings.4. The plasma processing apparatus of claim 1 , wherein the first conductive member is formed as a member separate from the ...

Подробнее
12-01-2017 дата публикации

UV-ASSISTED REACTIVE ION ETCH FOR COPPER

Номер: US20170011887A1
Принадлежит:

The invention includes generating a plasma from a process gas for etching copper on a substrate; providing DC bias pulses to the substrate; exposing at least one of the plasma and the substrate to UV light while the DC bias pulses are provided to the substrate. Numerous other aspects are provided. 1. A copper plasma etching method , comprising:providing a substrate within a process chamber;providing a process gas to the process chamber;exposing the process gas in the process chamber to RF pulses;plasma etching the substrate within the process chamber; andexposing at least one of the process gas and substrate to UV light during at least a portion of the plasma etching.2. The method of claim 1 , further comprising providing DC bias pulses to the substrate through conductive pins in electrically conductive contact with the substrate.3. The method of claim 2 , comprising varying a frequency of the DC bias pulses.4. The method of claim 2 , comprising varying a frequency of the RF pulses and the frequency of the DC bias pulses.5. The method of claim 2 , comprising varying a duty cycle the DC bias pulses.6. The method of claim 2 , comprising modulating amplitude of the DC bias pulses.7. The method of claim 1 , comprising removing copper residue from the substrate.8. The method of claim 2 , wherein the DC bias pulses have a bias power of between about 10 W and about 2 claim 2 ,000 W.9. A copper plasma etching method claim 2 , comprising:providing a substrate within a process chamber;providing a process gas to the process chamber;exposing the process gas in the process chamber to RF energy to generate a plasma within the process chamber;plasma etching the substrate within the process chamber; andexposing at least one of the process gas and substrate to UV light during at least a portion of the plasma etching.10. The method of claim 9 , further comprising providing DC bias pulses to the substrate through conductive pins in electrically conductive contact with the substrate.11 ...

Подробнее
12-01-2017 дата публикации

PULSED REMOTE PLASMA METHOD AND SYSTEM

Номер: US20170011889A1
Автор: Winkler Jereld Lee
Принадлежит:

A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber. 1. A method for providing excited species to a reaction chamber of a reactor , the method comprising the steps of:providing a first gas to a remote plasma unit;controlling a pressure of the remote plasma unit;forming a plasma in a remote plasma unit; andpulsing first excited species to the reaction chamber, while maintaining steady-state conditions for the remote plasma unit.2. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising the steps of:providing a second reactant to the remote plasma unit to form a second excited species; andpulsing the second excited species to the reaction chamber.3. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of controlling a pressure of the remote plasma unit comprises using a closed-loop upstream pressure controller.4. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of forming a plasma in a remote plasma unit comprises forming a plasma using a unit selected from the group consisting of inductively couple plasma unit and microwave unit.5. The method for providing excited species to a reaction chamber of a reactor of claim 1 , wherein the step of pulsing the first excited species to the reaction chamber comprises controlling a valve between the remote plasma unit and the reaction chamber.6. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of depositing material onto a surface of a substrate.7. The method for providing excited species to a reaction chamber of a reactor of claim 1 , further comprising a step of ...

Подробнее
12-01-2017 дата публикации

Plasma processing device

Номер: US20170011890A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing device that includes a processing chamber which is disposed in a vacuum vessel and is decompressed internally, a sample stage which is disposed in the processing chamber and on which a sample of a process target is disposed and held, and a plasma formation unit which forms plasma using process gas and processes the sample using the plasma, and the plasma processing device includes: a dielectric film which is disposed on a metallic base configuring the sample stage and connected to a ground and includes a film-like electrode supplied with high-frequency power internally; a plurality of elements which are disposed in a space in the base and have a heat generation or cooling function; and a feeding path which supplies power to the plurality of elements, wherein a filter to suppress a high frequency is not provided on the feeding path.

Подробнее
12-01-2017 дата публикации

ETCH RATE AND CRITICAL DIMENSION UNIFORMITY BY SELECTION OF FOCUS RING MATERIAL

Номер: US20170011891A1
Принадлежит:

A method and apparatus are provided for plasma etching a substrate in a processing chamber. A focus ring assembly circumscribes a substrate support, providing uniform processing conditions near the edge of the substrate. The focus ring assembly comprises two rings, a first ring and a second ring, the first ring comprising quartz, and the second ring comprising monocrystalline silicon, silicon carbide, silicon nitride, silicon oxycarbide, silicon oxynitride, or combinations thereof. The second ring is disposed above the first ring near the edge of the substrate, and creates a uniform electric field and gas composition above the edge of the substrate that results in uniform etching across the substrate surface. 1. A processing chamber for etching a substrate , comprising:a chamber body having a substrate support disposed on a cathode;an electrode disposed in the cathode and having a diameter greater than the substrate support;a focus ring disposed on an upper surface of the substrate support, the focus ring comprising a material selected from the group consisting of monocrystalline silicon, silicon carbide, silicon nitride, silicon oxycarbide, and combinations thereof; anda quartz ring disposed on the upper surface of the substrate support, circumscribing the focus ring,wherein the focus ring has a flat lower surface and a notch formed in the flat lower surface.2. The chamber of claim 1 , wherein the focus ring has an internal wall at an inner diameter claim 1 , a first surface extending from the inner wall claim 1 , a step rising from the first surface claim 1 , and a second surface extending from the step claim 1 , wherein the second surface has horizontal dimension less than about 0.15 inches.3. The chamber of claim 2 , wherein the second surface has a horizontal dimension between about 0.08 inches and about 0.14 inches.4. The chamber of claim 2 , wherein the focus ring has a bevel extending from the second surface that forms an angle with the second surface of ...

Подробнее
12-01-2017 дата публикации

REACTION CHAMBER AND PLASMA PROCESSING APPARATUS

Номер: US20170011938A1
Принадлежит: Beijing NMC Co., Ltd.

Embodiments of the invention relate to a reaction chamber and a plasma processing apparatus, which include a chamber body, a dielectric window and a power supply unit, the dielectric window is provided above and hermetically connected with the chamber body, and provided with plural sets of coils arranged at intervals in a vertical direction and wound around the dielectric window at an outer side thereof, and the power supply unit supplies power to the plural sets of coils. In the reaction chamber and the plasma processing apparatus, plasma can be distributed evenly and have an increased density in the reaction chamber, thereby improving uniformity and efficiency of the process; meanwhile, effective power for exciting plasma can be improved, and temperature rise and temperature gradient of the dielectric window during the process can be lowered, so as to prevent the dielectric window from cracking, and prolong service life of the dielectric window. 1. A reaction chamber , comprising:a chamber body;a dielectric window; anda power supply unit,wherein the dielectric window being provided above and hermetically connected with the chamber body, wherein an outer side of the dielectric window comprises a plurality of sets of coils arranged at an interval in a vertical direction and wound around the dielectric window, andwherein power supply unit is configured to supply power to the plurality of sets of coils.2. The reaction chamber according to claim 1 , wherein the power supply unit comprises a power supply and a matcher claim 1 , and the plurality of sets of coils are connected to the power supply via the matcher.3. The reaction chamber according to claim 2 , wherein a number of the power supply is one claim 2 , the plurality of sets of coils are connected in parallel and electrically connected to the power supply claim 2 , and winding directions of the plurality of sets of coils are the same claim 2 , so that a current in each set of coil has a same direction when the ...

Подробнее
12-01-2017 дата публикации

Etching method

Номер: US20170011939A1
Принадлежит: Tokyo Electron Ltd

A method for selectively etching a first region of silicon oxide with respect to a second region of silicon nitride, includes: preparing a target object including the first region and the second region in a processing chamber of a plasma processing apparatus; and generating a plasma of a processing gas containing a fluorocarbon gas and a rare gas in the processing chamber. In the generating the plasma of the processing gas, a self-bias potential of a lower electrode on which the target object is mounted is greater than or equal to 4V and smaller than or equal to 350V and a flow rate of the rare gas in the processing gas is 250 to 5000 times of a flow rate of the fluorocarbon gas in the processing gas.

Подробнее
15-01-2015 дата публикации

PLASMA PROCESSING METHOD

Номер: US20150014276A1
Автор: Yamazawa Yohei
Принадлежит:

A plasma processing method for performing a plasma process on a processing target substrate is provided. The plasma processing method includes: segmenting a RF antenna into an inner coil, an intermediate coil, and an outer coil with gaps therebetween in a radial direction, respectively, the inner coil, the intermediate coil and the outer coil being electrically connected to one another in parallel between a first node and a second node; providing a variable intermediate capacitor and a variable outer capacitor between the first node and the second node, the variable intermediate capacitor being electrically connected in series to the intermediate coil, the variable outer capacitor being electrically connected in series to the outer coil, no reactance device being connected to the inner coil; and controlling plasma density distribution on the processing target substrate by selecting or variably adjusting electrostatic capacitances of the intermediate capacitor and the outer capacitor.

Подробнее
14-01-2016 дата публикации

Semiconductor reaction chamber with plasma capabilities

Номер: US20160013024A1
Принадлежит: ASM IP Holding BV

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.

Подробнее
14-01-2016 дата публикации

PLASMA PROCESSING APPARATUS AND UPPER ELECTRODE ASSEMBLY

Номер: US20160013028A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes supporting members, connecting members, a rotation member and fixing members. Each of the supporting members is partially disposed in a disc-shaped cooling plate and configured to support an upper electrode provided below the cooling plate. Each of the connecting members is partially disposed in the cooling plate and extends in a diametrical direction of the cooling plate to be engaged with the corresponding supporting member. The rotation member is provided to surround an outer periphery of the cooling plate and has recesses formed to face the cooling plate and engaged with the corresponding connecting members. Each of the fixing members is configured to lift and fix the upper electrode to the cooling plate by applying a torque to the corresponding connecting member. 1. A plasma processing apparatus comprising:supporting members, each of which is partially disposed in a disc-shaped cooling plate and configured to support an upper electrode provided below the cooling plate;connecting members, each of which is partially disposed in the cooling plate and extends in a diametrical direction of the cooling plate to be engaged with the corresponding supporting member;a rotation member provided to surround an outer periphery of the cooling plate, the rotation member having recesses formed to face the cooling plate and engaged with the corresponding connecting members; andfixing members, each of which is configured to lift and fix the upper electrode to the cooling plate by applying a torque to the corresponding connecting member.2. The plasma processing apparatus of claim 1 , wherein the supporting members claim 1 , the connecting members and the rotation member constitute cam mechanisms claim 1 , and the upper electrode claim 1 , the cooling plate and the rotation member are connected by the cam mechanisms.3. The plasma processing apparatus of claim 1 , wherein each of the fixing members is inserted into a hole that opens at a bottom ...

Подробнее
14-01-2016 дата публикации

Apparatus For Generating Plasma Using Dual Plasma Source And Apparatus For Treating Substrate Including The Same

Номер: US20160013029A1
Принадлежит:

The present invention relates to an apparatus for generating plasma using a dual plasma source and a substrate treatment apparatus including the same. A plasma generation apparatus according to an embodiment of the present invention includes: an RF power supply configured to supply an RF signal; a plasma chamber configured to provide a space in which plasma is generated; a first plasma source installed at one part of the plasma chamber to generate plasma; and a second plasma source installed at the other part of the plasma chamber to generate plasma, the second plasma source including: a plurality of insulating loops formed along a circumference of the plasma chamber, wherein a gas passage through which a process gas is injected and moved to the plasma chamber is provided in each insulating loop; and a plurality of electromagnetic field appliers coupled to the insulating loops and receiving the RF signal to excite the process gas moved through the gas passage to a plasma state. 1. A plasma generation apparatus comprising:an RF power supply configured to supply an RF signal;a plasma chamber configured to provide a space in which plasma is generated;a first plasma source installed at one part of the plasma chamber to generate plasma; anda second plasma source installed at the other part of the plasma chamber to generate plasma, the second plasma source comprising:a plurality of insulating loops formed along a circumference of the plasma chamber, wherein a gas passage through which a process gas is injected and moved to the plasma chamber is provided in each insulating loop; anda plurality of electromagnetic field appliers coupled to the insulating loops and receiving the RF signal to excite the process gas moving through the gas passage to a plasma state.2. The plasma generation apparatus of claim 1 , wherein the electromagnetic field applier comprises:a core formed of a magnetic material and surrounding the insulating loop; anda coil wound on the core.3. The plasma ...

Подробнее
14-01-2016 дата публикации

METHODS FOR HIGH PRECISION ETCHING OF SUBSTRATES

Номер: US20160013063A1
Принадлежит:

This disclosure relates to a plasma processing system and methods for high precision etching of microelectronic substrates. The system may include a combination of microwave and radio frequency (RF) power sources that may generate plasma conditions to remove monolayer(s). The system may generation a first plasma to form a thin adsorption layer on the surface of the microelectronic substrate. The adsorbed layer may be removed when the system transition to a second plasma. The differences between the first and second plasma may be include the ion energy proximate to the substrate. For example, the first plasma may have an ion energy of less than 20 eV and the second plasma may have an ion energy greater than 20 eV. 1. A method , comprising:receiving a microelectronic substrate into a plasma process chamber;receiving a gas mixture in the plasma process chamber, the gas mixture comprising a dilution gas and a reactant gas;achieving a process pressure of greater than or equal to 40 mTorr in the plasma process chamber;applying microwave power to the gas mixture;applying an alternating bias power to the gas mixture that alternates on and off in an asymmetrical manner over a period of time, the bias power comprising a magnitude of no more than 150 W at a driving frequency; andvarying concentration of the gas mixture over the period of time, the variation ranging between 0% and 100% by volume of the reactant gas.2. The method of claim 1 , wherein the microwave power generates a plasma potential proximate to the substrate of less than or equal to 20 eV when the biasing is off.3. The method of claim 2 , wherein the dilution gas comprises one or more of the following: argon claim 2 , helium claim 2 , or nitrogen claim 2 , and the reactant gas comprises an oxygen-containing gas or a halogen-containing gas.4. The method of claim 1 , wherein the driving frequency comprises less a frequency less than 60 MhZ.5. The method of claim 1 , wherein the reactant gas concentration varies ...

Подробнее
14-01-2016 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20160013065A1
Принадлежит: Tokyo Electron Ltd

Provided is a technology that can obtain high in-plane uniformity of etching while etching a substrate using plasma. A proper temperature of a focus ring capable of performing etching having high in-plane uniformity is identified in advance for each of the multilayers formed on a wafer, the temperature is reflected to a processing recipe as a set temperature, and a heating mechanism and a cooling mechanism are controlled such that the temperature of the focus ring is within an appropriate temperature range including the set temperature thereof for each of the layers to be successively etched. Heat of the focus ring is radiated using a laser and is discharged to a supporting table without using a heater, to independently separate the heating mechanism and the cooling mechanism from each other.

Подробнее
14-01-2016 дата публикации

PLASMA-PROCESSING APPARATUS WITH UPPER ELECTRODE PLATE AND METHOD FOR PERFORMING PLASMA TREATMENT PROCESS

Номер: US20160013081A1
Принадлежит:

A plasma-processing apparatus is provided. The plasma-processing apparatus includes a processing chamber having an upper portion and a lower portion. The upper portion has a gas inlet. The plasma-processing apparatus includes an upper electrode plate disposed in the upper portion. The upper electrode plate has gas holes passing through the upper electrode plate. The plasma-processing apparatus includes a protective layer disposed over inner walls of the gas holes. The protective layer and the upper electrode plate have different materials. The plasma-processing apparatus includes a lower electrode pedestal disposed in the lower portion for supporting a substrate. 1. A plasma-processing apparatus , comprising:a processing chamber having an upper portion and a lower portion, wherein the upper portion has a gas inlet;an upper electrode plate disposed in the upper portion, wherein the upper electrode plate has a plurality of gas holes passing through the upper electrode plate;a protective layer disposed over inner walls of the gas holes,wherein the protective layer and the upper electrode plate comprise different materials; anda lower electrode pedestal disposed in the lower portion for supporting a substrate.2. The plasma-processing apparatus as claimed in claim 1 , wherein the upper electrode plate has a first surface and a second surface opposite to the first surface claim 1 , and the protective layer extends onto portions of the first surface and the second surface.3. The plasma-processing apparatus as claimed in claim 1 , wherein the upper electrode plate has a central portion and a peripheral portion surrounding the central portion claim 1 , and the protective layer has a first portion over the central portion and a second portion over the peripheral portion claim 1 , and the first portion is thicker than the second portion.4. The plasma-processing apparatus as claimed in claim 3 , wherein a first diameter of the gas hole passing through the central portion is ...

Подробнее
11-01-2018 дата публикации

COLLAR, CONICAL SHOWERHEADS AND/OR TOP PLATES FOR REDUCING RECIRCULATION IN A SUBSTRATE PROCESSING SYSTEM

Номер: US20180012733A1
Принадлежит:

A substrate processing system includes a processing chamber and a showerhead including a faceplate, a stem portion and a cylindrical base portion. A collar connects the showerhead to a top surface of the processing chamber. The collar defines a gas channel to receive secondary purge gas and a plurality of gas slits to direct the secondary purge gas from the gas channel in a radially outward and downward direction. A conical surface is arranged adjacent to the cylindrical base and around the stem portion of the showerhead. An inverted conical surface is arranged adjacent to a top surface and sidewalls of the processing chamber. The conical surface and the inverted conical surface define an angled gas channel from the plurality of gas slits to a gap defined between a radially outer portion of the cylindrical base portion and the sidewalls of the processing chamber. 1. A substrate processing system comprising:a processing chamber;a showerhead including a faceplate, a stem portion and a cylindrical base portion;a collar connecting the showerhead to a top surface of the processing chamber,wherein the collar defines a gas channel to receive secondary purge gas and a plurality of gas slits to direct the secondary purge gas from the gas channel in a radially outward and downward direction;a conical surface arranged adjacent to the cylindrical base and around the stem portion of the showerhead; andan inverted conical surface arranged adjacent to a top surface and sidewalls of the processing chamber,wherein the conical surface and the inverted conical surface define an angled gas channel from the plurality of gas slits to a gap defined between a radially outer portion of the cylindrical base portion and the sidewalls of the processing chamber.2. The substrate processing system of claim 1 , wherein the gas channel defines a flow path that has a constant width and that is parallel to a direction of the secondary purge gas flowing from the plurality of gas slits.3. The substrate ...

Подробнее
11-01-2018 дата публикации

SUBSTRATE PROCESSING DEVICE

Номер: US20180012734A1
Принадлежит:

A substrate processing device includes a housing connected to ground, a cathode stage that supports a substrate, an anode unit, and a gas feeding unit that feeds gas toward the first plate. The cathode stage is applied with voltage for generating plasma. The anode unit includes a first plate including first through holes and a second plate including second through holes that are larger than the first through holes. The second plate is located between the first plate and the cathode stage. The first plate produces a flow of the gas through the first through holes. The gas that has passed through the first through holes flows through the second through holes into an area between the second plate and the cathode stage. A distance between the first plate and the second plate is 10 mm or greater and 50 mm or less. 1. A substrate processing device comprising:a housing connected to ground;a cathode stage located in the housing and configured to support a substrate, wherein voltage for generating plasma is applied to the cathode stage; a first plate that is located in the housing and includes first through holes, and', 'a second plate that is located between the first plate and the cathode stage and includes second through holes that are larger than the first through holes; and, 'an anode unit fixed to the housing, wherein the anode unit includes'}a gas feeding unit that feeds gas toward the first plate;wherein the first plate is configured to produce a flow of the gas through the first through holes so that the gas is dispersed in a planar direction of the first plate,the second plate is configured so that the gas that has passed through the first through holes flows through the second through holes into an area between the second plate and the cathode stage, anda distance between the first plate and the second plate is 10 mm or great and 50 mm or less.2. The substrate processing device according to claim 1 , wherein the second plate is located at a position opposing the ...

Подробнее
11-01-2018 дата публикации

GAS SUPPLY SYSTEM, SUBSTRATE PROCESSING SYSTEM AND GAS SUPPLY METHOD

Номер: US20180012735A1
Принадлежит: TOKYO ELECTRON LIMITED

A gas supply system includes: a first flow channel connecting a first gas source and a chamber; a second flow channel connecting a second gas source and the first flow channel; a control valve, provided in the second flow channel, configured to control a flow rate of the second gas; an orifice provided downstream of the control valve and at a terminus of the second flow channel; a switching valve, provided at a connection point between the first flow channel and the terminus of the second flow channel, configured to control a supply timing of the second gas; an exhaust mechanism, connected to a flow channel between the control valve and the orifice in the second flow channel, configured to exhaust the second gas; and a controller configured to bring the control valve, the switching valve and the exhaust mechanism into operation. 1. A gas supply system for supplying a gas to a chamber of a substrate processing apparatus , the system comprising:a first flow channel connecting a first gas source of a first gas and the chamber;a second flow channel connecting a second gas source of a second gas and the first flow channel;a control valve, provided in the second flow channel, configured to control a flow rate of the second gas to a predetermined amount;an orifice provided downstream of the control valve and at a terminus of the second flow channel;a switching valve, provided at a connection point between the first flow channel and the terminus of the second flow channel, configured to control a supply timing of the second gas which is supplied from an outlet of the orifice to the first flow channel;an exhaust mechanism, connected to a flow channel between the control valve and the orifice in the second flow channel, configured to exhaust the second gas; anda controller configured to bring the control valve, the switching valve and the exhaust mechanism into operation.2. The gas supply system according to claim 1 , wherein the switching valve includes a sealing member ...

Подробнее
11-01-2018 дата публикации

Tin oxide thin film spacers in semiconductor device manufacturing

Номер: US20180012759A1
Принадлежит: Lam Research Corp

Thin tin oxide films are used as spacers in semiconductor device manufacturing. In one implementation, thin tin oxide film is conformally deposited onto a semiconductor substrate having an exposed layer of a first material (e.g., silicon oxide or silicon nitride) and a plurality of protruding features comprising a second material (e.g., silicon or carbon). For example, 10-100 nm thick tin oxide layer can be deposited using atomic layer deposition. Next, tin oxide film is removed from horizontal surfaces, without being completely removed from the sidewalls of the protruding features. Next, the material of protruding features is etched away, leaving tin oxide spacers on the substrate. This is followed by etching the unprotected portions of the first material, without removal of the spacers. Next, underlying layer is etched, and spacers are removed. Tin-containing particles can be removed from processing chambers by converting them to volatile tin hydride.

Подробнее
11-01-2018 дата публикации

Plasma Processing Apparatus and Plasma Processing Method

Номер: US20180012768A1
Принадлежит: Toshiba Corp

A plasma processing, apparatus of an embodiment includes a chamber, an introducing part, a first power source, a holder, an electrode, and a second power source. The introducing pat introduces gas into the chamber. The first power source outputs a first voltage for generating ions from the gas. The holder holds a substrate. The electrode is opposite to the ions across the substrate, and has a surface not parallel to the substrate. The second power source applies a second voltage to the electrode. The second voltage has a frequency lower than the frequency of the first voltage and Introduces die ions to the substrate.

Подробнее
11-01-2018 дата публикации

Plasma processing-apparatus processing object support platform, plasma processing apparatus, and plasma processing method

Номер: US20180012784A1
Автор: Hideo Eto
Принадлежит: Toshiba Memory Corp

According to one embodiment, a plasma processing-apparatus processing object support platform includes a lower plate, an upper plate, and a variable condenser. The lower plate is electrically conductive. The upper plate is provided on the lower plate. A processing object is placed on an upper surface of the upper plate. The variable condenser is provided along a circumferential direction of the lower plate in a region at an upper outer circumferential vicinity of the lower plate. The region has an annular configuration. The variable condenser includes a first capacitance element and a second capacitance element disposed respectively on an inner circumferential side and an outer circumferential side in the region having the annular configuration. Mutually-different control voltages are suppliable to the first capacitance element and the second capacitance element.

Подробнее
10-01-2019 дата публикации

Restricted capacitor switching

Номер: US20190013183A1
Принадлежит: Reno Technologies Inc

In one embodiment, the present disclosure is directed to a method for matching an impedance. The method can include determining or receiving a reflection parameter value at an RF input or output; stopping the altering of a first capacitance and a second capacitance when the reflection parameter value is at or below a first reflection value; causing a limited altering of the first capacitance and the second capacitance to pursue an impedance match when the reflection parameter value is at or above a second reflection value and at or below the third reflection value; and causing an unlimited altering of the first capacitance and the second capacitance to pursue an impedance match when the reflection parameter value is at or above a third reflection value.

Подробнее
10-01-2019 дата публикации

RF IMPEDANCE MATCHING CIRCUIT AND SYSTEMS AND METHODS INCORPORATING SAME

Номер: US20190013185A1
Принадлежит:

In one embodiment, a semiconductor processing tool includes a plasma chamber and an impedance matching circuit. The matching circuit includes a first electronically variable capacitor having a first variable capacitance, a second electronically variable capacitor having a second variable capacitance, and a control circuit. The control circuit is configured to determine a variable impedance of the plasma chamber, determine a first capacitance value for the first electronically variable capacitor and a second capacitance value for the second electronically variable capacitor, and generate a control signal to alter at least one of the first variable capacitance and the second variable capacitance to the first capacitance value and the second capacitance value, respectively. An elapsed time between determining the variable impedance of the plasma chamber to when RF power reflected back to the RF source decreases is less than about 150 μsec. 1. A radio frequency (RF) impedance matching circuit comprising:an RF input configured to operably couple to an RF source;an RF output configured to operably couple to a plasma chamber;a first electronically variable capacitor having a first variable capacitance;a second electronically variable capacitor having a second variable capacitance; and determine a variable impedance of the plasma chamber;', 'determine a first capacitance value for the first electronically variable capacitor and a second capacitance value for the second electronically variable capacitor; and', 'generate a control signal to alter at least one of the first variable capacitance and the second variable capacitance to the first capacitance value and the second capacitance value, respectively;', 'wherein an elapsed time between determining the variable impedance of the plasma chamber to when RF power reflected back to the RF source decreases is less than about 150 μsec., 'a control circuit operably coupled to the first and second electronically variable capacitors ...

Подробнее
10-01-2019 дата публикации

TANTALUM-CONTAINING MATERIAL REMOVAL

Номер: US20190013211A1
Принадлежит: Applied Materials, Inc.

Methods are described herein for etching tantalum-containing films with various potential additives while still retaining other desirable patterned substrate portions. The methods include exposing a tantalum-containing film to a chlorine-containing precursor (e.g. Cl) with a concurrent plasma. The plasma-excited chlorine-containing precursor selectively etches the tantalum-containing film and other industrially-desirable additives. Chlorine is then removed from the substrate processing region. A hydrogen-containing precursor (e.g. H) is delivered to the substrate processing region (also with plasma excitation) to produce a relatively even and residue-free tantalum-containing surface. The methods presented remove tantalum while retaining materials elsewhere on the patterned substrate. 1. A method of etching tantalum-containing material from a substrate , the method comprising:placing the substrate into a substrate processing region, wherein the substrate comprises exposed tantalum-containing material;etching the tantalum-containing material by flowing a halogen-containing precursor into the substrate processing region while forming a halogen local plasma from the halogen-containing precursor and forming halogen-containing plasma effluents, wherein etching the tantalum-containing material further comprises accelerating the halogen-containing plasma effluents towards the substrate by biasing the halogen local plasma relative to the substrate, wherein etching the tantalum-containing material leaves a residue on a remaining portion of the substrate;removing the residue from the remaining portion of the substrate by flowing a hydrogen-containing precursor into the (same) substrate processing region while forming a hydrogen local plasma from the hydrogen-containing precursor to form hydrogen-containing plasma effluents, wherein flowing of the hydrogen-containing precursor occurs after flowing the halogen-containing precursor; andremoving the substrate from the substrate ...

Подробнее