Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 6196. Отображено 199.
25-06-2015 дата публикации

Trockenätzungsvorrichtung und Elektrode davon

Номер: DE102014209466A1
Принадлежит:

Die Erfindung offenbart eine Trockenätzungsvorrichtung und eine Elektrode davon. Die Elektrode weist auf: eine Elektrodenbasis; eine Isolationsschicht, welche auf der Elektrodenbasis angeordnet ist; und eine Randstufe, welche umfänglich auf der Isolationsschicht angeordnet ist, wobei die Randstufe mindestens eine Stütze für mindestens einen Heberstift der Trockenätzungsvorrichtung aufweist; wobei die Randstufe verschiedene Prägungen aufweist, welche umfänglich auf der Randstufe angeordnet sind. Die verschiedenen Prägungen sind umfänglich auf der Randstufe der Elektrode von der Trockenätzungsvorrichtung derart angeordnet, dass kleine Lücken um die verschiedenen Prägungen herum zwischen dem Substrat und der Randstufe bestehen. Daher kann die Kraft eines Anhaftens zwischen dem Substrat und der Randstufe reduziert werden, das Phänomen eines Ansaugens kann effizient verbessert werden, die Ausbeute bzw. Produktion des geätzten Substrats kann verbessert werden, und die Lebensdauer der Elektrode ...

Подробнее
03-01-1996 дата публикации

Microwave plasma generator

Номер: GB0002273027B

Подробнее
25-03-2020 дата публикации

A pressure regulated simiconductor wafer colling apparatus and method and a pressure regulating apparatus

Номер: GB0202001894D0
Автор:
Принадлежит:

Подробнее
01-01-2020 дата публикации

Method of forming a crystalline layer, method of forming a battery half cell

Номер: GB0201916632D0
Автор:
Принадлежит:

Подробнее
15-01-2006 дата публикации

COOLING AGENT DEGASSING METHOD FOR A ESFR CHAMBER

Номер: AT0000313367T
Принадлежит:

Подробнее
11-11-2004 дата публикации

Transmitting/receiving terminal apparatus

Номер: AU2004201468A1
Принадлежит:

Подробнее
17-04-2014 дата публикации

APPARATUS AND METHOD FOR APPLYING SURFACE COATINGS

Номер: CA0002887871A1
Принадлежит: KIRBY EADES GALE BAKER

The present invention provides a method for applying a surface coating on, for example, a sheet of fabric and further provides a plasma chamber (10) for coating a sheet of fabric, e.g. a textile material, with a polymer layer, the plasma chamber (10) comprising a plurality of electrode layers (RF, M) arranged successively within the plasma chamber, wherein at least two adjacent electrode layers are radiofrequency electrode layers (RF) or ground electrode layers (M), thereby providing a surface coating on both sides of a fabric sheet.

Подробнее
30-09-2014 дата публикации

Method of depositing diamond vapor and equipment for carrying out said method.

Номер: CH0000707800A2
Принадлежит:

La présente invention concerne un procédé de dépôt de diamant nanocristallin, caractérisé en ce quil est effectué à une température comprise entre 100 et 500 °C, à une pression comprise entre 0.1 et 1 mbar et en ce quil est sur un substrat présentant une surface tridimensionnelle. Un autre aspect de linvention concerne un équipement pour réaliser ce procédé.

Подробнее
31-07-2017 дата публикации

Method of depositing diamond vapor and equipment for carrying out said method.

Номер: CH0000707800B1
Принадлежит: NEOCOAT SA, NeoCoat SA

La présente invention concerne un procédé de dépôt de diamant nanocristallin, caractérisé en ce qu’il est effectué à une température comprise entre 100 et 500 °C, à une pression comprise entre 0.1 et 1 mbar et en ce qu’il est sur un substrat présentant une surface non plane. Un autre aspect de l’invention concerne un équipement pour réaliser ce procédé.

Подробнее
29-08-2014 дата публикации

PLASMA SOURCE FOR APPLYING FINELY FILM COATINGS WITH USE OF PLASMA - CHEMICAL DEPOSITION FROM GAS PHASE (VERSIONS)

Номер: EA0201400544A1
Автор:
Принадлежит:

Подробнее
29-08-2014 дата публикации

METHOD OF APPLYING SMALL FILM COATINGS WITH USE OF PLASMA - CHEMICAL DEPOSITION FROM GAS PHASE (VERSIONS)

Номер: EA0201400545A1
Автор:
Принадлежит:

Подробнее
31-10-2011 дата публикации

ИСТОЧНИК ПЛАЗМЫ И СПОСОБЫ НАНЕСЕНИЯ ТОНКОПЛЕНОЧНЫХ ПОКРЫТИЙ С ИСПОЛЬЗОВАНИЕМ ПЛАЗМЕННО-ХИМИЧЕСКОГО ОСАЖДЕНИЯ ИЗ ГАЗОВОЙ ФАЗЫ

Номер: EA0201100298A1
Принадлежит:

В заявке описаны новые источники плазмы, пригодные для использования в технологии нанесения тонкопленочных покрытий, и способы применения этих источников. В частности, в настоящем изобретении предложены новые линейные и двумерные источники плазмы, которые создают линейную и двумерную плазму соответственно, которая подходит для плазменно-химического осаждения из газовой фазы. В настоящем изобретении также предложены способы изготовления тонкопленочных покрытий и способы повышения эффективности этих способов нанесения покрытия.

Подробнее
30-09-2016 дата публикации

ELECTRODE FOR A DBD PLASMA PROCESS

Номер: EA0000024404B1
Принадлежит:

Подробнее
29-04-2015 дата публикации

Method and apparatus of growing metal-free and low stress thick film of diamond-like carbon

Номер: CN104576327A
Автор: ZHONGHUI ALEX WANG
Принадлежит:

Подробнее
21-05-2019 дата публикации

Plasma processing apparatus

Номер: CN0109786201A
Принадлежит:

Подробнее
13-11-2018 дата публикации

For symmetric conduction and with RF transmission of the vertical support rod chamber

Номер: CN0106057624B
Автор:
Принадлежит:

Подробнее
19-03-2008 дата публикации

Plasma processing device and method

Номер: CN0101145508A
Принадлежит:

Подробнее
09-03-2016 дата публикации

Plasma processing apparatus and plasma processing method

Номер: CN0105390360A
Принадлежит:

Подробнее
28-09-2007 дата публикации

PLASMA PROCESSING APPARATUS

Номер: KR0100762052B1
Автор:
Принадлежит:

Подробнее
08-06-2017 дата публикации

에칭 방법

Номер: KR0101744625B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... 산화 실리콘으로 구성된 제 1 영역을 질화 실리콘으로 구성된 제 2 영역에 대하여 선택적으로 에칭하는 에칭 방법이 제공된다. 이 에칭 방법은 공정(a)과 공정(b)을 포함한다. 공정(a)에서는, 플루오르카본 가스의 플라즈마에 피처리체가 노출되고, 제 2 영역 상에 제 1 영역 상에 형성되는 보호막보다 두꺼운 보호막이 형성된다. 공정(b)에서는, 플루오르카본 가스의 플라즈마에 의해 제 1 영역이 에칭된다. 공정(a)에서는, 피처리체의 온도가 60 ℃ 이상 250 ℃ 이하의 온도로 설정된다.

Подробнее
27-03-2020 дата публикации

Ceramic Member

Номер: KR0102094212B1
Автор:
Принадлежит:

Подробнее
10-02-2009 дата публикации

COOLED ANODES

Номер: KR0100882059B1
Автор:
Принадлежит:

Подробнее
29-02-2016 дата публикации

HEATED SHOWERHEAD ASSEMBLY

Номер: KR0101598516B1

... 본 발명은 일반적으로, 처리 가스를 처리 챔버의 내측으로 공급하는데 사용될 수 있는 가열식 샤워헤드 조립체를 포함한다. 처리 챔버는 에칭 챔버일 수 있다. 처리 가스가 처리 챔버로부터 배기될 때, 기판의 균일한 처리가 어려울 수 있다. 처리 가스가 기판으로부터 멀리 그리고 진공 펌프 쪽으로 흡인되기 때문에, 에칭의 경우에 플라즈마가 기판 전체에 걸쳐 균일하지 않을 수 있다. 불균일한 플라즈마는 불균일한 에칭을 초래할 수 있다. 불균일한 에칭을 방지하기 위해, 샤워헤드 조립체는 각각, 독립적으로 제어가능한 가스의 유입과 온도를 제어할 수 있는 두 개의 영역으로 분리될 수 있다. 제 1 영역은 기판의 주변부에 대응하지만 제 2 영역은 기판의 중앙에 대응한다. 샤워헤드의 영역들을 통해 온도와 가스 유동을 독립적으로 제어함으로써, 기판의 에칭 균일도가 증가될 수 있다.

Подробнее
01-11-2019 дата публикации

SYMMETRIC PLASMA PROCESS CHAMBER

Номер: KR0102039454B1
Автор:
Принадлежит:

Подробнее
14-08-2012 дата публикации

APPARATUS FOR GENERATING DIELECTRIC BARRIER DISCHARGE GAS

Номер: KR0101174202B1
Автор:
Принадлежит:

Подробнее
20-03-2018 дата публикации

플라스마 처리 장치

Номер: KR0101840231B1

... 본 발명은 구조가 간단하고 높은 응답성을 가진 플라스마 처리 장치를 제공하는 것을 과제로 한다.이러한 과제의 해결 수단으로서, 진공 용기 내부에 배치되고 내측을 감압 가능한 처리실과, 이 처리실 내에 배치되고 처리 대상의 시료가 놓여서 유지되는 시료대와, 상기 처리용 가스를 이용해서 플라스마를 형성하는 플라스마 형성 수단을 구비하고, 상기 시료를 상기 플라스마를 이용해서 처리하는 플라스마 처리 장치로서, 상기 시료대를 구성하며 접지된 금속제의 기재(基材)의 위쪽에 배치되고 내측에 고주파 전력이 공급되는 막 형상의 전극을 구비한 유전체의 막과, 상기 기재 내의 공간에 배치되고 발열 또는 냉각하는 기능을 구비한 복수의 소자와, 이들 복수의 소자에 전력을 공급하는 급전(給電) 경로를 구비하고, 이 급전 경로 상에 상기 고주파를 억제하는 필터를 갖고 있지 않다.

Подробнее
04-04-2019 дата публикации

Номер: KR0101965992B1
Автор:
Принадлежит:

Подробнее
17-10-2016 дата публикации

안정화된 고온 증착을 위한 가스 냉각식 기판 지지부

Номер: KR1020160120339A
Принадлежит:

... 본 개시의 실시예들은, 기판 지지부에서의 냉각 채널들의 유입구로 냉각 가스의 유동을 유동시키고, 열 교환기를 사용하여, 냉각 채널의 배출구로부터 냉각 가스의 유동을 수용하고, 클린룸 또는 국소환경과 같은 인접한 환경으로 냉각 가스를 방출함으로써, 기판 온도를 안정화시키기 위한 장치 및 방법을 제공한다.

Подробнее
10-06-2014 дата публикации

SUBSTRATE SUPPORTING UNIT AND APPARATUS OF TREATING SUBSTRATE HAVING THE SAME

Номер: KR1020140070049A
Автор:
Принадлежит:

Подробнее
14-08-2019 дата публикации

Номер: KR1020190095075A
Автор:
Принадлежит:

Подробнее
25-09-2020 дата публикации

Apparatus for placing a wafer

Номер: KR1020200110796A
Автор:
Принадлежит:

Подробнее
07-10-2020 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: KR1020200115266A
Автор:
Принадлежит:

Подробнее
23-01-2019 дата публикации

플라즈마 처리 장치용 부품의 용사 방법 및 플라즈마 처리 장치용 부품

Номер: KR1020190008126A
Принадлежит:

... 본 발명은 2개의 부재 사이로부터 노출되는 접착제를 보호하는 것을 목적으로 한다. 15 ㎛ 이하의 입자 직경을 갖는 용사 재료의 분말을, 플라즈마 생성 가스와 함께 노즐의 선단부로부터 상기 노즐과 축심이 공통되는 플라즈마 생성부에 분사하는 공정과, 상기 플라즈마 생성부에서 50 kW 이하의 전력에 의해 상기 플라즈마 생성 가스로부터 플라즈마를 생성하는 공정과, 분사한 상기 용사 재료의 분말을 상기 플라즈마에 의해 액상으로 하고, 마스크를 통해 수지층의 표면을 덮도록 용사하는 공정을 갖는 플라즈마 처리 장치용 부품의 용사 방법이 제공된다.

Подробнее
27-08-2007 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD

Номер: KR1020070087207A
Принадлежит:

A nozzle head (NH) of a plasma processing apparatus comprises a ring-shaped inner holder (3), a ring- shaped inner electrode (11) surrounding the inner holder (3), a ring- shaped outer electrode (21) surrounding the inner electrode (11), and a ring-shaped outer holder (4) surrounding the outer electrode (21). The inner holder (3) is provided with a plurality of bolts (7) which are arranged circumferentially with intervals for pressing the inner electrode (11) diametrically outward. The outer holder (4) is provided with a plurality of bolts (8) which are arranged circumferentially with intervals for pressing the outer electrode (21) diametrically inward. With this structure, demounting, mounting and centering of the ring- shaped electrodes (11, 21) can be done easily. © KIPO & WIPO 2007 ...

Подробнее
27-12-2007 дата публикации

APPARATUS FOR SPATIAL AND TEMPORAL CONTROL OF TEMPERATURE ON A SUBSTRATE

Номер: KR1020070121637A
Принадлежит:

An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus. The layer of dielectric material forms an electrostatic clamping mechanism and supports the substrate. © KIPO & WIPO ...

Подробнее
16-04-2019 дата публикации

Cooled focus ring for plasma processing apparatus

Номер: TW0201916092A
Принадлежит:

A pedestal assembly for use in a plasma processing apparatus for processing a substrate includes a baseplate. The pedestal assembly can further include a puck configured to support a substrate. The pedestal assembly can further include a focus ring arranged relative to the puck such that at least a portion of the focus ring at least partially surrounds a periphery of the substrate when the substrate is positioned on the puck. In addition, the focus ring can be spaced apart from the puck so that a gap is defined therebetween. The pedestal assembly can further include a thermally conductive member spaced apart from the puck. The thermally conductive member can be in thermal communication with the focus ring surrounded by the inner insulator ring and configured to be in thermal communication with the focus ring and the baseplate.

Подробнее
01-08-2015 дата публикации

Supporting member and substrate processing device

Номер: TW0201530649A
Принадлежит:

This invention intends to provide a supporting member that attends simultaneously to the thermal insulation and space conservation of pipes. The supporting member can support one or plural pipes that connect a temperature mechanism for adjusting the temperature of any composing element of a substrate processing device and the substrate processing device. The supporting member includes therein a hollow portion in which the afore-mentioned pipes are provided.

Подробнее
16-05-2016 дата публикации

Increasing the gas efficiency for an electrostatic chuck

Номер: TW0201618214A
Принадлежит:

A gas is received through an inlet. A portion of the gas is supplied to an electrostatic chuck. A portion of the gas is re-circulated through a compressor. A pressure of the second portion of the gas is increased. The second portion of the gas is stored in a gas storage.

Подробнее
16-10-2017 дата публикации

Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device

Номер: TW0201737293A
Принадлежит:

The present disclosure generally relates to apparatuses and methods that control RF amplitude of an edge ring. The apparatuses and methods include an electrode that is coupled to ground through a variable capacitor. The electrode may be ring-shaped and embedded in a substrate support including an electrostatic chuck. The electrode may be positioned beneath the perimeter of a substrate and/or the edge ring. As the plasma sheath drops adjacent the edge ring due to edge ring erosion, the capacitance of the variable capacitor is adjusted in order to affect the RF amplitude near the edge of the substrate. Adjustment of the RF amplitude via the electrode and variable capacitor results in adjustment of the plasma sheath near the substrate perimeter.

Подробнее
16-09-2015 дата публикации

Plasma processing apparatus and wafer transfer tray

Номер: TW0201535565A
Принадлежит:

A plasma processing apparatus includes: a wafer transfer tray having a first surface and a second surface being opposed to the first surface, the wafer transfer tray holding a wafer on the first surface; a cooler cooling the wafer transfer tray; a conductive supporter supporting the second surface of the wafer transfer tray; and a double-surface electrostatic attractor electrostatically attracting the wafer to the first surface of the wafer transfer tray and electrostatically attracting the supporting body to the second surface of the wafer transfer tray.

Подробнее
01-04-2021 дата публикации

Ceramic structure, manufacturing method thereof, and plasma processing apparatus

Номер: TW202113910A
Принадлежит:

The present invention provides a ceramic structure, a manufacturing method thereof, and a plasma processing apparatus. The ceramic structure includes a first conductive structure embedded therein and a second conductive structure embedded at a different depth from the first conductive structure, wherein the first conductive structure and the second conductive structure are electrically connected to each other by an electrically conductive connection member capable of compensating for a vertical shrinkage rate of a ceramic sheet shape while being embedded therein when sintering the ceramic structure.

Подробнее
16-07-2020 дата публикации

Progressive heating of components of substrate processing systems using TCR element-based heaters

Номер: TW0202027192A
Принадлежит:

A heater control system for heating components of a substrate processing system includes N heater zones, where N is an integer greater than zero. Each of the N heater zones heats a component of the substrate processing system and includes a resistive heater and a temperature sensor to sense a local temperature in a corresponding one of the N heater zones. A controller is configured to determine an average temperature of each of the N heater zones based on a resistance of the resistive heater in each of the N heater zones. The controller controls the resistive heater based on the average temperature and the local temperature in each of the N heater zones.

Подробнее
16-01-2021 дата публикации

Plasma processor and temperature control method of base through the switch of a plurality of valves to change the length of the heat exchange pipe to achieve rapid temperature adjustment of the base

Номер: TW202103521A
Принадлежит:

The present invention discloses a plasma processor and a temperature control system for a base, comprising: a first temperature liquid container outputting a first liquid; a second temperature liquid container outputting a second liquid; a heat exchanger comprising a heat pipe and at least one cold pipe, through the heat pipe wall between the heat pipe and the cold pipe to carry out heat exchange between the first liquid and the second liquid, the outlet end of the heat pipe outputting a first heat exchange liquid that undergoes heat exchange, and the outlet end of the cold pipe outputting a second heat exchange liquid that undergoes heat exchange and reaches a set temperature; the second heat exchange liquid is transmitted to the base to control the temperature of the base, and flows back to the second temperature liquid container from the outlet end of the cooling pipe channel of the base, and the first heat exchange liquid flows back to the first temperature liquid container from the ...

Подробнее
02-05-2013 дата публикации

DUAL ZONE COMMON CATCH HEAT EXCHANGER/CHILLER

Номер: WO2013062770A1
Принадлежит:

Methods and systems for controlling temperatures in plasma processing chamber via pulsed application of heating power and pulsed application of cooling power. In an embodiment, fluid levels in each of a hot and cold reservoir coupled to the temperature controlled component are maintained in part by a coupling each of the reservoirs to a common secondary reservoir. Heat transfer fluid is pumped from the secondary reservoir to either the hot or cold reservoir in response to a low level sensed in the reservoir. In an embodiment, both the hot and cold reservoirs are contained in a same platform as the secondary reservoir with the hot and cold reservoirs disposed above the secondary reservoir to permit the secondary reservoir to catch gravity driven overflow from either the hot or cold reservoir.

Подробнее
21-10-1999 дата публикации

REDUCED IMPEDANCE CHAMBER

Номер: WO1999053120A1
Автор: JOHNSON, Wayne, L.
Принадлежит:

A reduced impedance chamber for plasma processing leads to operational advantages including a plasma sheath voltage that is substantially independent of plasma impedance over a range of plasma impedances. The design of such a reduced impedance chamber includes a chuck assembly (30), a counter electrode and a plasma source. The chuck assembly (30) allows mounting of a workpiece (32) for processing and includes a driven electrode and a grounded portion (31). The plasma source (36) operates to generate a plasma in the chamber from process gas. A wall portion of the plasma source (36) is directly electrically connected to the counter electrode and to the ground portion (31) of the chuck assembly (30). The counter electrode may include an inject-exhaust plate that is mounted in a position opposed to the chuck assembly (30) and that operates to inject process gas into the chamber and to exhaust effluent.

Подробнее
09-07-2019 дата публикации

Common terminal heater for ceramic pedestals used in semiconductor fabrication

Номер: US0010345802B2
Принадлежит: Lam Research Corporation, LAM RES CORP

System and methods for processing a substrate using a reactor with multiple heating zones and control of said heating zones using a common terminal shared between two power supplies are provided. The reactor includes a heater assembly for supporting the substrate and a showerhead for supplying process gases into the reactor. An inner heater and an outer heater are integrated in the heater assembly. An inner power supply has a positive terminal connected to a first end of the inner heater and a negative terminal is connected to a second end of the inner heater that is coupled to a common terminal. An outer power supply has a positive terminal connected to a first end of the outer heater and a negative terminal connected to a second end of the outer heater that is coupled to the common terminal. A common-terminal heater module is configured to receive a measured temperature that is proximate to the inner heater. A desired temperature setting is received and a servo control law is processed ...

Подробнее
18-03-2004 дата публикации

Atmospheric pressure plasma assembly

Номер: US20040052028A1
Принадлежит:

An atmospheric plasma assembly has a pair of parallel spaced apart planar electrodes each bonded to a dielectric plate. Two spacer plates separate the dielectric plates to form a plasma region. Sparge poles having nozzles are used to spray cooling water on the dielectric plates and electrodes. Ideally the dielectric plates and electrodes are vertically arranged.

Подробнее
17-02-2022 дата публикации

ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20220051902A1
Автор: Koki TANAKA
Принадлежит: TOKYO ELECTRON LIMITED

An etching method includes: (a) providing a substrate that contains silicon, on a support; (b) etching the substrate with plasma generated from a first gas that includes a fluorine-containing gas, to form an etching shape having a bottom; (c) generating plasma from a second gas that includes a hydrogen fluoride (HF) gas, to selectively form a condensed or solidified layer of HF at the bottom of the etching shape; and (d) etching the bottom with the plasma generated from the second gas, by supplying a bias power to the support. During (c) and (d), a temperature of the substrate is maintained to be 0° C. or lower. 1. An etching method comprising:(a) providing a substrate that contains silicon, on a support;(b) etching the substrate with plasma generated from a first gas that includes a fluorine-containing gas, to form an etching shape having a bottom;(c) generating plasma from a second gas that includes a hydrogen fluoride (HF) gas, to selectively form a condensed or solidified layer of HF at the bottom of the etching shape; and(d) etching the bottom with the plasma generated from the second gas, by supplying a bias power to the support,wherein during (c) and (d), a temperature of the substrate is maintained to be 0° C. or lower.2. The etching method according to claim 1 , wherein (c) and (d) are performed at the same time.3. The etching method according to claim 1 , wherein (c) and (d) are performed when an opening diameter of the etching shape is 200 nm or less claim 1 , and an aspect ratio is 20 or more.4. The etching method according to claim 1 , wherein after (a) claim 1 , the temperature of the substrate is set to −40° C. or lower.5. The etching method according to claim 1 , wherein (d) etches the bottom with the plasma generated from the second gas or plasma generated from a rare gas.6. The etching method according to claim 1 , wherein the etching is performed by attracting ions in the plasma generated from the second gas into the bottom claim 1 , and causing ...

Подробнее
10-04-2003 дата публикации

Semiconductor processing apparatus and electrode member therefor

Номер: US20030066608A1
Принадлежит: Sumitomo Electric Industries, Ltd.

The present invention is directed to a semiconductor processing apparatus having an oxidation-free electrode member for power supply. An electrode member (11) is used for supplying electricity from an external power source to a susceptor (1), which is heated so as to heat a wafer loaded thereon. The electrode member (11) has a thermal expansion coefficient in the range of 3.0×10−6/K to 8.0×10−6/K at a temperature from room temperature to 500° C., electric resistance of 10−3Ωcm or less at room temperature. The weight increase due to oxidation is equal to or less than 0.1%/hour at 500° C. in the atmosphere.

Подробнее
01-02-2022 дата публикации

Methods and systems to enhance process uniformity

Номер: US0011239061B2
Принадлежит: Applied Materials, Inc.

A semiconductor processing chamber may include a remote plasma region, and a processing region fluidly coupled with the remote plasma region. The processing region may be configured to house a substrate on a support pedestal. The support pedestal may include a first material at an interior region of the pedestal. The support pedestal may also include an annular member coupled with a distal portion of the pedestal or at an exterior region of the pedestal. The annular member may include a second material different from the first material.

Подробнее
16-06-1998 дата публикации

Anisotropic etching method and apparatus

Номер: US0005766498A
Автор:
Принадлежит:

A parallel-plate plasma etching apparatus includes a susceptor electrode and a shower electrode which are arranged in a process chamber. A semiconductor wafer is placed on the susceptor electrode. A shower region defined by a plurality of process gas supply holes is formed in the shower electrode. The shower electrode is cooled by a cooling block and causes an effective electrode portion of the shower electrode to have a temperature gradient such that a temperature at the central portion of the effective electrode portion is lower than a temperature at the peripheral portion of the effective electrode portion. The diameter of the shower region is selected to be smaller than the diameter of the wafer by 5 to 25% such that degradation of planar uniformity of a degree of etching anisotropy on the wafer caused by the temperature gradient of the effective electrode portion is compensated for. The diameter of the effective electrode portion is selected to be larger than the size of a wafer by ...

Подробнее
13-02-2014 дата публикации

HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING

Номер: US2014045337A1
Принадлежит:

An exemplary method is directed to powering heaters in a substrate support assembly on which a semiconductor substrate is supported. The support assembly has an array of heaters powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to a power supply and at least two of the heaters and each power return line is connected to at least two of the heaters, and a switching device which independently connects each one of the heaters to one of the power supply lines and one of the power return lines so as to provide time-averaged power to each of the heaters by time divisional multiplexing of switches of the switching device. The method includes supplying power to each of the heaters sequentially using a time-domain multiplexing scheme.

Подробнее
14-07-2016 дата публикации

COOLING STRUCTURE AND PARALLEL PLATE ETCHING APPARATUS

Номер: US20160203955A1
Принадлежит:

A cooling structure is provided that, includes a cooling target member, a cooling plate including a cooling mechanism and being configured to cool the cooling target member, and a clamp configured to hold the cooling target member to the cooling plate at an outer periphery of the cooling plate. The cooling plate includes a surface facing the cooling target member that is arranged into a spherical shape having a center portion that bulges toward the cooling target member with respect to a peripheral edge portion. The cooling target member includes a surface facing the cooling plate to which at least a predetermined pressure is applied.

Подробнее
18-08-2016 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS

Номер: US20160237569A1
Принадлежит: KABUSHIKI KAISHA TOSHIBA

A semiconductor manufacturing apparatus according to an embodiment includes a heater, a sidewall, and a moving mechanism. The heater is capable of heating a semiconductor substrate. The sidewall is located at an outer edge of the heater and protrudes upward from a mount face of the heater on which the semiconductor substrate is mounted. The moving mechanism relatively moves at least a part of the sidewall and the heater in a substantially perpendicular direction with respect to the mount face.

Подробнее
14-12-2021 дата публикации

Process kit with adjustable tuning ring for edge uniformity control

Номер: US0011201037B2
Принадлежит: APPLIED MATERIALS, INC.

Process kits, processing chambers, and methods for processing a substrate are provided. The process kit includes an edge ring, an adjustable tuning ring, and an actuating mechanism. The edge ring has a first ring component interfaced with a second ring component that is movable relative to the first ring component forming a gap therebetween. A lower surface of the second ring component contains an upper alignment coupling and an upper surface of the adjustable tuning ring contains a lower alignment coupling. The lower alignment coupling of the adjustable tuning ring is configured to mate with the upper alignment coupling of the second ring component to form an interface. The actuating mechanism is interfaced with the lower surface of the adjustable tuning ring. The actuating mechanism is configured to actuate the adjustable tuning ring such that the gap between the first ring component and the second ring component is varied.

Подробнее
30-05-2019 дата публикации

APPARATUS AND METHOD FOR CONTROLLING WAFER UNIFORMITY

Номер: US20190164730A1
Принадлежит:

An apparatus for controlling wafer uniformity is disclosed. In one example, the apparatus includes: a plurality of temperature control elements and a processor. Each of the temperature control elements corresponds to a different portion of a wafer respectively such that the temperature control elements correspond to different portions of the wafer. Each of the temperature control elements is configured to individually control temperature of a corresponding portion of the wafer. The processor determines at least one portion of the wafer for temperature uniformity control, and instruct at least one of the temperature control elements, corresponding to the at least one portion, to adjust temperature of the at least one portion for controlling temperature uniformity of the wafer.

Подробнее
05-03-2015 дата публикации

HOT WALL REACTOR WITH COOLED VACUUM CONTAINMENT

Номер: US20150059981A1
Принадлежит:

Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing substrates includes a chamber body enclosing a processing volume, the chamber body comprising a chamber floor, a chamber wall coupled to the chamber floor, and a chamber lid removably coupled to the chamber wall, wherein at least one of the chamber floor, the chamber wall, and the chamber lid comprise passages for a flow of a thermal control media; a heater plate disposed adjacent to and spaced apart from the chamber floor; a sleeve disposed adjacent to and spaced apart from the chamber wall, the sleeve supported by the heater plate; and a first sealing element disposed at a first interface between the chamber wall and the chamber lid.

Подробнее
06-01-2022 дата публикации

ELECTROSTATIC CHUCK WITH IMPROVED TEMPERATURE CONTROL

Номер: US20220005723A1
Принадлежит: Applied Materials, Inc.

Embodiments of the disclosure provide electrostatic chucks for securing substrates during processing. Some embodiments of this disclosure provide methods and apparatus for increased temperature control across the radial profile of the substrate. Some embodiments of the disclosure provide methods and apparatus for providing control of hydrogen concentration in processed films during a high-density plasma (HDP) process.

Подробнее
11-02-2021 дата публикации

PLACING TABLE AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210043433A1
Принадлежит:

A placing table includes a first surface located at an outer side than a substrate; and a second surface on which the substrate is placed. A first path is formed to correspond to the first surface.

Подробнее
12-05-2020 дата публикации

Auto-calibration to a station of a process module that spins a wafer

Номер: US0010651065B2
Принадлежит: Lam Research Corporation, LAM RES CORP

A method for calibration including determining a temperature induced offset in a pedestal of a process module under a temperature condition for a process. The method includes delivering a wafer to the pedestal of the process module by a robot, and detecting an entry offset. The method includes rotating the wafer over the pedestal by an angle. The method includes removing the wafer from the pedestal by the robot and measuring an exit offset. The method includes determining a magnitude and direction of the temperature induced offset using the entry offset and exit offset.

Подробнее
22-09-2022 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер: US20220301831A1
Принадлежит: Kioxia Corporation

A semiconductor manufacturing apparatus includes a heating part, a first electrode, a first insulating part, a gas supply part, a second electrode, and a second insulating part. The heating part is arranged to be in one surface side of a substrate. The first electrode is arranged around the heating part. The gas supply part is arranged to be in another surface side of the substrate. The second electrode is arranged around the gas supply part. The first electrode and the second electrode are arranged to overlap with an outer edge portion of the substrate, which is a region existing from an outer peripheral end of the substrate to a position inside by a predetermined length, in a direction in which the first electrode and the second electrode face each other. The first electrode is arranged to be in contact with part of the outer edge portion on the one surface side.

Подробнее
27-06-2023 дата публикации

Electrostatic-chuck heater

Номер: US0011688590B2
Автор: Yutaka Unno, Reo Watanabe
Принадлежит: NGK INSULATORS, LTD.

An electrostatic-chuck heater is of a Johnsen-Rahbek type and is used in a process of forming a conductive film on a wafer. The electrostatic-chuck heater includes a disc-shaped ceramic base including an electrostatic electrode and a heating resistor, and a hollow shaft attached to a side of the ceramic base that is opposite a side having a wafer-mounting surface. A through-hole extends in a peripheral wall of the hollow shaft from a lower end through to an area of the wafer-mounting surface that is on an inner side with respect to a circular groove. The through-hole allows gas to be supplied from the lower end of the hollow shaft into a below-wafer space enclosed by the wafer-mounting surface, an outermost projection group, and the wafer mounted on the wafer-mounting surface.

Подробнее
15-08-2023 дата публикации

Method for decreasing cool down time with heated system for semiconductor manufacturing equipment

Номер: US0011728187B2
Принадлежит: Axcelis Technologies, Inc.

A system, method, and apparatus for heating and cooling a component in chamber enclosing a chamber volume. Vacuum and purge gas ports are in fluid communication with the chamber volume. A heater apparatus selectively heats the heated apparatus to a process temperature. A vacuum valve provides selective fluid communication between a vacuum source and the vacuum port. A purge gas valve provides selective fluid communication between a purge gas source for a purge gas and the purge gas port. A controller controls the heater apparatus, vacuum and purge gas valves and to selectively flow the purge gas to the chamber volume when an equipment-safe temperature is reached. When an operator-safe temperature is reached, access to the chamber volume through an access port by an operator is permitted.

Подробнее
20-12-2022 дата публикации

Multi-zone heater model-based control in semiconductor manufacturing

Номер: US0011533783B2

A plurality of heating zones in a substrate support assembly in a chamber is independently controlled. Temperature feedback from a plurality of temperature detectors is provided as a first input to a process control algorithm, which may be a closed-loop algorithm. A second input to the process control algorithm is targeted values of heater temperature for one or more heating zones, as calculated using a model. Targeted values of heater power needed for achieving the targeted values of heater temperature for the one or more heating zones is calculated. Chamber hardware is controlled to match the targeted value of heater temperature that is correlated with the wafer characteristics corresponding to the current optimum values of the one or more process parameters.

Подробнее
21-03-2023 дата публикации

Electrostatic chuck assembly, electrostatic chuck, and focus ring

Номер: US0011610798B2
Принадлежит: NGK Insulators, Ltd., NGK INSULATORS, LTD.

An electrostatic chuck assembly includes a ceramic body having a wafer placement surface that is a circular surface, and an F/R placement surface that is formed around the wafer placement surface and is positioned at a lower level than the wafer placement surface, a wafer attraction electrode embedded inside the ceramic body and positioned in a facing relation to the wafer placement surface, an F/R attraction electrode embedded inside the ceramic body and positioned in a facing relation to the F/R placement surface, a concave-convex region formed in the F/R placement surface to hold gas, a focus ring placed on the F/R placement surface, and a pair of elastic annular sealing members arranged between the F/R placement surface and the focus ring on the inner peripheral side and the outer peripheral side of the F/R placement surface, and surrounding the concave-convex region in a sandwiching relation.

Подробнее
13-04-2023 дата публикации

WAFER PLACEMENT TABLE

Номер: US20230111137A1
Принадлежит: NGK Insulators, Ltd.

A wafer placement table includes a ceramic base having a wafer placement surface on its top surface and incorporating an electrode, a cooling base in which a refrigerant flow channel is formed, and a metal bonding layer that bonds the ceramic base with the cooling base. The cooling base includes a ceiling base made of a metal matrix composite material or a low thermal expansion metal material and defining a ceiling of the refrigerant flow channel, a grooved base of which a main component is made of the same ceramic material as a main component of the ceramic base and on a top surface of which a flow channel groove defining a bottom and a side wall of the refrigerant flow channel is provided, and a metal ceiling bonding layer that bonds a bottom surface of the ceiling base with the top surface of the grooved base.

Подробнее
31-03-2022 дата публикации

ELECTROSTATIC CHUCK AND SEMICONDUCTOR MANUFACTURING APPARATUS

Номер: US20220102183A1
Автор: Akihito ONO, Jumpei UEFUJI
Принадлежит:

An electrostatic chuck includes a ceramic dielectric substrate; a base plate; and a heater unit which heats the ceramic dielectric substrate. The heater unit includes a first heater element. The first heater element has a plurality of sub-zones. The sub-zones include a first sub-zone. The first sub-zone includes a sub-heater line generating heat by allowing a current to flow, a first sub-power feeding portion feeding a power to the sub-heater line, and a second sub-power feeding portion feeding a power to the sub-heater line. The first sub-zone has a central region located centrally in the first sub-zone and an outer peripheral region located outside the central region when viewed along a Z-direction perpendicular to the first major surface. At least one of the first sub-power feeding portion and the second sub-power feeding portion is provided in the central region.

Подробнее
07-11-2023 дата публикации

Temperature and bias control of edge ring

Номер: US0011810768B2
Принадлежит: Applied Materials, Inc.

Embodiments described herein provide methods and apparatus used to control a processing result profile proximate to a circumferential edge of a substrate during the plasma-assisted processing thereof. In one embodiment, a substrate support assembly features a first base plate and a second base plate circumscribing the first base plate. The first and second base plates each have one or more respective first and second cooling disposed therein. The substrate support assembly further features a substrate support disposed on and thermally coupled to the first base plate, and a biasing ring disposed on and thermally coupled to the second base plate. Here, the substrate support and the biasing ring are each formed of a dielectric material. The substrate support assembly further includes an edge ring biasing electrode embedded in the dielectric material of the biasing ring and an edge ring disposed on the biasing ring.

Подробнее
14-04-2022 дата публикации

VACUUM PROCESSING APPARATUS

Номер: US20220115217A1
Автор: Shengnan Yu
Принадлежит:

A vacuum processing apparatus with improved processing efficiency, which includes a pusher arm to support the wafer on a beam portion, or deliver the supported wafer, and a cooling plate disposed on a bottom in the lock chamber for cooling the wafer and placed on tip ends of multiple support pins. The pusher arm includes four pusher pins disposed around a center of the wafer to be placed on the horizontally extending beam portion, and a drive unit connected to the proximal part for vertically moving the beam portion. The cooling plate includes a recess portion in its center, into which the beam portion of the pusher arm which has been moved downward is stored. The support pins are positioned closer to an outer circumference side of the wafer on the cooling plate with respect to the center than the pusher pins of the pusher arm.

Подробнее
03-09-1996 дата публикации

CVD PROCESSING CHAMBER

Номер: JP0008227859A
Принадлежит:

PROBLEM TO BE SOLVED: To eliminate nonumiformity, contamination and factors contributing to contamination in the deposition of material by a method, wherein a circular exhaust plenum/plenum is provided on the whole circumference of the position of a wafer and process gas near a process chamber is introduced into a vacuum connection part on one side of the chamber. SOLUTION: A wafer or a substrate is supported by a heater pedestal 136, placed at the center inside a process chamber at a wafer processing position on a flat circular face 145 of the pedestal. The pedestal face 145 is placed in parallel with and close to a process gas sprinkling face plate 122, through which a process gas passes. Deposition process gas flowing into the chamber is made to flow through a flowing manifold 126, a perforated blocker plate 124 and the flat and circular sprinkling face plate 122. Remaining components of gas mixture are discharged out of the chamber by a vacuum pump. COPYRIGHT: (C)1996,JPO ...

Подробнее
23-05-2012 дата публикации

Номер: JP0004936297B2
Автор:
Принадлежит:

Подробнее
09-09-2010 дата публикации

SUBSTRATE SUPPORT TABLE OF PLASMA PROCESSING DEVICE

Номер: JP2010199107A
Принадлежит:

PROBLEM TO BE SOLVED: To provide a substrate support table of a plasma processing device that stably controls a substrate at relatively high temperature. SOLUTION: The substrate support table includes: an electrostatic attraction plate 14 incorporating a first electrode for electrostatically attracting the substrate W, a second electrode for applying a bias to the substrate W and a heater for heating the substrate; a cylindrical flange 13 welded to a lower surface of the electrostatic attraction plate 14 and made of an alloy having thermal characteristics similar to those of the electrostatic attraction plate 14; and a support table 10 which has an O ring 12 on a surface opposed to a lower surface of the flange 13 and to which the flange 13 is fitted with the O ring 12 interposed. When bias power applied to the substrate W is changed, heater power for heating the substrate W is changed so that the temperature of the substrate W becomes constant. COPYRIGHT: (C)2010,JPO&INPIT ...

Подробнее
02-07-2020 дата публикации

МОДУЛЬНЫЙ РЕАКТОР ОСАЖДЕНИЯ С ИСПОЛЬЗОВАНИЕМ МИКРОВОЛНОВОЙ ПЛАЗМЫ

Номер: RU2725428C1
Принадлежит: ДИАМ КОНСЕПТ (FR)

Предложенная группа изобретений относится к модульному реактору для изготовления синтетических алмазов осаждением в микроволновой плазме и к способу изготовления синтетических алмазов с использованием указанного реактора. Указанный реактор содержит микроволновый генератор, сконфигурированный с возможностью генерации микроволн с частотой в пределах от 300 МГц до 3000 МГц, резонаторную полость, образованную по меньшей мере частично цилиндрическими внутренними стенками камеры реактора, газоподводящую систему и газовыпускной модуль, модуль волновой связи, выполненный с возможностью передачи микроволн от микроволнового генератора к резонаторной полости для обеспечения возможности формирования плазмы, и ростовую основу в резонаторной полости. Упомянутый модульный реактор содержит по меньшей мере три модульных элемента, выбранных из следующих элементов: по меньшей мере одного обода для изменения формы и/или объема резонаторной полости, модуля подложкодержателя с возможностью вертикального перемещения ...

Подробнее
26-03-2020 дата публикации

Prozessmodul und Anlage mit wenigstens einem solchen Prozessmodul

Номер: DE102018123523A1
Принадлежит:

Die vorliegende Erfindung beinhaltet ein Prozessmodul mit einer Prozesskammer und einer horizontal in dem Prozessmodul bewegbaren flächigen Trägervorrichtung mit einem Substrataufnahmebereich zur Aufnahme von Substraten und einem seitlich an und/oder um den Substrataufnahmebereich vorgesehenen Außenrandbereich. Die Position der Trägervorrichtung und/oder einer unter der Trägervorrichtung vorgesehenen Temperierplatte ist in einer Schließrichtung quer zu der Substrattransportrichtung derart veränderbar, dass die Trägervorrichtung und/oder die Temperierplatte einen Boden der Prozesskammer ausbilden kann. Das Prozessmodul weist ferner ein Transportsystem zum Transportieren der Trägervorrichtung zu und weg von der Prozesskammer auf. Die Prozesskammer ist eine Plasmakammer mit einer Gasdusche, die mit der Trägervorrichtung eine Parallelplattenanordnung ausbildet. An wenigstens einer Seitenwand der Prozesskammer, zwischen der Gasdusche und der Trägervorrichtung, ist wenigstens ein in den Prozesskammerinnenraum ...

Подробнее
15-08-1996 дата публикации

WIDE CATHODE ARRANGEMENT WITH EVEN COMBUSTION BEHAVIOR

Номер: AT0000141026T
Принадлежит:

Подробнее
03-04-2003 дата публикации

SEMICONDUCTOR PROCESSING APPARATUS AND ELECTRODE MEMBER THEREFOR

Номер: CA0002404582A1
Принадлежит:

The present invention is directed to a semiconductor processing apparatus having an oxidation-free electrode member for power supply. An electrode member (11) is used for supplying electricity from an external power source to a susceptor (1), which is heated so as to heat a wafer loaded thereon. The electrode member (11) has a thermal expansion coefficient in the range of 3.0 x 10-6 /K to 8.0 x 10-6 /K at a temperature from room temperature to 500.degree.C, electric resistance of 10-3 .OMEGA. cm or less at room temperature. The weight increase due to oxidation is equal to or less than 0.1% / hour at 500.degree.C in the atmosphere.

Подробнее
30-04-2013 дата публикации

ELECTRODE FOR PLASMA TREATMENT OF DIELECTRIC BARRIER DISCHARGE

Номер: EA0201291130A1
Автор:
Принадлежит:

Подробнее
15-01-2019 дата публикации

Method of manufacturing electrostatic chuck and electrostatic chuck

Номер: CN0109216253A
Принадлежит:

Подробнее
26-10-2016 дата публикации

Etching method

Номер: CN0106057666A
Принадлежит:

Подробнее
07-12-2011 дата публикации

Номер: CN0102272897A
Автор:
Принадлежит:

Подробнее
26-12-2017 дата публикации

Plasma processing apparatus

Номер: CN0106206233B
Автор:
Принадлежит:

Подробнее
23-11-2016 дата публикации

The substrate supporting device and comprising the substrate supporter of substrate processing apparatus

Номер: CN0104046961B
Автор:
Принадлежит:

Подробнее
21-11-2017 дата публикации

Circulating cooling-heating device

Номер: CN0105453237B
Автор:
Принадлежит:

Подробнее
27-10-2016 дата публикации

온도 제어형 핫 에지 링 어셈블리

Номер: KR0101670096B1
Автор: 딘드사 라진더
Принадлежит: 램 리써치 코포레이션

... 플라즈마 반응 챔버에서 지지되는 반도체 기판을 둘러싸도록 적응된 온도-제어형 핫 에지 링 어셈블리가 제공된다. 환형 지지체 표면을 갖는 기판 지지체는 기판 지지체 표면을 둘러싼다. 무선-주파수 (RF) 커플링 링은 환형 지지체 표면 위에 위치된다. 하부 개스킷은 환형 지지체 표면과 RF 커플링 링 사이에 있다. 하부 개스킷은 열 및 전기 전도성이다. 핫 에지 링은 RF 커플링 링 위에 위치된다. 기판 지지체는 기판의 외부 에지가 핫 에지 링 위로 돌출되도록 기판을 지지하게 적응된다. 상부 열 전도성 매체는 핫 에지 링과 RF 커플링 링 사이에 있다. 핫 에지 링, RF 커플링 링, 및 환형 지지체 표면은 기계적으로 클램프될 수 있다. 가열 엘리먼트는 RF 커플링 링에 임베딩될 수 있다.

Подробнее
22-03-1997 дата публикации

Номер: KR19970003885B1
Автор:
Принадлежит:

Подробнее
11-05-2018 дата публикации

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Номер: KR0101857340B1

... 플라즈마를 사용해서 고품질의 막을 형성하는 기술을 제공한다. 기판이 적재되는 기판 적재대를 내포하는 처리실과, 처리실 내에 공급하는 가스를 플라즈마 상태로 하는 플라즈마 생성부를 포함하는 기판 처리 장치에 있어서, 플라즈마 생성부는, 처리실 내에 공급하는 가스의 유로가 되는 플라즈마 생성실을 둘러싸도록 배치된 플라즈마 발생 도체를 포함하고, 플라즈마 발생 도체는, 플라즈마 생성실 내에서의 가스의 주류 방향을 따라서 연장되는 복수의 주도체부와, 복수의 주도체부끼리를 전기적으로 접속하는 복수의 접속 도체부를 포함한다.

Подробнее
07-05-2020 дата публикации

Coating Apparatus, Coating Method And Coating Layer manufactured using the same method

Номер: KR0102107446B1
Автор:
Принадлежит:

Подробнее
22-07-2014 дата публикации

Substrate supporting apparatus and substrate processing apparatus having the same

Номер: KR0101420709B1
Принадлежит:

Подробнее
31-03-2017 дата публикации

기판 처리 장치 및 기판 처리 방법

Номер: KR1020170036008A
Принадлежит:

... 본 기판 처리 장치(10)는 기판(1)이 배치되는 플라즈마 발생 공간 내에 공정 가스의 플라즈마를 발생시키기 위한 플라즈마 발생 부분, 상기 기판과 마주하고 그 사이에 냉각 공간(55)이 놓이며 이를 통해 상기 공정 가스가 상기 냉각 공간으로 공급되는 공급 포트(26)를 갖는 냉각 부분(20), 상기 냉각 부분(20)으로 상기 공정 가스를 공급하기 위한 공정 가스 공급 부분(30), 그리고 상기 냉각 공간에 공급되는 상기 공정 가스를 상기 플라즈마 발생 공간에 공급하기 위해 상기 냉각 공간(55) 및 상기 플라즈마 발생 공간이 서로 연통되게 하는 연통 부분(56)을 구비하여 제공된다.

Подробнее
17-12-2019 дата публикации

Plasma strip tool with uniformity control

Номер: KR1020190139324A
Автор:
Принадлежит:

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
26-04-2012 дата публикации

Substrate support with symmetrical feed structure

Номер: US20120097332A1
Принадлежит: Applied Materials Inc

Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground.

Подробнее
19-07-2012 дата публикации

Semiconductor processing system and methods using capacitively coupled plasma

Номер: US20120180954A1
Принадлежит: Applied Materials Inc

Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.

Подробнее
02-08-2012 дата публикации

Substrate cooling device, sputtering apparatus and method for manufacturing electronic device

Номер: US20120193216A1
Принадлежит: Canon Anelva Corp

A substrate cooling device includes: a substrate holding stage including a recess defining a space between a substrate mounting unit and a substrate mounted on the substrate mounting unit; a holding member that exerts a pressing force against the substrate holding stage so as to fix the substrate to the substrate holding stage; a refrigerator connected to the substrate holding stage; a coolant gas inlet path including a coolant gas inlet opening that is provided at the substrate holding stage and opens to a recessed face of the recess, the coolant gas inlet path connecting a space in the recess via the coolant gas inlet opening to a coolant gas supply; and a coolant gas outlet path including a coolant gas outlet opening that is provided at the substrate holding stage independently of the coolant gas inlet opening and opens to the recessed face of the recess.

Подробнее
20-09-2012 дата публикации

Graphene synthesis chamber and method of synthesizing graphene by using the same

Номер: US20120234240A1
Автор: Dong-kwan Won, Won-Sik Nam
Принадлежит: NPS CORP, Samsung Techwin Co Ltd

A graphene synthesis chamber includes: a chamber case in which a substrate including a metal thin film is placed; a gas supply unit which supplies at least one gas comprising a carbon gas into an inner space of the chamber case; a main heating unit which emits at least one light to the inner space to heat the substrate; and at least one auxiliary heating unit which absorbs the at least one light and emits radiant heat toward the substrate.

Подробнее
04-10-2012 дата публикации

Substrate processing apparatus, method for manufacturing semiconductor device, method for processing substrates

Номер: US20120252220A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

A substrate supporting member provided in a processing chamber for processing the substrate and configured to support the substrate, has on its upper surface, a protruding area that supports an edge side of the substrate from below; a recessed area provided inside of the protruding area so as not to be brought into contact with the substrate supported by the protruding area; and an auxiliary protruding area formed lower than the protruding area and provided in the recessed area, and has a flow passage that is communicated with inside of the recessed area, for escaping gas between the substrate and the substrate supporting member from the recessed area side.

Подробнее
28-02-2013 дата публикации

Photoresist strip processes for improved device integrity

Номер: US20130048014A1
Принадлежит: Novellus Systems Inc

Provided herein are methods and apparatus of hydrogen-based photoresist strip operations that reduce dislocations in a silicon wafer or other substrate. According to various embodiments, the hydrogen-based photoresist strip methods can employ one or more of the following techniques: 1) minimization of hydrogen budget by using short processes with minimal overstrip duration, 2) providing dilute hydrogen, e.g., 2%-16% hydrogen concentration, 3) minimization of material loss by controlling process conditions and chemistry, 4) using a low temperature resist strip, 5) controlling implant conditions and concentrations, and 6) performing one or more post-strip venting processes. Apparatus suitable to perform the photoresist strip methods are also provided.

Подробнее
28-02-2013 дата публикации

Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment

Номер: US20130048217A1
Автор: Norio Shiraiwa
Принадлежит: Shinko Electric Industries Co Ltd

An electrostatic chuck includes, a chuck function portion including a plurality of chuck regions on which an attractable object is placed respectively, and a concave surface portion provided in an outer region of the chuck regions, and electrodes arranged in an inner part of the chuck function portion corresponding to the chuck regions and an inner part of the chuck function portion corresponding to the concave surface portion, respectively.

Подробнее
20-06-2013 дата публикации

Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers

Номер: US20130154479A1
Автор: Mark Kiehlbauch
Принадлежит: Micron Technology Inc

A capacitively coupled plasma reactor comprising a processing chamber, a first electrode, a second electrode and a thermoelectric unit. The processing chamber has an upper portion with a gas inlet and a lower portion, and the upper portion is in fluid communication with the lower portion. The first electrode has a front side and a backside and is positioned at the upper portion of the processing chamber. The second electrode is positioned in the lower portion of the processing chamber and is spaced apart from the front side of the first electrode. The thermoelectric unit is positioned proximate to the backside of the first electrode and is capable of heating and cooling the first electrode.

Подробнее
05-09-2013 дата публикации

Method and Apparatus for Plasma Dicing a Semi-conductor Wafer

Номер: US20130230969A1
Принадлежит: Plasma Therm LLC

The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.

Подробнее
09-01-2014 дата публикации

Plasma System, Chuck and Method of Making a Semiconductor Device

Номер: US20140011356A1
Автор: Manfred Engelhardt
Принадлежит: INFINEON TECHNOLOGIES AG

A chuck, a system including a chuck and a method for making a semiconductor device are disclosed. In one embodiment the chuck includes a first conductive region configured to be capacitively coupled to a first RF power generator, a second conductive region configured to be capacitively coupled to a second RF power generator and an insulation region that electrically insulates the first conductive region from the second conductive region.

Подробнее
20-02-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140047705A1
Принадлежит: Lam Research Corp

An exemplary method for manufacturing a heating plate for a substrate support assembly includes forming holes in at least one sheet, printing a slurry of conductor powder, or pressing a precut metal foil, or spraying a slurry of conductor powder, on the at least one sheet to form the planar heater zones, the power supply lines, and power return lines. The holes in the at least one sheet are filled with a slurry of conductor powder to form power supply and power return vias. The sheets are then aligned, pressed, and bonded to form the heating plate.

Подробнее
27-03-2014 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20140083977A1
Принадлежит: Toshiba Corp

In one embodiment, a plasma processing apparatus includes: a chamber; an introducing part; a counter electrode; a high-frequency power source; and a plurality of low-frequency power sources. A substrate electrode is disposed in the chamber, a substrate is directly or indirectly placed on the substrate electrode, and the substrate electrode has a plurality of electrode element groups. The introducing part introduces process gas into the chamber. The high-frequency power source outputs a high-frequency voltage for ionizing the process gas to generate plasma. The plurality of low-frequency power sources apply a plurality of low-frequency voltages of 20 MHz or less with mutually different phases for introducing ions from the plasma, to each of the plurality of electrode element groups.

Подробнее
27-03-2014 дата публикации

Temperature controlled plasma processing chamber component with zone dependent thermal efficiences

Номер: US20140083978A1
Принадлежит: Individual

Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.

Подробнее
27-03-2014 дата публикации

Vacuum treatment apparatus

Номер: US20140086711A1
Принадлежит: OC OERLIKON BALZERS AG

To reduce pumping time of a vacuum treatment chamber served by a transport arrangement in a transport chamber the vacuum treatment chamber is split into a workpiece treatment compartment and a pumping compartment in mutual free flow communication and arranged opposite each other with respect to a movement path of the transport arrangement serving the vacuum treatment chamber. The pumping compartment allows a pumping port to have a flow cross-section area that is freely selectable independently from the geometry of the treatment compartment.

Подробнее
10-04-2014 дата публикации

Heating plate with planar heater zones for semiconductor processing

Номер: US20140096909A1
Принадлежит: Lam Research Corp

A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches.

Подробнее
06-01-2022 дата публикации

CONTROL METHOD FOR MULTI-ZONE ACTIVE-MATRIX TEMPERATURE CONTROL IN PLASMA PROCESSING APPARATUS

Номер: US20220005677A1
Принадлежит:

Method for multi-zone temperature control system having temperature control matrix and gate driver; N*M temperature control modules form N-row M-column matrix, power supply line, and power return line; each temperature control module comprising: a temperature control unit adapts to be heated up by electrical power for temperature controlling; semiconductor switch provided with a gate electrode connected with the gate driver, two ends of the gate being connected with the power supply line, and the power return line through the temperature control unit, respectively. In the temperature control matrix, one ends, which are connected with a power return line, of the temperature control units of temperature control modules in a same row or same column are serially connected, and connected with the power supply line; one ends, which are connected with the power supply line at same row or same column are serially connected, and connected with the power supply line. 1. A method of temperature control for a multi-zone active-matrix temperature control system , wherein , the multi-zone active-matrix temperature control system comprises a temperature control matrix and a gate driver; the temperature control matrix comprises: temperature control modules forming a matrix , a power supply line , and a power return line; each temperature control module comprises: a heater; a semiconductor switch with a gate electrode connected to the gate driver , the semiconductor switch further including other two electrodes first electrode being connected to the power supply line , and second electrode connected to the power return line through the heater , respectively , selectively connect said two electrodes according to the signal on gate electrode ,the temperature control method comprising:supplying power, by the power supply line, to the temperature control modules in a temperature adjusting zone;turning on the semiconductor switches corresponding to the temperature adjusting zone by the ...

Подробнее
06-01-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS, REFLECTOR AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20220005678A1
Принадлежит: KOKUSAI ELECTRIC CORPORATION

Described herein is a technique capable of improving a heating efficiency for a substrate to be heated by a heater. According to one aspect of the technique of the present disclosure, there is provided a substrate processing apparatus including: a process vessel defining a process chamber; a process gas supplier configured to supply a process gas into the process vessel; an electromagnetic field generation electrode extending along an outer peripheral surface of the process vessel while being spaced apart from the outer peripheral surface of the process vessel and configured to generate an electromagnetic field in the process vessel by being supplied with a high frequency power; a heater configured to radiate an infrared light to heat a substrate accommodated in the process chamber; and a reflector provided between the process vessel and the electromagnetic field generation electrode and configured to reflect the infrared light radiated from the heater. 1. A substrate processing apparatus comprising:a process vessel defining a process chamber;a process gas supplier configured to supply a process gas into the process vessel;an electromagnetic field generation electrode extending along an outer peripheral surface of the process vessel while being spaced apart from the outer peripheral surface of the process vessel and configured to generate an electromagnetic field in the process vessel by being supplied with a high frequency power;a heater configured to radiate an infrared light to heat a substrate accommodated in the process chamber; anda reflector provided between the process vessel and the electromagnetic field generation electrode and configured to reflect the infrared light radiated from the heater.2. The substrate processing apparatus of claim 1 , wherein the heater comprises a susceptor heater provided in a susceptor configured to support the substrate in the process chamber.3. The substrate processing apparatus of claim 1 , wherein the heater comprises a lamp ...

Подробнее
01-01-2015 дата публикации

METHOD OF CONTROLLING TEMPERATURE AND PLASMA PROCESSING APPARATUS

Номер: US20150004794A1
Автор: HARADA Akitoshi
Принадлежит:

A method of controlling a temperature is provided. In the method, a plasma process is performed in a processing chamber on an object to be processed placed on an electrostatic chuck configured to have its temperature adjustable. The electrostatic chuck is controlled to have a first temperature. The temperature of the electrostatic chuck is controlled in a step-by-step manner so as to change from the first temperature to a second temperature that is lower than the first temperature after performing the plasma process. An inside of the processing chamber is purged with an inactive gas after performing the plasma process. 1. A method of controlling a temperature , the method comprising steps of:performing a plasma process in a processing chamber on an object to be processed placed on an electrostatic chuck configured to have its temperature adjustable, the electrostatic chuck being controlled to have a first temperature;controlling the temperature of the electrostatic chuck in a step-by-step manner so as to change from the first temperature to a second temperature that is lower than the first temperature after performing the plasma process; andpurging the processing chamber with an inactive gas after performing the plasma process.2. The method as claimed in claim 1 , further comprising steps of:carrying the object to be processed into the processing chamber; andcontrolling the temperature of the electrostatic chuck before starting the plasma process so as to be the first temperature that is higher than the temperature of the electrostatic chuck when the object to be processed carried into the processing chamber.3. The method as claimed in claim 1 , further comprising:controlling a pressure in the processing chamber after performing the plasma process to be a first pressure that is higher than a pressure in the processing chamber when performing the plasma processing.4. The method as claimed in claim 1 , wherein a part of the steps of controlling the temperature of the ...

Подробнее
13-01-2022 дата публикации

CERAMIC STRUCTURE, ELECTROSTATIC CHUCK AND SUBSTRATE FIXING DEVICE

Номер: US20220013341A1
Автор: MINEMURA Tomotake
Принадлежит:

A ceramic structure includes a base body, and a thermoelectric device having a part in directly contact with the base body. The base body is a ceramic consisting of aluminum oxide. The thermoelectric device comprises a conductor part that is a sintered body having an alloy of tungsten and rhenium, as a main component, and including nickel oxide, aluminum oxide and silicon dioxide. 1. A ceramic structure comprising:a base body; anda thermoelectric device having a part in directly contact with the base body,wherein the base body is a ceramic consisting of aluminum oxide, andwherein the thermoelectric device comprises a conductor part that is a sintered body having an alloy of tungsten and rhenium, as a main component, and including nickel oxide, aluminum oxide and silicon dioxide.2. The ceramic structure according to claim 1 , wherein the conductor part has an addition amount of nickel oxide of 0.2 to 1.0 wt % with respect to tungsten.3. The ceramic structure according to claim 1 , wherein the conductor part has an addition amount of aluminum oxide of 0.2 to 3.0 wt % and an addition amount of silicon dioxide of 0.2 to 3.0 wt % with respect to tungsten.4. The ceramic structure according to claim 1 , wherein an average particle diameter of tungsten included in the conductor part is 0.5 μm to 3.0 μm claim 1 , an average particle diameter of rhenium is 1.5 m to 4.5 μm claim 1 , an average particle diameter of nickel oxide is 5.0 μm to 15.0 μm claim 1 , an average particle diameter of aluminum oxide is 0.1 μm to 4.0 μm claim 1 , and an average particle diameter of silicon dioxide is 0.1 μm to 12.0 μm.5. The ceramic structure according to claim 1 , wherein a component of tungsten claim 1 , a component of rhenium and a component of nickel are not present in the base body and are present only in the conductor part claim 1 , and a component of aluminum and a component of silicon are present both in the base body and in the conductor part.6. The ceramic structure according to ...

Подробнее
04-01-2018 дата публикации

WAFERLESS CLEAN IN DIELECTRIC ETCH PROCESS

Номер: US20180005804A1
Принадлежит:

A system and method for a waferless cleaning method for a capacitive coupled plasma system. The method includes forming a protective layer on a top surface of an electrostatic chuck, volatilizing etch byproducts deposited on one or more inner surfaces of the plasma process chamber, removing volatilized etch byproducts from the plasma process chamber and removing the protective layer from the top surface of the electrostatic chuck. A capacitive coupled plasma system including a waferless cleaning recipe is also described. 1. A controller for use with a plasma chamber that is configured as a capacitively coupled plasma system , the controller is configured for operating a waferless cleaning process of the plasma chamber , the controller comprising ,logic for setting a temperature difference between an electrostatic chuck and an edge ring that surrounds the electrostatic chuck of the plasma chamber, the temperature difference maintains the electrostatic chuck at a lower temperature than the edge ring that surrounds the electrostatic chuck;logic for generating plasma using a first process gas containing a carbon component and fluorine component, the plasma is configured to form a protective layer on a top surface of the electrostatic chuck and volatize etch byproducts formed on one or more inner surfaces of the plasma chamber from prior etch operations of a wafer, wherein the one or more inner surfaces of the plasma chamber include the edge ring that is maintained at the set temperature difference, the carbon component is configured to assist in the formation of the protective layer while the fluorine component is configured to assist in volatizing of the etch byproducts;logic for controlling removal of volatilized etch byproducts from the plasma chamber; andlogic causing removal of the protective layer from the top surface of the electrostatic chuck using a second process gas that includes oxygen or an oxygen containing compound.2. The controller of claim 1 , wherein ...

Подробнее
07-01-2021 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATE

Номер: US20210005426A1
Автор: Lee Dongha
Принадлежит: SEMES CO., LTD.

An apparatus for treating a substrate includes a chamber having a treatment space therein, a support unit that supports the substrate in the treatment space, a gas supply unit that supplies, into the treatment space, a process gas used to treat the substrate, a plasma source that generates plasma by exciting the process gas supplied into the treatment space, heaters that heat the support unit for different regions of the substrate, a heater power supply that applies powers to the heaters, a plurality of heater cables that deliver the powers to the heaters, and variable capacitors configured be grounded, the variable capacitors being connected to the plurality of heater cables, respectively. 1. An apparatus for treating a substrate , the apparatus comprising:a chamber having a treatment space therein;a support unit configured to support the substrate in the treatment space;a gas supply unit configured to supply, into the treatment space, a process gas used to treat the substrate;a plasma source configured to generate plasma by exciting the process gas supplied into the treatment space;heaters configured to heat the support unit for different regions of the substrate;a heater power supply configured to apply powers to the heaters;a plurality of heater cables configured to deliver the powers to the heaters; andvariable capacitors configured to be grounded, the variable capacitors being connected to the plurality of heater cables, respectively.2. The apparatus of claim 1 , further comprising:a filter configured to pass the powers through the plurality of heater cables and interrupt introduction of RF power into the heater power supply,wherein the plurality of heater cables are connected between the filter and the heaters.3. The apparatus of claim 2 , wherein the variable capacitors configured to be grounded are connected to input terminals of the filter.4. The apparatus of claim 3 , wherein the filter includes a plurality of terminals claim 3 , andwherein the variable ...

Подробнее
07-01-2021 дата публикации

ELECTROSTATIC CHUCK AND PLASMA PROCESSING APPARATUS

Номер: US20210005432A1
Принадлежит: TOKYO ELECTRON LIMITED

An electrostatic chuck of an embodiment includes a base, a dielectric layer, and a chuck main body. The dielectric layer is provided on the base, and is fixed to the base. The chuck main body is mounted on the dielectric layer. The chuck main body has a ceramic main body, a first electrode, a second electrode, and a third electrode. The ceramic main body has a substrate mounting region. The first electrode is provided in the substrate mounting region. The second electrode and the third electrode form a bipolar electrode. The second electrode and the third electrode are provided in the ceramic main body, and are provided between the first electrode and the dielectric layer. 1. An electrostatic chuck , comprising:a member;a ceramic main body provided on the member and having an upper surface and a lower surface;a first electrode provided in the ceramic main body to generate an electrostatic attractive force between a substrate mounted on the upper surface and the ceramic main body; anda second electrode and a third electrode forming a bipolar electrode, provided in the ceramic main body, and provided between the first electrode and the member to generate an electrostatic attractive force between the ceramic main body and the member.2. The electrostatic chuck according to claim 1 , wherein the second electrode and the third electrode are film-shaped electrodes and have comb-tooth shapes.3. The electrostatic chuck according to claim 2 , wherein the second electrode and the third electrode are provided so that comb teeth of the second electrode and comb teeth of the third electrode are alternately arranged along one direction.4. A plasma processing apparatus claim 2 , comprising:a chamber main body that provides a chamber;{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'an electrostatic chuck defined in , provided in the chamber;'}a radio frequency power supply that is electrically connected to the member of the electrostatic chuck;a first DC power supply electrically ...

Подробнее
07-01-2021 дата публикации

APPARATUS AND METHOD FOR TREATING SUBSTRATE

Номер: US20210005434A1
Автор: Kim Daehyun
Принадлежит: SEMES CO., LTD.

A substrate treating apparatus includes a chamber having a treatment space therein, a substrate support unit that supports a substrate in the treatment space, a gas supply unit that supplies a gas into the treatment space, and a plasma generation unit including an RF power supply that applies RF power, wherein the plasma generation unit generates plasma from the gas using the RF power. The substrate support unit includes a support plate that supports the substrate and a heating unit that controls temperature of the substrate. The heating unit includes a heating member, a heater power supply that applies power to the heating member, and a filter unit that prevents coupling of the heating member and the RF power supply. The filter unit includes a filter that interrupts the RF power supplied from the RF power supply and a filter control unit that prevents degradation in performance of the filter. 1. An apparatus for treating a substrate , the apparatus comprising:a chamber having a treatment space therein;a substrate support unit configured to support the substrate in the treatment space;a gas supply unit configured to supply a gas into the treatment space; anda plasma generation unit including an RF power supply configured to apply RF power, the plasma generation unit being configured to generate plasma from the gas by using the RF power,wherein the substrate support unit includes:a support plate configured to support the substrate; anda heating unit configured to control temperature of the substrate,wherein the heating unit includes:a heating member;a heater power supply configured to apply power to the heating member; anda filter unit configured to prevent coupling of the heating member and the RF power supply, andwherein the filter unit includes:a filter configured to interrupt the RF power supplied from the RF power supply; anda filter control unit configured to prevent degradation in performance of the filter.2. The apparatus of claim 1 , wherein the filter ...

Подробнее
07-01-2021 дата публикации

ELECTROSTATIC CHUCKS WITH COOLANT GAS ZONES AND CORRESPONDING GROOVE AND MONOPOLAR ELECTROSTATIC CLAMPING ELECTRODE PATTERNS

Номер: US20210005494A1
Принадлежит:

An electrostatic chuck for a substrate processing system is provided and includes a baseplate, an intermediate layer disposed on the baseplate, and a top plate. The top plate is bonded to the baseplate via the intermediate layer and is configured to electrostatically clamp to a substrate. The top plate includes a monopolar clamping electrode and seals. The monopolar clamping electrode includes a groove opening pattern with coolant gas groove opening sets. The seals separate coolant gas zones. The coolant gas zones include four or more coolant gas zones. Each of the coolant gas zones includes distinct coolant gas groove sets. The top plate includes the distinct coolant gas groove sets. Each of the distinct coolant gas groove sets has one or more coolant gas supply holes and corresponds to a respective one of the coolant gas groove opening sets. 1. A top plate of an electrostatic chuck for a substrate processing system , the top plate bonded to a baseplate of the electrostatic chuck via an intermediate layer disposed on the baseplate , the top plate comprising:a monopolar clamping electrode disposed in the top plate, wherein the monopolar clamping electrode comprises a groove opening pattern with a plurality of coolant gas groove opening sets; anda plurality of seals disposed on the top plate and separating a plurality of coolant gas zones, the plurality of coolant gas zones comprise four or more coolant gas zones,', 'each of the plurality of coolant gas zones includes a plurality of distinct coolant gas groove sets, wherein the top plate comprises the plurality of distinct coolant gas groove sets, and', 'each of the plurality of distinct coolant gas groove sets has one or more coolant gas supply holes and corresponds to a respective one of the plurality of coolant gas groove opening sets., 'wherein'}2. The top plate of claim 1 , wherein the plurality of coolant gas groove opening sets are disposed in one or more layers of the top plate and on a layer of the monopolar ...

Подробнее
02-01-2020 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20200006079A1
Принадлежит:

An plasma etching method for etching a film layer includes a plurality of times repeating a step set including a first step of introducing a gas containing hydrogen fluoride into a processing chamber and supplying hydrogen fluoride molecules to the surface of an oxide film, a second step of exhausting the interior of the processing chamber in vacuum to remove the hydrogen fluoride, and a third step of introducing a gas containing hydrogen nitride into the processing chamber and supplying hydrogen nitride to the surface of the oxide film to form a compound layer containing nitrogen, hydrogen, and fluorine on the surface of the film layer, and removing the compound layer formed on the surface of the film layer. Foreign object contamination is prevented by inhibiting mixing of hydrogen fluoride gas and hydrogen nitride gas, and the etching amount is controlled by the number of times of repeating application thereof. 1. An plasma etching method for etching a film layer of an object to be processed disposed in a processing chamber inside a vacuum container and is made of a member containing silicon , the plasma etching method comprising:forming a film by repeating a set of steps a plurality of times, the set of steps includinga first step of introducing a gas containing at least hydrogen fluoride into the processing chamber and supplying hydrogen fluoride molecules to a surface of the film layer of the object to be processed,a second step of exhausting the interior of the processing chamber to remove the gas containing hydrogen fluoride, anda third step of supplying hydrogen nitride molecules to the surface of the film layer of the object to be processed to form a compound layer containing nitrogen, hydrogen, and fluorine on the surface of the film layer; andremoving the compound layer formed on the surface of the film layer to be processed after the forming of the film.2. The plasma etching method according to claim 1 , whereinthe third step includes introducing a gas ...

Подробнее
02-01-2020 дата публикации

ELECTROSTATIC CHUCK SIDEWALL GAS CURTAIN

Номер: US20200006109A1

The present disclosure describes an apparatus. The apparatus includes a chuck for placing an object thereon, a gas passage extending along a periphery of an outer sidewall of the chuck and separating the chuck into an inner portion and a sidewall portion, and a plurality of gas holes through the sidewall portion and configured to connect a gas external to the chuck to the gas passage. 1. An apparatus , comprising:a chuck for placing an object thereon;a gas passage extending along a periphery of an outer sidewall of the chuck and dividing the chuck into an inner portion and a sidewall portion; anda plurality of gas holes through the sidewall portion and configured to connect the gas passage to a gas external to the chuck.2. The apparatus of claim 1 , wherein the gas passage surrounds the inner portion from a plurality of directions.3. The apparatus of claim 1 , further comprising an inlet connected to the gas passage and configured to receive an inert gas source.4. The apparatus of claim 1 , wherein a height of the gas passage is substantially equal to or less than a height of the chuck.5. The apparatus of claim 1 , wherein a diameter of the plurality of gas holes is in a range of about 0.05 mm to about 0.5 mm.6. The apparatus of claim 5 , wherein the diameter is about 0.1 mm.7. The apparatus of claim 1 , wherein the plurality of gas holes are distributed uniformly on the sidewall portion.8. The apparatus of claim 1 , wherein the sidewall portion comprises a vertical sidewall portion claim 1 , and wherein a first one or more of the plurality of gas holes in the vertical sidewall portion have a first exit direction.9. The apparatus of claim 8 , wherein the sidewall portion of the chuck further comprises a horizontal sidewall portion claim 8 , and wherein a second one or more of the plurality of gas holes in the horizontal sidewall portion have a second exit direction different from the first exit direction.10. The apparatus of claim 9 , wherein the first and second ...

Подробнее
03-01-2019 дата публикации

Plasma Processing Apparatus

Номер: US20190006156A1
Принадлежит:

A plasma processing apparatus includes an electrostatic chuck configured to adsorb and hold a wafer, a focus ring disposed to surround an upper edge of the electrostatic chuck, an insulating tube disposed to cover a side surface of the electrostatic chuck, and a conductive tube disposed to cover the insulating tube. 1. A plasma processing apparatus comprising:an electrostatic chuck configured to adsorb and hold a wafer;a focus ring disposed to surround an upper edge of the electrostatic chuck;an insulating tube disposed to cover a side surface of the electrostatic chuck; anda conductive tube disposed to cover the insulating tube,whereinthe focus ring is disposed to extend over an electrostatic depression depressed in a ring shape at an edge of the electrostatic chuck, an upper end of the insulating tube, and an upper end of the conductive tube,the focus ring includes an external ring which is formed of an insulator and an internal conductive ring which is buried in the external ring,the external ring includes a first external ring which has a first height, a second external ring which has the same bottom surface as the first external ring and gradually increases in height to have an inclined surface, and a third external ring which has the same bottom surface as the second external ring and has a second height,the internal conductive ring includes a first internal conductive ring which is buried in the first external ring and extends flat, a second internal conductive ring which is continuously connected to the first internal conductive ring to extend to be inclined and is buried in the second external ring, and a third internal conductive ring which is continuously connected to the second internal conductive ring, extends flat, and is buried in the third external ring,the internal conductive ring is capacitively coupled to RF power applied to the electrostatic chuck to adjust a voltage structure of a sheath of plasma which is in contact with the focus ring,the ...

Подробнее
03-01-2019 дата публикации

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер: US20190006186A1
Принадлежит:

A shape of a hole can be improved. The plasma etching method includes a recess forming of forming a recess having a depth smaller than a thickness of a silicon oxide film by etching the silicon oxide film by plasma; a removing process of removing a reaction product adhering to the recess by plasma generated from a fluorocarbon gas; and a penetrating process of forming a hole penetrating the silicon oxide film by etching the recess, from which the reaction product is removed, by plasma. 1. A plasma etching method , comprising:a recess forming process of forming a recess having a depth smaller than a thickness of a silicon oxide film by etching the silicon oxide film by plasma;a removing process of removing a reaction product adhering to the recess by plasma generated from a fluorocarbon gas; anda penetrating process of forming a hole penetrating the silicon oxide film by etching the recess, from which the reaction product is removed, by plasma.2. The plasma etching method of claim 1 ,wherein the recess forming process and the removing process are alternately repeated multiple times until a depth of the recess reaches a preset depth, andin the penetrating process, when the depth of the recess has reached the preset depth, the hole is formed by etching the recess, from which the reaction product is removed, by the plasma.3. The plasma etching method of claim 1 ,wherein the silicon oxide film is included in a multilayered film in which a silicon oxide film and a silicon nitride film are alternately stacked on top of each other.4. The plasma etching method of claim 3 , further comprising:a first extending process of extending the hole by etching, with plasma, a silicon nitride film exposed through the hole penetrating the silicon oxide film and a silicon oxide film formed under the silicon nitride film, anda second extending process of further extending the hole by etching, with plasma, a silicon nitride film exposed through the extended hole and a silicon oxide film ...

Подробнее
10-01-2019 дата публикации

ELECTROSTATIC CHUCKING OF COVER GLASS SUBSTRATES IN A VACUUM COATING PROCESS

Номер: US20190010603A1
Принадлежит: CORNING INCORPORATED

A electrostatic chucking apparatus and method for coating mobile device 2D or 3D cover glass in a vacuum coating chamber having a rotating drum and which is driven in rotation. The apparatus includes a carrier including a liquid-cooled cold plate which is removably mountable to the rotating drum. In the case of 3D cover glass, the carrier includes a portion with a 3D profile to match a 3D profile of the 3D cover glass. The carrier further includes an electrostatic chuck (ESC) adapted to secure the cover glass in place against the carrier in the face of centrifugal forces caused by rotation of the rotating drum, with the ESC developing a sufficient clamping force for reliably securing the cover glass in place. 1. A chucking apparatus for coating mobile device 3D cover glass in a vacuum coating chamber having a rotating drum and which is driven in rotation , the apparatus comprising:a carrier including a liquid-cooled cold plate and being removably mountable to the rotating drum;the carrier including a portion with a 3D profile to match a 3D profile of the 3D cover glass; andthe carrier further including an electrostatic chuck (ESC) adapted to secure the 3D cover glass in place against the 3D profile of the carrier in the face of centrifugal forces caused by rotation of the rotating drum in excess of 100 rpm, the ESC developing a sufficient clamping force for reliably securing the cover glass in place.2. (canceled)3. A chucking apparatus as claimed in wherein the ESC develops a clamping force which is a multiple of the centrifugal forces caused by rotation of the rotating drum.4. A chucking apparatus as claimed in wherein the ESC develops a clamping force which is at least three times the centrifugal forces caused by rotation of the rotating drum.5. A chucking apparatus as claimed in wherein the cover glass is curved cover glass for hand-held devices and wherein the chucking apparatus further includes a curved adapter mounted between the ESC and the cold plate to ...

Подробнее
27-01-2022 дата публикации

DIRECTIONAL DEPOSITION IN ETCH CHAMBER

Номер: US20220028697A1
Принадлежит:

Methods for forming a vertical growth mask for use in etching applications are described herein. Disclosed embodiments include introducing a tungsten-containing deposition precursor and one or more carrier gases while igniting a plasma to deposit tungsten selectively on field regions of positive features of a patterned etch mask without substantial deposition on sidewalls of the positive features or on an exposed surface of a target layer underlying the patterned etch mask. 1. A method comprising:providing a semiconductor substrate having a patterned etch mask over a target layer, the patterned etch mask comprising spaced apart positive features, each spaced apart positive feature having a field region and sidewalls; anddepositing a vertical growth mask selectively on the field region of the spaced apart positive features relative to the target layer.2. The method of claim 1 , wherein the vertical growth mask includes at least one feature; and wherein critical dimension of the at least one feature of the vertical growth mask is substantially the same as critical dimension of a corresponding spaced apart positive feature of the patterned etch mask.3. The method of claim 1 , further comprising etching the target layer using both the patterned etch mask and the vertical growth mask as a mask.4. The method of claim 3 , wherein the depositing of the vertical growth mask and the etching of the target layer are performed simultaneously.5. The method of claim 1 , wherein size of spaces between spaced apart positive features of the vertical growth mask are substantially the same as size of spaces between the spaced apart positive features of the patterned etch mask.6. A method comprising:providing a semiconductor substrate having a patterned etch mask over a target layer, the patterned etch mask having spaced apart positive features, each spaced apart positive feature having a first critical dimension and a field region and sidewalls, anddepositing a mask on the field region ...

Подробнее
09-01-2020 дата публикации

HIGH IMPEDANCE RF FILTER FOR HEATER WITH IMPEDANCE TUNING DEVICE

Номер: US20200010957A1
Принадлежит:

Embodiments provide a plasma processing apparatus, substrate support assembly, and method of controlling a plasma process. The apparatus and substrate support assembly include a substrate support pedestal, a tuning assembly that includes a tuning electrode that is disposed in the pedestal and electrically coupled to a radio frequency (RF) tuner, and a heating assembly that includes one or more heating elements disposed within the pedestal for controlling a temperature profile of the substrate, where at least one of the heating elements is electrically coupled to an RF filter circuit that includes a first inductor configured in parallel with a formed capacitance of the first inductor to ground. The high impedance of the RF filters can be achieved by tuning the resonance of the RF filter circuit, which results in less RF leakage and better substrate processing results. 1. A method of processing a substrate , comprising:generating a radio frequency (RF) plasma in a process volume of a processing chamber;controlling an impedance of a tuning circuit, wherein controlling the impedance includes minimizing the impedance of a tuning electrode disposed within a substrate supporting pedestal to ground; andreducing RF coupling between a heater element disposed within the substrate supporting pedestal and the generated RF plasma, wherein the reducing the RF coupling comprises electrically coupling an RF filter circuit that comprises a first inductor in parallel with a first capacitance to the heater element.2. The method of claim 1 , wherein the RF filter circuit is disposed within a grounded enclosure.3. The method of claim 2 , further comprising:positioning the first inductor relative to a grounded surface of the grounded enclosure to form the first capacitance.4. The method of claim 1 , wherein minimizing the impedance of the tuning electrode comprises adjusting a variable capacitor configured in parallel with a second inductor.5. The method of claim 4 , wherein the variable ...

Подробнее
12-01-2017 дата публикации

Plasma processing device

Номер: US20170011890A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing device that includes a processing chamber which is disposed in a vacuum vessel and is decompressed internally, a sample stage which is disposed in the processing chamber and on which a sample of a process target is disposed and held, and a plasma formation unit which forms plasma using process gas and processes the sample using the plasma, and the plasma processing device includes: a dielectric film which is disposed on a metallic base configuring the sample stage and connected to a ground and includes a film-like electrode supplied with high-frequency power internally; a plurality of elements which are disposed in a space in the base and have a heat generation or cooling function; and a feeding path which supplies power to the plurality of elements, wherein a filter to suppress a high frequency is not provided on the feeding path.

Подробнее
14-01-2016 дата публикации

PLASMA PROCESSING APPARATUS AND UPPER ELECTRODE ASSEMBLY

Номер: US20160013028A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes supporting members, connecting members, a rotation member and fixing members. Each of the supporting members is partially disposed in a disc-shaped cooling plate and configured to support an upper electrode provided below the cooling plate. Each of the connecting members is partially disposed in the cooling plate and extends in a diametrical direction of the cooling plate to be engaged with the corresponding supporting member. The rotation member is provided to surround an outer periphery of the cooling plate and has recesses formed to face the cooling plate and engaged with the corresponding connecting members. Each of the fixing members is configured to lift and fix the upper electrode to the cooling plate by applying a torque to the corresponding connecting member. 1. A plasma processing apparatus comprising:supporting members, each of which is partially disposed in a disc-shaped cooling plate and configured to support an upper electrode provided below the cooling plate;connecting members, each of which is partially disposed in the cooling plate and extends in a diametrical direction of the cooling plate to be engaged with the corresponding supporting member;a rotation member provided to surround an outer periphery of the cooling plate, the rotation member having recesses formed to face the cooling plate and engaged with the corresponding connecting members; andfixing members, each of which is configured to lift and fix the upper electrode to the cooling plate by applying a torque to the corresponding connecting member.2. The plasma processing apparatus of claim 1 , wherein the supporting members claim 1 , the connecting members and the rotation member constitute cam mechanisms claim 1 , and the upper electrode claim 1 , the cooling plate and the rotation member are connected by the cam mechanisms.3. The plasma processing apparatus of claim 1 , wherein each of the fixing members is inserted into a hole that opens at a bottom ...

Подробнее
10-01-2019 дата публикации

TANTALUM-CONTAINING MATERIAL REMOVAL

Номер: US20190013211A1
Принадлежит: Applied Materials, Inc.

Methods are described herein for etching tantalum-containing films with various potential additives while still retaining other desirable patterned substrate portions. The methods include exposing a tantalum-containing film to a chlorine-containing precursor (e.g. Cl) with a concurrent plasma. The plasma-excited chlorine-containing precursor selectively etches the tantalum-containing film and other industrially-desirable additives. Chlorine is then removed from the substrate processing region. A hydrogen-containing precursor (e.g. H) is delivered to the substrate processing region (also with plasma excitation) to produce a relatively even and residue-free tantalum-containing surface. The methods presented remove tantalum while retaining materials elsewhere on the patterned substrate. 1. A method of etching tantalum-containing material from a substrate , the method comprising:placing the substrate into a substrate processing region, wherein the substrate comprises exposed tantalum-containing material;etching the tantalum-containing material by flowing a halogen-containing precursor into the substrate processing region while forming a halogen local plasma from the halogen-containing precursor and forming halogen-containing plasma effluents, wherein etching the tantalum-containing material further comprises accelerating the halogen-containing plasma effluents towards the substrate by biasing the halogen local plasma relative to the substrate, wherein etching the tantalum-containing material leaves a residue on a remaining portion of the substrate;removing the residue from the remaining portion of the substrate by flowing a hydrogen-containing precursor into the (same) substrate processing region while forming a hydrogen local plasma from the hydrogen-containing precursor to form hydrogen-containing plasma effluents, wherein flowing of the hydrogen-containing precursor occurs after flowing the halogen-containing precursor; andremoving the substrate from the substrate ...

Подробнее
10-01-2019 дата публикации

Electrostatic chuck, substrate processing apparatus, and method of manufacturing semiconductor device using the same

Номер: US20190013222A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An electrostatic chuck, a substrate processing apparatus, and a method of manufacturing a semiconductor device are provided. The electrostatic chuck comprises a chuck base, an insulation plate on the chuck base, a first heater comprising a cell heater in the insulation plate, and a heater controller configured to control the cell heater. The heater controller obtains a resistance of the cell heater and compares the resistance with a threshold value to control a heating power provided to the cell heater.

Подробнее
10-01-2019 дата публикации

METHOD OF MANUFACTURING ELECTROSTATIC CHUCK AND ELECTROSTSATIC CHUCK

Номер: US20190013230A1
Принадлежит: TOKYO ELECTRON LIMITED

Disclosed is a method of manufacturing an electrostatic chuck configured to attract a substrate by applying a voltage to a first electrode layer. The method includes forming the first electrode layer on a first resin layer on a base and thermally spraying ceramics or a ceramics-containing material on the first electrode layer. The thermally spraying the ceramic or the ceramics-containing material includes transporting powder of a thermal spray material, introduced into a nozzle from a feeder, by a plasma generation gas and spraying the powder from an opening in a tip end portion of the nozzle, dissociating the sprayed plasma generation gas by electric power of 500 W to 10 kW to generate plasma having a common axis with the nozzle, and forming the powder of the thermal spray material into a liquid phase by the generated plasma to form a film on the first electrode layer. 1. A method of manufacturing an electrostatic chuck configured to attract a substrate by applying a voltage to a first electrode layer , the method comprising:forming the first electrode layer on a first resin layer on a base; andthermally spraying ceramics or a ceramics-containing material on the first electrode layer, transporting powder of a thermal spray material, introduced into a nozzle from a feeder, by a plasma generation gas, and spraying the powder from an opening in a tip end portion of the nozzle;', 'dissociating the sprayed plasma generation gas by electric power of 500 W to 10 kW to generate plasma having a common axis with the nozzle; and', 'forming the powder of the thermal spray material into a liquid phase by the generated plasma to form a film on the first electrode layer., 'wherein the thermally spraying the ceramic or the ceramics-containing material includes2. The method of claim 1 , wherein the thermal spray material is the ceramics or a composite material in which a metal is added to the ceramics.3. The method of claim 2 , wherein the thermal spray material is any one of AlO ...

Подробнее
10-01-2019 дата публикации

METHOD AND APPARATUS FOR PLASMA DICING A SEMI-CONDUCTOR WAFER

Номер: US20190013243A1
Принадлежит: Plasma-Therm LLC

The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma. 1. A method for plasma dicing a substrate , the method comprising:providing a transfer arm;providing a process chamber having a wall;providing a plasma source adjacent to the wall of the process chamber;providing a work piece support within the process chamber;providing a lifting mechanism within the work piece support;placing the substrate onto a support film on a frame to form the work piece, the work piece having an underside;placing the work piece onto the transfer arm;transferring the work piece into the process chamber onto the lifting mechanism using the transfer arm, the lifting mechanism contacting the underside of the work piece;generating a plasma through the plasma source; andetching the work piece through the generated plasma.2. The method according to claim 1 , wherein the transfer arm maintaining the frame coplanar to the substrate during the transferring step.3. The method according to wherein the lifting mechanism does not make point contact with the substrate of the work piece.4. The method according to wherein the lifting mechanism lifting the work piece by only engaging the frame of the work piece.5. The method according to wherein the transfer arm does not support the substrate of the work piece alone.6. The method according to wherein the transfer arm supports the frame of the work piece alone.7. The method according to wherein the transfer arm supports both the tape and the frame of the work ...

Подробнее
14-01-2021 дата публикации

PROCESS KIT WITH ADJUSTABLE TUNING RING FOR EDGE UNIFORMITY CONTROL

Номер: US20210013014A1
Принадлежит:

Process kits, processing chambers, and methods for processing a substrate are provided. The process kit includes an edge ring, a sliding ring, an adjustable tuning ring, and an actuating mechanism. The edge ring has a first ring component interfaced with a second ring component that is movable relative to the first ring component forming a gap therebetween. The sliding ring is positioned beneath the second ring component of the edge ring. The adjustable tuning ring is positioned beneath the sliding ring. The actuating mechanism is interfaced with the lower surface of the adjustable tuning ring and configured to actuate the adjustable tuning ring such that the gap between the first and second ring components is varied. In one or more examples, the sliding ring includes a matrix and a coating, the matrix contains an electrically conductive material and the coating contains an electrically insulting material. 1. A process kit for a substrate processing chamber , comprising:an edge ring having a first ring component and a second ring component, the first ring component interfaced with the second ring component such that the second ring component is movable relative to the first ring component forming a gap therebetween, and the second ring component having an upper surface and a lower surface;a sliding ring positioned beneath the second ring component, the sliding ring having an upper surface and a lower surface, and the upper surface of the sliding ring contacting the lower surface of the second ring component;an adjustable tuning ring positioned beneath the sliding ring, the adjustable tuning ring having an upper surface and a lower surface, and the upper surface of the adjustable tuning ring contacting the lower surface of the sliding ring;a cover ring at least partially adjacent to the second ring component, and wherein the cover ring is completely positioned radially outward of the adjustable tuning ring;a sleeve at least partially positioned beneath the cover ring ...

Подробнее
14-01-2021 дата публикации

Apparatus and method for processing substrate

Номер: US20210013049A1
Принадлежит: Semes Co Ltd

An apparatus and method for processing a substrate using plasma, which has high plasma stability and process reproducibility, is provided. The method includes providing an apparatus for processing a substrate comprising a plasma generating region and a process region separate from the plasma generating region, placing the substrate including a silicon layer and an oxide layer in the process region, forming a hydrogen atmosphere in the process region by providing a hydrogen-based gas to the process region without passing through the plasma generating region, generating plasma by providing a fluorine-based gas to the plasma generating region, and providing the generated plasma to the process region to selectively remove the silicon layer compared to the oxide layer.

Подробнее
09-01-2020 дата публикации

SEMICONDUCTOR PROCESSING APPARATUS FOR HIGH RF POWER PROCESS

Номер: US20200013586A1
Принадлежит:

In some embodiments, the semiconductor process apparatus comprises a conductive support comprising mesh, a conductive shaft comprising a conductive rod, and a plurality of connection elements. The plurality of connection elements are coupled to the mesh in parallel and are connected to the rod at a single junction. The plurality of connection elements help spread RF current, reducing localized heating in the substrate, resulting in a more uniform film deposition. Additionally, using connection elements that are merged and coupled to a single RF rod allow for the rod to be made of materials that can conduct RF current at lower temperatures. 1. A semiconductor processing apparatus , comprising:a thermally conductive substrate support comprising a mesh;a thermally conductive shaft comprising a conductive rod; and a plurality of connection elements that each include a first end and a second end, wherein the first ends of each of the plurality of connection elements are coupled to a different portion of the conductive mesh; and', 'a conductive plate, wherein the conductive plate is coupled to each of the second ends of the plurality of connection elements and a first end of the conductive rod., 'a connection assembly that is configured to electrically couple the conductive rod to the mesh, wherein the connection assembly comprises2. The semiconductor processing apparatus of claim 1 , wherein a sum of an electrical conduction area of each of the plurality of connection elements is at least greater than an electrical conduction area of the conductive rod claim 1 , wherein the electrical conduction area in each of the plurality of connection elements and in the conductive rod is determined based on a delivery of an RF frequency current from a power source.3. The semiconductor processing apparatus of claim 1 , further comprising a RF generator that is coupled to a second end of the conductive rod.4. The semiconductor processing apparatus of claim 3 , wherein a current ...

Подробнее
09-01-2020 дата публикации

Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens

Номер: US20200013591A1
Принадлежит:

A device and method of spreading plasma which allows for plasma etching over a larger range of process chamber pressures. A plasma source, such as a linear inductive plasma source, may be choked to alter back pressure within the plasma source. The plasma may then be spread around a deflecting disc which spreads the plasma under a dome which then allows for very even plasma etch rates across the surface of a substrate. The apparatus may include a linear inductive plasma source above a plasma spreading portion which spreads plasma across a horizontally configured wafer or other substrate. The substrate support may include heating elements adapted to enhance the etching. 1. A multi-chamber plasma etching system comprising: a plasma source, said plasma source comprising a first end and a second end, said first end comprising a gas input portion, said plasma source coupled to a process chamber at a second end;', 'a process chamber, said process chamber comprising a chamber door;', 'a constricting plate adapted to constrict the flow of plasma from said plasma source, said constricting plate at said second end of said plasma source;', 'a spreading disc, said spreading disc adapted to spread the flow of plasma after the plasma has flowed through said constricting plate, said spreading disc disposed between said constricting plate and the substrate support;', 'a substrate support, said support adapted to support a substrate in the spread plasma flow, said substrate support residing within said process chamber;, 'a plurality of plasma etching chambers, each of said plasma etching chambers comprisinga robot module, said robot module comprising a robotic arm, said robot module positioned adjacent to said plurality of plasma etching chambers such that said robotic arm can reach the chamber door of said process chambers of said plasma etching chambers.2. The multi-chamber plasma etching system of further comprising one or more wafer cassettes claim 1 , said one or more wafer ...

Подробнее
14-01-2021 дата публикации

APPARATUS FOR AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер: US20210013410A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

An apparatus of fabricating a semiconductor device may include a chamber including a housing and a slit valve used to open or close a portion of the housing, a heater chuck provided in a lower region of the housing and used to heat a substrate, a target provided over the heater chuck, a plasma electrode provided in an upper region of the housing and used to generate plasma on the target, a heat-dissipation shield surrounding the inner wall of the housing between the plasma electrode and the heater chuck, and an edge heating structure provided between the heat-dissipation shield and the inner wall of the housing and configured to heat the heat-dissipation shield and an edge region of the substrate and to reduce a difference in temperature between center and edge regions of the substrate. 120.-. (canceled)21. A method of fabricating a semiconductor device , comprising:providing a substrate on a heater chuck in a chamber including a housing and a slit valve, wherein the slit valve is configure to open or close a portion of the housing;heating the substrate using the heater chuck; anddepositing a phase transition layer on the substrate by a physical vapor deposition method using a heat-dissipation shield between the housing and the heater chuck, and an edge heating structure between the heat-dissipation shield and the housing, an upper lamp provided above a level of a top surface of the slit valve, and', 'a lower lamp provided below a level of a bottom surface of the slit valve, and, 'wherein the edge heating structure compriseswherein the heat-dissipation shield includes a tube shield, which extends from a top portion of the housing to a region below the heater chuck and has a first opening adjacent to the slit valve.22. The method of claim 21 , wherein the heater chuck is rotated by a shaft in a bottom of the housing claim 21 , and the heat-dissipation shield further comprises a first sector shield configured to open or close the first opening.23. The method of claim ...

Подробнее
21-01-2016 дата публикации

Methods for depositing silicon oxide

Номер: US20160020092A1
Принадлежит: Lam Research Corp

The embodiments herein focus on plasma enhanced atomic layer deposition (PEALD) processes. Conventional PEALD techniques result in films having high quality at the bottom and top of a feature, but low quality on the sidewalls. The disclosed embodiments achieve more uniform film quality as evidenced by more uniform wet etch rates and electrical properties throughout the film. The disclosed embodiments may use one or more of a relatively high deposition temperature, a relatively high RF power for generating the plasma, and/or relatively long RF plasma exposure duration during each cycle of the PEALD reaction.

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037127A1
Принадлежит:

To provide a plasma processing apparatus or a plasma processing method capable of improving a reliability or a yield. The plasma processing apparatus includes: a sample stage that is disposed inside a processing chamber disposed inside a vacuum container and on which a wafer is placed; a ring-shaped electrode made of a conductor, which is disposed on an outer peripheral side of the upper surface of the sample stage so as to surround the sample stage and to which radio frequency power is supplied; a dielectric cover that is placed above the ring-shaped electrode and covers the ring-shaped electrode; a rod-shaped member that is suspended and disposed in a through hole disposed on an outer peripheral side portion of the base material having a disc or cylindrical shape and forming the sample stage, and has a connector portion on an upper end portion of the rod-shaped member connected to the ring-shaped electrode and positioned at the ring-shaped electrode; a beam-shaped member that is disposed below the sample stage below the through hole with a gap therebetween and extends in a horizontal direction, whose one end is connected to a lower end portion of the rod-shaped member and the other end is positioned with respect to the sample stage, and whose the other end urges the rod-shaped member upward with respect to the ring-shaped electrode; and a radio frequency power source that is connected to the rod-shaped member via a power supply path and supplies the radio frequency power to the ring-shaped electrode. 1. A plasma processing apparatus , comprising:a processing chamber that is disposed inside a vacuum container;a sample stage that is disposed inside the processing chamber and on an upper surface of which a wafer to be processed is placed;a ring-shaped electrode made of a conductor, which is disposed on an outer peripheral side of the upper surface of the sample stage so as to surround the sample stage and to which radio frequency power is supplied;a dielectric cover ...

Подробнее
16-01-2020 дата публикации

DIELECTRIC GAPFILL USING ATOMIC LAYER DEPOSITION (ALD), INHIBITOR PLASMA AND ETCHING

Номер: US20200017967A1
Принадлежит:

A method for performing gapfill of features of a substrate including a) arranging a substrate on a substrate support in a processing chamber; b) performing atomic layer deposition (ALD) to deposit film in a feature of the substrate; c) supplying an inhibitor plasma gas to the processing chamber and striking plasma in the processing chamber to inhibit deposition in upper portions of the feature as compared to lower portions of the feature; d) repeating b) N times, where N is an integer greater than one, and repeating c) M of the N times where M is an integer greater than zero and less than or equal to N; e) supplying an etch gas to the processing chamber to etch the film in the feature of the substrate; and f) repeating b) to d) one or more times to gapfill the feature of the substrate. 1. A method for performing gapfill of features of a substrate , comprising:a) arranging a substrate on a substrate support in a processing chamber;b) performing atomic layer deposition (ALD) to deposit film in a feature of the substrate;c) supplying an inhibitor plasma gas to the processing chamber and striking plasma in the processing chamber to inhibit deposition in upper portions of the feature as compared to lower portions of the feature;d) repeating b) N times, where N is an integer greater than one;e) supplying an etch gas to the processing chamber to etch the film in the feature of the substrate; andf) repeating b) to e) one or more times.2. The method of claim 1 , wherein d) further includes repeating c) during M of the N times claim 1 , where M is an integer that is greater than zero and less than or equal to N.3. The method of claim 1 , wherein b) includes:supplying precursor gas to the processing chamber for a first predetermined period;evacuating the precursor gas from the processing chamber;supplying reactant gas to the processing chamber for a second predetermined period; andevacuating the reactant gas from the processing chamber.4. The method of claim 1 , further ...

Подробнее
03-02-2022 дата публикации

STAGE AND PLASMA PROCESSING APPARATUS

Номер: US20220037131A1
Автор: SAKANE Ryota
Принадлежит:

A stage for mounting a substrate thereon, includes: an electrostatic chuck configured to attract the substrate; a base having a first region on which the electrostatic chuck is supported and a second region on which an edge ring arranged around the substrate is supported, the first region and the second region being divided by a groove extending in an annular shape; and a shield provided in the groove and configured to thermally separate the first region and the second region. 1. A stage for mounting a substrate thereon , the stage comprising:an electrostatic chuck configured to attract the substrate;a base having a first region on which the electrostatic chuck is supported and a second region on which an edge ring arranged around the substrate is supported, the first region and the second region being divided by a groove extending in an annular shape; anda shield provided in the groove and configured to thermally separate the first region and the second region.2. The stage of claim 1 , wherein the base is provided with a flow path through which a refrigerant flows.3. The stage of claim 2 , wherein a heater is provided in the first region.4. The stage of claim 3 , wherein the shield is formed by extending the electrostatic chuck to a side surface of the base in the groove.5. The stage of claim 4 , wherein the shield includes a heater provided in an extended portion extending to the side surface of the base in the groove.6. The stage of claim 1 , wherein a heater is provided in the first region.7. The stage of claim 1 , wherein the shield is formed by extending the electrostatic chuck to a side surface of the base in the groove.8. The stage of claim 1 , wherein the shield is formed in a cylindrical shape to protect side surfaces of the electrostatic chuck and the base facing the groove.9. The stage of claim 1 , wherein the shield is an elastic member formed in an annular shape and is configured to protect side surfaces of the electrostatic chuck and the base facing ...

Подробнее
03-02-2022 дата публикации

Plasma-assisted etching of metal oxides

Номер: US20220037163A1

The present disclosure describes methods and systems for plasma-assisted etching of a metal oxide. The method includes modifying a surface of the metal oxide with a first gas, removing a top portion of the metal oxide by a ligand exchange reaction, and cleaning the surface of the metal oxide with a second gas.

Подробнее
03-02-2022 дата публикации

Progressive heating of components of substrate processing systems using tcr element-based heaters

Номер: US20220037170A1
Принадлежит: Lam Research Corp

A heater control system for a gas delivery system of a substrate processing system includes an oven, N resistive uninsulated heaters arranged inside of the oven, where N is an integer greater than one, and a controller. The oven encloses one or more components of the substrate processing system and to maintain a predetermined temperature in the oven. Each of the N resistive heaters selectively heats at least a portion of one of the components in the oven. The controller is configured to maintain the predetermined temperature in localized regions in the oven by determining a resistance in each of the N resistive heaters and adjusting power to each of the N resistive heaters based on N-1 resistance ratios of N-1 of the N resistive heaters relative to one of the N resistive heaters.

Подробнее
18-01-2018 дата публикации

SUBSTRATE PROCESSING CHAMBER COMPONENT ASSEMBLY WITH PLASMA RESISTANT SEAL

Номер: US20180019104A1
Принадлежит:

Embodiments disclosed herein relate to a substrate processing chamber component assembly with plasma resistant seal. In one embodiment, the semiconductor processing chamber component assembly includes a first semiconductor processing chamber component, a second semiconductor processing component, and a sealing member. The sealing member has a body formed substantially from polytetrafluoroethylene (PTFE). The sealing member provides a seal between the first and second semiconductor processing chamber components. The body includes a first surface, a second surface, a first sealing surface, and a second sealing surface. The first surface is configured for exposure to a plasma processing region. The second surface is opposite the first surface. The first sealing surface and the second sealing surface extend between the first surface and the second surface. The first sealing surface contacts the first semiconductor processing chamber component. The second sealing surface contacts the second semiconductor processing chamber component. 1. A semiconductor processing chamber component assembly , comprising:a first semiconductor processing chamber component;a second semiconductor processing chamber component; and a first surface configured for exposure to a plasma processing region;', 'a second surface opposite the first side;', 'a first sealing surface extending between the first side and the second side, the first sealing surface contacting the first semiconductor processing chamber component; and', 'a second sealing surface extending between the first side and the second side, the second sealing surface contacting the second semiconductor processing chamber component., 'a sealing member having a body formed substantially from polytetrafluoroethylene (PTFE) and providing a seal between the first and second semiconductor processing chamber components, the body comprising2. The semiconductor processing chamber component assembly of claim 1 , wherein the body includes a first ...

Подробнее
17-01-2019 дата публикации

THERMAL SPRAYING METHOD OF COMPONENT FOR PLASMA PROCESSING APPARATUS AND COMPONENT FOR PLASMA PROCESSING APPARATUS

Номер: US20190019654A1
Автор: KOBAYASHI Yoshiyuki
Принадлежит:

There is provision of a thermal spraying method of a component for a plasma processing apparatus performed by a plasma spraying apparatus including a nozzle and a plasma generating unit having a common axis with the nozzle. The method includes a step of injecting, with a plasma generating gas, feedstock powder having a particle diameter of 15 μm or less from a tip of the nozzle to the plasma generating unit, a step of generating a plasma from the plasma generating gas in the plasma generating unit, by using electric power not more than 50 kW, and a step of thermal spraying the feedstock powder liquefied by the plasma at the component through a mask, such that a surface of a resin layer of the component is covered with the feedstock powder. 1. A method of thermal spraying a component for a plasma processing apparatus performed by a plasma spraying apparatus including a nozzle and a plasma generating unit having a common axis with the nozzle , the method comprising:injecting, with a plasma generating gas, feedstock powder having a particle diameter of 15 μm or less from a tip of the nozzle to the plasma generating unit;generating a plasma from the plasma generating gas in the plasma generating unit, by using electric power not more than 50 kW; andthermal spraying the feedstock powder liquefied by the plasma at the component through a mask, such that a surface of a resin layer of the component is covered with the feedstock powder.2. The thermal spraying method according to claim 1 , wherein claim 1 , in the thermal spraying claim 1 , the liquefied feedstock powder is deposited at a width of 100 μm to 1000 μm.3. The thermal spraying method according to claim 1 , wherein claim 1 , in the thermal spraying claim 1 , the liquefied feedstock powder is deposited at a thickness of 5 μm to 20 μm.4. The thermal spraying method according to claim 1 , wherein the mask is a physical mask disposed to form an opening corresponding to a part or an entirety of the surface of the resin ...

Подробнее
17-01-2019 дата публикации

Heat transfer sheet and substrate processing apparatus

Номер: US20190019716A1
Автор: Ryo Sasaki
Принадлежит: Tokyo Electron Ltd

A heat transfer sheet formed of a plurality of layers provided between a mounting stage and a focus ring on an outer side of a substrate to be mounted on the mounting stage inside a plasma treatment apparatus, wherein the plurality of layers includes a heat insulating layer having thermal conductivity lower than thermal conductivity of the focus ring, and an adhesive layer having adhesiveness higher than adhesiveness of the heat insulating layer.

Подробнее
21-01-2021 дата публикации

PLASMA PROCESSING APPARATUS, PLASMA STATE DETECTION METHOD, AND PLASMA STATE DETECTION PROGRAM

Номер: US20210020418A1
Принадлежит:

A measurement part controls power supplied to a heater such that a temperature of the heater becomes constant by using a heater controller, and measures the supplied power in an unignited state in which plasma is not ignited and a transient state in which the power supplied to the heater decreases after plasma is ignited. A parameter calculator performs fitting on a calculation model, which includes a heat input amount from the plasma as a parameter, for calculating the power supplied in the transient state by using the power supplied in the unignited state and the transient state and measured by the measurement part, and calculates the heat input amount. An output part configured to output information based on the heat input amount calculated by the parameter calculator. 1. A plasma processing apparatus comprising:a stage provided with a heater configured to adjust a temperature of a mounting surface on which a workpiece as an object to be plasma-processed is placed;a heater controller configured to control power supplied to the heater such that the heater has a set temperature;a measurement part configured to control the power supplied to the heater such that a temperature of the heater becomes constant by using the heater controller, and configured to measure the supplied power in an unignited state in which plasma is not ignited and a transient state in which the power supplied to the heater decreases after plasma is ignited;a parameter calculator configured to perform fitting on a calculation model, which includes a heat input amount from the plasma as a parameter, for calculating the power supplied in the transient state by using the power supplied in the unignited state and the transient state and measured by the measurement part, and configured to calculate the heat input amount; andan output part configured to output information based on the heat input amount calculated by the parameter calculator.2. The plasma processing apparatus of claim 1 , wherein ...

Подробнее
21-01-2021 дата публикации

ETCHING METHOD, SEMICONDUCTOR MANUFACTURING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20210020450A1
Принадлежит: Kioxia Corporation

An etching method according to one embodiment, includes alternately switching a first step and a second step. The first step introduces a first gas containing a fluorine atom without supplying radiofrequency voltage to form a surface layer on a surface of a target cooled at a temperature equal to or lower than a liquefaction temperature of the first gas. The second step introduces a second gas gaseous at the first temperature and different from the first gas, and supplies the radiofrequency voltage, to generate plasma from the second gas to etch the target by sputtering using the plasma. 1. An etching method comprisingalternately switching a first step and a second step,the first step introducing a first gas containing a fluorine atom without supplying a radiofrequency voltage to form a surface layer on a surface of a target cooled at a temperature equal to or lower than the liquefaction temperature of the first gas; andthe second step introducing a second gas gaseous at the first temperature and different from the first gas, and supplying the radiofrequency voltage to generate plasma from the second gas and thus etch the target by sputtering using the plasma.2. The etching method according to claim 1 , further comprisingirradiating light toward the target to vaporize a residue of the surface layer after the alternate switch between the first and second steps.3. The etching method according to claim 1 ,wherein the first gas contains fluoride gas represented by{'sub': x', 'y', 'z, 'a composition formula CHF,'}where C denotes carbon, H denotes hydrogen, F denotes fluorine, x denotes an integer equal to or larger than 1, y denotes an integer equal to or larger than 0, and z denotes an integer equal to or larger than 2.4. The etching method according to claim 1 , further comprisingalternately switching a third step and a fourth step,the third step introducing the first gas without supplying a radiofrequency voltage, andthe fourth step introducing a third gas containing ...

Подробнее
21-01-2021 дата публикации

WAFER SUPPORT UNIT AND WAFER TREATMENT SYSTEM INCLUDING THE SAME

Номер: US20210020488A1
Принадлежит:

Provided are a wafer support unit, in which a dam is installed outside an O-ring to prevent the O-ring from being etched, and a wafer treatment system including the wafer support unit. The wafer treatment system includes: a housing; a shower head introduces a process gas for etching a wafer into the housing; and a support unit includes an electrostatic chuck on which the wafer is mounted, a base supporting the electrostatic chuck, and a focus ring installed on side surfaces of the electrostatic chuck, wherein the support unit includes: a fixing component which fixes the focus ring to the base; a sealing component which is disposed between the focus ring and the base to seal a circumference of a fastening component; and a dam component which is installed outside the sealing component to prevent the sealing component from being etched by the process gas. 1. A wafer treatment system comprising:a housing;a shower head which is installed on an upper side inside the housing and introduces a process gas for etching a wafer into the housing; anda support unit which is installed on a lower side inside the housing and comprises an electrostatic chuck on which the wafer is mounted, a base supporting the electrostatic chuck, and a focus ring installed on side surfaces of the electrostatic chuck, a fixing component which fixes the focus ring to the base;', 'a sealing component which seals a circumference of a fastening component for fastening the focus ring and the base to each other; and', 'a dam component which is installed outside the sealing component to prevent the sealing component from being etched by the process gas., 'wherein the support unit comprises2. The system of claim 1 , wherein the dam component is joined to at least one of the base and the focus ring and then installed outside the sealing component.3. The system of claim 1 , wherein the dam component is made of the same material as the base or made of an insulating material.4. The system of claim 1 , wherein ...

Подробнее
21-01-2021 дата публикации

ELECTROSTATIC CHUCK DEVICE AND PRODUCTION METHOD FOR ELECTROSTATIC CHUCK DEVICE

Номер: US20210020489A1
Принадлежит: Sumitomo Osaka Cement Co., Ltd.

The electrostatic chuck device includes: a base having one main surface serving as a mounting surface on which a plate-shaped sample is mounted; and an electrode for electrostatic attraction provided on a side opposite to the mounting surface in the base or in an interior of the base, in which the electrode for electrostatic attraction is made of a composite sintered body that includes a matrix phase having insulation properties and a dispersed phase having a lower volume resistivity value than the matrix phase, in any cross section of the composite sintered body, a region of the dispersed phase, which is surrounded by the matrix phase and is independent, includes aggregated portions having a maximum Feret diameter of 30 μm or more, and one or more of the aggregated portions are present in a range of 2500 μmin any cross section of the sintered body. 1. An electrostatic chuck device comprising:a base having one main surface serving as a mounting surface on which a plate-shaped sample is mounted; andan electrode for electrostatic attraction provided on a side opposite to the mounting surface in the base or in an interior of the base,wherein the electrode for electrostatic attraction is made of a composite sintered body that includes a matrix phase having insulation properties and a dispersed phase having a lower volume resistivity value than the matrix phase,in any cross section of the composite sintered body, a region of the dispersed phase, which is surrounded by the matrix phase and is independent, includes aggregated portions having a maximum Feret diameter of 30 μm or more, and{'sup': '2', 'one or more of the aggregated portions are present in a range of 2500 μmin any cross section of the composite sintered body.'}2. The electrostatic chuck device according to claim 1 , wherein the matrix phase includes aluminum oxide.3. The electrostatic chuck device according to claim 1 , wherein the dispersed phase includes molybdenum claim 1 , carbon claim 1 , and silicon.4. ...

Подробнее
22-01-2015 дата публикации

COOLED PIN LIFTER PADDLE FOR SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS

Номер: US20150024594A1
Принадлежит:

A semiconductor substrate processing apparatus includes a cooled pin lifter paddle for raising and lowering a semiconductor substrate. The semiconductor substrate processing apparatus comprises a processing chamber in which the semiconductor substrate is processed, a heated pedestal for supporting the semiconductor substrate in the processing chamber, and the cooled pin lifter paddle located below the pedestal. The cooled pin lifter paddle includes a heat shield and at least one flow passage in an outer peripheral portion thereof through which a coolant can be circulated to remove heat absorbed by the heat shield of the cooled pin lifter paddle. The cooled pin lifter paddle is vertically movable such that lift pins on an upper surface of the heat shield travel through corresponding holes in the pedestal and a source of coolant is in flow communication with the at least one flow passage. 1. A semiconductor substrate processing apparatus , comprising:a processing chamber in which a semiconductor substrate is processed;a heated pedestal for supporting the semiconductor substrate in the processing chamber; anda cooled pin lifter paddle located below the pedestal, the cooled pin lifter paddle including a heat shield and at least one flow passage in an outer peripheral portion thereof through which a coolant can be circulated to remove heat absorbed by the heat shield, the cooled pin lifter paddle being vertically movable such that lift pins on an upper surface of the heat shield travel through corresponding holes in the pedestal to raise and lower the semiconductor substrate;a source of coolant in fluid communication with the at least one flow passage.2. The semiconductor substrate processing apparatus of claim 1 , wherein the processing apparatus is:(a) a resist stripping chamber which includes a remote plasma source operable to generate a plasma and introduce reactive species into the resist stripping chamber;(b) a degas chamber which is operable to remove halogen- ...

Подробнее
26-01-2017 дата публикации

MULTI-ZONE TEMPERATURE CONTROL FOR SEMICONDUCTOR WAFER

Номер: US20170022611A9

An apparatus and a method for controlling critical dimension (CD) of a circuit is provided. An apparatus includes a controller for receiving CD measurements at respective locations in a circuit pattern in an etched film on a first substrate and a single wafer chamber for forming a second film of the film material on a second substrate. The single wafer chamber is responsive to a signal from the controller to locally adjust a thickness of the second film based on the measured CD's. A method provides for etching a circuit pattern of a film on a first substrate, measuring CD's of the circuit pattern, adjusting a single wafer chamber to form a second film on a second semiconductor substrate based on the measured CD. The second film thickness is locally adjusted based on the measured CD's. 1. An apparatus , comprising:a controller for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from a film comprising a film material on a first semiconductor substrate; anda single wafer chamber for forming a second film of the film material on a second semiconductor substrate, the single wafer chamber being responsive to a control signal from the controller to locally adjust a thickness of the second film based on the measurements of the CD.2. The apparatus of claim 1 , wherein the single wafer chamber has a platen that supports the second semiconductor substrate claim 1 , the platen having a plurality of independently controllable temperature zones.3. The apparatus of claim 2 , wherein each temperature zone of the platen has at least one heating element.4. The apparatus of claim 3 , wherein the processor is configured to control the heating elements toincrease heater power in a respective temperature zone of the substrate to increase the thickness of the second film locally, if the CD of the first film is less than a predetermined dimension, anddecrease the heater power in the respective temperature zone to ...

Подробнее
21-01-2021 дата публикации

MULTI-ZONE HEATER MODEL-BASED CONTROL IN SEMICONDUCTOR MANUFACTURING

Номер: US20210022212A1
Принадлежит:

A plurality of heating zones in a substrate support assembly in a chamber is independently controlled. Temperature feedback from a plurality of temperature detectors is provided as a first input to a process control algorithm, which may be a closed-loop algorithm. A second input to the process control algorithm is targeted values of heater temperature for one or more heating zones, as calculated using a model. Targeted values of heater power needed for achieving the targeted values of heater temperature for the one or more heating zones is calculated. Chamber hardware is controlled to match the targeted value of heater temperature that is correlated with the wafer characteristics corresponding to the current optimum values of the one or more process parameters. 1. A method comprising the operations of:collecting temperature feedback from a plurality of temperature detectors, each of the plurality of temperature detectors being placed in a corresponding heating zone of a plurality of heating zones of a substrate support assembly supporting a wafer;providing data representing the temperature feedback as a first input to a process control algorithm;providing, as a second input to the process control algorithm, targeted values of heater temperature for one or more heating zones of the plurality of heating zones, as calculated using a model;calculating targeted values of heater power for achieving the targeted values of heater temperature for one or more of the heating zones, wherein the calculation is performed, by a processor running the process control algorithm, based on the first input and the second input; andcontrolling chamber hardware of a processing chamber comprising the substrate support assembly to match the targeted values of heater temperature for one or more of the heating zones.2. The method of claim 1 , wherein the plurality of temperature detectors comprises a plurality of resistance temperature detectors (RTDs).3. The method of claim 1 , wherein the ...

Подробнее
25-01-2018 дата публикации

Temperature control method

Номер: US20180023871A1
Принадлежит: Tokyo Electron Ltd

A temperature control method is performed by a temperature control apparatus including a heat exchanger configured to exchange heat using a phase change of a refrigerant, a rotary pump configured to receive the refrigerant from the heat exchanger and fuse the refrigerant with oil contained inside the rotary pump, and an oil refrigerant separator configured to receive the refrigerant fused with the oil from the rotary pump and separate the refrigerant from the oil. The temperature control method includes the steps of: circulating the refrigerant separated from the oil back to the heat exchanger; and adjusting at least one of a rotation speed of a rotor of the rotary pump, a position of a valve arranged at a connecting portion of the rotary pump and the heat exchanger, and a position of an airflow adjustment valve arranged at a connecting portion of the oil refrigerant separator and the heat exchanger.

Подробнее
26-01-2017 дата публикации

Plasma processing apparatus

Номер: US20170025255A1
Принадлежит: Hitachi High Technologies Corp

A sample stage includes a metallic electrode block to which high-frequency power is supplied from a high-frequency power supply, a dielectric heat generation layer which is disposed on a top surface of the electrode block and in which a film-like heater receiving power and generating heat is disposed, a conductor layer which is disposed to cover the heat generation layer, a ring-like conductive layer which is disposed to surround the heat generation layer at an outer circumferential side of the heat generation layer and contacts the conductor layer and the electrode block, and an electrostatic adsorption layer which is disposed to cover the conductor layer and electrostatically adsorbs a sample. The conductor layer and the ring-like conductive layer have dimensions more than a skin depth of a current of the high-frequency power and the electrode block is maintained at a predetermined potential during processing of the sample.

Подробнее
28-01-2016 дата публикации

PLASMA PROCESSING APPARATUS AND SAMPLE STAGE FABRICATING METHOD

Номер: US20160027621A1
Принадлежит:

A plasma processing apparatus includes: a vacuum vessel, a processing chamber disposed inside of the vacuum vessel, inside of which plasma is formed, a sample stage disposed below the processing chamber, on whose upper surface a sample that is a target processed by using the plasma is mounted, a sintered plate of dielectric material constituting a mounting surface of the sample stage on which the sample is mounted, abase material of metal bonded to the sintered plate below it with a bonding layer made of an adhesive agent intervening therebetween, and a cooling medium flow channel disposed inside of the base material, through which a cooling medium flows, in which a shearing force of the bonding layer generated in a portion on the peripheral side of the sample stage is made smaller than that generated in a portion on the center side. 1. A plasma processing apparatus , comprising:a vacuum vessel,a processing chamber which is disposed inside of the vacuum vessel, inside of which plasma is formed,a sample stage which is disposed below the processing chamber, on whose upper surface a sample that is a target processed by using the plasma is mounted,a sintered plate of dielectric material which constitutes a mounting surface of the sample stage on which the sample is mounted,a base material of metal which is bonded to the sintered plate below it with a bonding layer made of an adhesive agent intervening therebetween, anda cooling medium flow channel which is disposed inside of the base material, through which a cooling medium flows, whereina thickness of the bonding layer is made thicker in a portion on a peripheral side of the sample stage than in a portion on a center side.2. The plasma processing apparatus according to claim 1 , whereina distance between the base material and the sintered plate is lengthened in the portion on the peripheral side, andin the portion on the peripheral side having the lengthened distance, the adhesive agent is disposed.3. The plasma ...

Подробнее
28-01-2021 дата публикации

FLOWABLE FILM CURING USING H2 PLASMA

Номер: US20210025058A1
Принадлежит:

Embodiments herein provide methods of plasma treating an amorphous silicon layer deposited using a flowable chemical vapor deposition (FCVD) process. In one embodiment, a method of processing a substrate includes plasma treating an amorphous silicon layer by flowing a substantially silicon-free hydrogen treatment gas into a processing volume of a processing chamber, the processing volume having the substrate disposed on a substrate support therein, forming a treatment plasma of the substantially silicon-free hydrogen treatment gas, and exposing the substrate having the amorphous silicon layer deposited on a surface thereof to the treatment plasma. Herein, the amorphous silicon layer is deposited using an FCVD process. The FCVD process includes positioning the substrate on the substrate support, flowing a processing gas into the processing volume, forming a deposition plasma of the processing gas, exposing the surface of the substrate to the deposition plasma, and depositing the amorphous silicon layer on the surface of the substrate. 1. A method of processing a substrate , comprising: positioning a substrate on a substrate support disposed in a processing volume of a processing chamber;', 'flowing a processing gas into the processing volume;', 'forming a deposition plasma of the processing gas;', 'exposing the surface of the substrate to the deposition plasma; and', 'depositing the amorphous silicon layer on the surface of the substrate; and, 'depositing an amorphous silicon layer on the surface of the substrate using a flowable chemical vapor deposition (FCVD) process, comprising flowing a substantially silicon-free hydrogen treatment gas into a processing volume;', 'forming a treatment plasma of the substantially silicon-free hydrogen treatment gas; and', 'exposing the amorphous silicon layer to the treatment plasma., 'plasma treating the amorphous silicon layer, comprising2. The method of claim 1 , wherein the treatment plasma is formed by capacitively coupling ...

Подробнее
10-02-2022 дата публикации

RF TUNING SYSTEMS INCLUDING TUNING CIRCUITS HAVING IMPEDANCES FOR SETTING AND ADJUSTING PARAMETERS OF ELECTRODES IN ELECTROSTATIC CHUCKS

Номер: US20220044909A1
Принадлежит:

A substrate processing system for processing a substrate within a processing chamber is provided and includes a source terminal, a substrate support, and a tuning circuit. The substrate support holds the substrate and includes first and second electrodes, which receive power from a power source via the source terminal. The tuning circuit is connected to the first electrode or the second electrode. The tuning circuit is allocated for tuning signals provided to the first electrode. The tuning circuit includes at least one of a first impedance set or a second impedance set. The first impedance set is serially connected between the first electrode and the power source and receives a first signal from the power source via the source terminal. The second impedance set is connected between an output of the power source and a reference terminal and receives the first signal from the power source via the source terminal. 1. A substrate processing system , the substrate processing system comprising:a substrate support configured to support the substrate, wherein the substrate support comprises a first one or more electrodes; and a series impedance set comprising a first impedance and a second impedance connected in series with the first impedance, and', 'a parallel impedance set connected to the series impedance set and comprising a third impedance and a fourth impedance connected in parallel with the third impedance., 'a first tuning circuit connected to the first one or more electrodes and a ground reference terminal and comprising2. The substrate processing system of claim 1 , wherein the series impedance set is connected between the first one or more electrodes and the parallel impedance set.3. The substrate processing system of claim 1 , wherein the first tuning circuit is connected in series with the first one or more electrodes and the ground reference terminal.4. The substrate processing system of claim 1 , wherein the parallel impedance set is connected in series ...

Подробнее
10-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220044914A1
Принадлежит:

A plasma processing apparatus includes a processing vessel; a placing table, serving as a lower electrode, disposed within the processing vessel; an upper electrode serving as a facing electrode of the placing table; a plasma processor configured to form a gas within the processing vessel into plasma by supplying a high frequency power and to process a processing target object on the placing table with the plasma; a cover member configured to cover the upper electrode from thereabove; a cooler provided within the cover member and configured to cool the upper electrode with a coolant having a temperature lower than a dew point temperature of exterior air outside the processing vessel; and a gas supply configured to supply a low-dew point gas having a dew point temperature lower than the dew point temperature of the exterior air into a space surrounded by the cover member and the upper electrode. 1. A plasma processing apparatus comprising:a plasma processing chamber;a substrate support disposed in the plasma processing chamber and including a lower electrode;a cover disposed on the plasma processing chamber and having an internal space;a showerhead assembly disposed above the substrate support and including a conductive member and an upper electrode, the conductive member having a coolant passage and an upper surface exposed to the internal space of the cover;a plasma generator configured to generate a plasma in a space between the substrate support and the showerhead assembly;a gas supply configured to supply a gas into the internal space of the cover, the gas comprising an inert gas or dry air, the gas supply including a valve disposed between a gas source and the internal space of the cover;a pressure gauge configured to measure a pressure in the internal space of the cover; anda controller configured to control the valve based on measurements of the pressure gauge.2. The plasma processing apparatus of claim 1 ,wherein the controller is configured to control the ...

Подробнее
24-01-2019 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20190027344A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes a stage for supporting a target object in a chamber defined by a chamber body. The stage includes a lower electrode, an electrostatic chuck provided on the lower electrode, heaters provided in the electrostatic chuck, and terminals electrically connected to the heaters. A conductor pipe electrically connects a high frequency power supply and the lower electrode and extends from the lower electrode to the outside of the chamber body. Power supply lines supply power from a heater controller to the heaters. Filters partially forming the power supply lines prevent the inflow of high frequency power from the heaters to the heater controller. The power supply lines include wirings which respectively connect the terminals and the filters and extend to the outside of the chamber body through an inner bore of the conductor pipe. 1. A plasma processing apparatus comprising:a chamber body that provides a chamber;a stage configured to support a target object in the chamber, the stage including a lower electrode, an electrostatic chuck provided on the lower electrode, a plurality of heaters, and a plurality of terminals electrically connected to the plurality of heaters;a high frequency power supply provided outside the chamber body and configured to generate a high frequency power to be supplied to the lower electrode;a conductor pipe configured to electrically connect the high frequency power supply and the lower electrode;a plurality of power supply lines configured to supply power from a heater controller to the plurality of heaters; anda plurality of filters, which partially forms the plurality of power supply lines, provided outside the chamber body and configured to prevent the inflow of high frequency power from the plurality of heaters to the heater controller,wherein the plurality of power supply lines includes a plurality of wirings respectively connecting the plurality of terminals and the plurality of filters, andwherein the ...

Подробнее
24-01-2019 дата публикации

PROCESSING APPARATUS FOR TARGET OBJECT AND INSPECTION METHOD FOR PROCESSING APPARATUS

Номер: US20190027345A1
Принадлежит:

A processing apparatus includes a chamber main body; a stage having therein a first passage for coolant and a space communicating with the first passage; a first pipeline having a first end portion inserted into the space to be connected to the first passage and a second end portion connected to a coolant supply mechanism; and a first sealing member provided at a gap between a wall surface confining the space and the first end portion. A second passage having one end and the other end is formed within the stage. The one end of the second passage is connected to the gap. The first sealing member is contacted with the wall surface at a side of the first passage with respect to the second passage. The processing apparatus comprises a second pipeline connected to the other end thereof; and a detecting device connected to the second pipeline. 1. A processing apparatus for a target object , comprising:a chamber main body in which a chamber is provided;a stage, configured to support the target object placed thereon and provided within the chamber, having therein a first passage for a coolant and a space communicating with the first passage;a first pipeline having a first end portion inserted into the space to be connected to the first passage and a second end portion connected to a coolant supply mechanism; anda first sealing member provided at a gap between a wall surface confining the space and the first end portion and configured to seal the gap,wherein a second passage having one end and the other end is formed within the stage, and the one end of the second passage is connected to the gap, andthe first sealing member is in contact with the wall surface at a side of the first passage with respect to the second passage,wherein the processing apparatus further comprises:a second pipeline connected to the other end of the second passage; anda detecting device connected to the second pipeline and configured to detect an amount of the coolant flowing in the second pipeline. ...

Подробнее
29-01-2015 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20150031213A1
Принадлежит:

A plasma processing method is provided for a plasma processing apparatus which includes a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to respective refrigerant inlets and respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets. The method includes adjusting openings of the upstream-side expansion valves and openings of the downstream-side expansion valves so that no change in flow rate of the refrigerant occurs in a plurality of refrigerant channels between the plurality of upstream-side expansion valves and the plurality of downstream-side expansion valves via the plurality of refrigerant channels in a refrigeration cycle allowing the refrigerant to flow therein. 1. A plasma processing method for processing a sample that is an object to be processed by plasma , by mounting the sample on an upper surface of a sample stage arranged in a processing chamber inside a vacuum container and forming the plasma in the processing chamber ,the sample stage including a refrigerant inlet and a refrigerant outlet arranged in each of a plurality of refrigerant channels that are concentrically arranged inside the sample stage and configured to allow a refrigerant to flow inside, and configured to function as a first evaporator,wherein the sample stage is included in a refrigeration cycle including a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to the respective refrigerant inlets and the respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets, in which a compressor, a condenser, the plurality of upstream-side expansion ...

Подробнее
23-01-2020 дата публикации

Real-time control of temperature in a plasma chamber

Номер: US20200027706A1
Автор: Changyou Jing
Принадлежит: Lam Research Corp

Systems and methods for real-time control of temperature within a plasma chamber are described. One of the methods includes sensing a voltage in real time of a rail that is coupled to a voltage source. The voltage source supplies a voltage to multiple heater elements of the plasma chamber. The voltage that is sensed is used to adjust one or more duty cycles of corresponding one or more of the heater elements. The adjusted one or more duty cycles facilitate achieving and maintaining a temperature value within the plasma chamber over time.

Подробнее
28-01-2021 дата публикации

FOCUS RING AND SUBSTRATE TREATING APPARATUS COMPRISING THE SAME

Номер: US20210027995A1
Автор: Lee Dongmok, Lee Sang-Kee
Принадлежит:

Provided is a focus ring and a substrate treating apparatus having the focus ring. The substrate treating apparatus includes a process chamber for providing a process treating space for a substrate, a chuck for supporting the substrate and a focus ring arranged to surround an edge of the chuck, wherein the focus ring includes a plurality of layers having different properties, wherein a bonding surface between the plurality of layers is formed in a predetermined pattern. 1. A substrate treating apparatus comprising:a process chamber for providing a process treating space for a substrate;a chuck for supporting the substrate; anda focus ring arranged to surround an edge of the chuck,wherein the focus ring includes a plurality of layers having different properties,wherein a bonding surface between the plurality of layers is formed in a predetermined pattern.2. The substrate treating apparatus of claim 1 ,wherein the plurality of layers comprises,a protective layer that is a top layer among the plurality of layers and made of a material having an etching resisting property, andan electrostatic power generating layer that is arranged under the protective layer and made of material generating an electrostatic power.3. The substrate treating apparatus of claim 2 ,{'sub': 2', '3', '2', '3, 'wherein the protective layer is made of a material of silicon carbide (SiC), alumina (AlO), yttria (YO) or aluminum nitride (AlN).'}4. The substrate treating apparatus of claim 2 ,wherein the electrostatic power generating layer is made of a material of silicon (Si).5. The substrate treating apparatus of claim 2 ,wherein the electrostatic power generating layer is made of a material having a higher dielectric constant than the protective layer.6. The substrate treating apparatus of claim 2 ,wherein the electrostatic power generating layer is one layer or includes a plurality of layers having different dielectric constants.7. The substrate treating apparatus of claim 1 ,wherein the bonding ...

Подробнее
04-02-2016 дата публикации

WAFER CARRIER WITH INDEPENDENT ISOLATED HEATER ZONES

Номер: US20160035544A1
Принадлежит:

A wafer carrier is described with independent isolated heater zones. In one example, the carrier has a puck to carry a workpiece for fabrication processes, a heater plate having a plurality of thermally isolated blocks each thermally coupled to the puck, and each having a heater to heat a respective block of the heater plate, and a cooling plate fastened to and thermally coupled to the heater plate, the cooling plate having a cooling channel to carry a heat transfer fluid to transfer heat from the cooling plate. 1. An apparatus comprising:a puck to carry a workpiece for fabrication processes;a heater plate having a plurality of thermally isolated blocks each thermally coupled to the puck, and each having a heater to heat a respective block of the heater plate; anda cooling plate fastened to and thermally coupled to the heater plate, the cooling plate having a cooling channel to carry a heat transfer fluid to transfer heat from the cooling plate.2. The apparatus of claim 1 , wherein the heaters are in the form of longitudinal resistive heater rods oriented with their longitudinal axis perpendicular to the top surface of the puck.3. The apparatus of claim 1 , further comprising a base plate fastened to the cooling plate opposite the puck claim 1 , and wherein the cooling channels are open to the base plate claim 1 , the apparatus further comprising a plurality of seals to seal the cooling channels against the base plate.4. The apparatus of claim 3 , wherein the base plate is formed of a material with lower thermal conductivity than the cooling plate.5. The apparatus of claim 4 , wherein the material is selected from titanium claim 4 , stainless steel claim 4 , alumina claim 4 , ceramic claim 4 , and nickel.6. The apparatus of claim 1 , wherein the blocks of the heater plate are thermally isolated from each other block by a gap between each block.7. The apparatus of claim 6 , wherein the gap is filled with an insulating material.8. The apparatus of claim 1 , wherein ...

Подробнее
04-02-2016 дата публикации

Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same

Номер: US20160035610A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An electrostatic chuck apparatus includes a base and a dielectric layer on the base. The dielectric layer includes a support surface opposite the base and a clamping electrode laterally extending along the support surface. The clamping electrode extends beyond an edge of the support surface such that the support surface is laterally recessed relative to the clamping electrode. The clamping electrode is configured to attract a substrate to the support surface by electrostatic force, and laterally extends along the support surface up to or beyond an edge of the substrate. Related electrostatic chuck assemblies, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same are also discussed.

Подробнее
17-02-2022 дата публикации

ETCHING METHOD AND ETCHING APPARATUS

Номер: US20220051899A1
Автор: SUDA Ryutaro, TOMURA Maju
Принадлежит:

An etching method is provided that includes: (a) providing a substrate including an etching target film on a substrate support stage arranged in a process chamber; (b) setting a temperature of the substrate support stage; (c) generating plasma from an etching gas;(d) increasing the temperature of the substrate; (e) decreasing the temperature of the substrate; and (f) repeating (d) and (e) a predetermined number of times. 1. An etching method comprising:(a) providing a substrate including an etching target film on a substrate support stage arranged in a process chamber;(b) setting a temperature of the substrate support stage;(c) generating plasma from an etching gas;(d) increasing the temperature of the substrate;(e) decreasing the temperature of the substrate; and(f) repeating (d) and (e) a predetermined number of times.2. The etching method according to claim 1 ,wherein in (d), supply of a radio frequency power for bias is controlled to be ON, andwherein in (e), supply of the radio frequency power for bias is controlled to be OFF.3. The etching method according to claim 1 ,wherein in (d), supply of a radio frequency power for bias is controlled to be high, andwherein in (e), supply of the radio frequency power for bias is controlled to be low.4. The etching method according to claim 1 , further comprising:(i) supplying a heat transfer medium between the substrate and the substrate support stage,wherein in a case in which a temperature control medium that is output from a chiller and that has a temperature lower than a predetermined threshold temperature flows through a flow path formed in the substrate support stage, a flow rate of the heat transfer medium is controlled so as to decrease a pressure between the substrate and the substrate support stage in (d) and the flow rate of the heat transfer medium is controlled so as to increase the pressure in (e).5. The etching method according to claim 1 ,(i) supplying a heat transfer medium between the substrate and the ...

Подробнее
31-01-2019 дата публикации

Plasma Processing Apparatus and Method, and Method of Manufacturing Semiconductor Device Using the Same

Номер: US20190035606A1
Принадлежит:

In a plasma processing method, a substrate is loaded onto a substrate electrode within a chamber, the substrate having an object layer to be etched thereon. A plasma generating power output is applied to form plasma within the chamber. A first bias power output is applied to the substrate electrode to perform a first etch stage on the object layer. A second bias power output having a nonsinusoidal voltage waveform is applied to the substrate electrode to perform a second etch stage on the object layer. 1. A plasma processing method , comprising:applying a plasma generating power output to form plasma within a chamber;applying a first bias power output to a substrate electrode within the chamber to perform a first etch stage on an object layer on a substrate within the chamber; andapplying a second bias power output having a nonsinusoidal voltage waveform to the substrate electrode to perform a second etch stage on the object layer on the substrate.2. The method of claim 1 , wherein the first bias power output has a sinusoidal voltage waveform.3. The method of claim 1 , wherein the first bias power output has a nonsinusoidal voltage waveform.4. The method of claim 1 , wherein the nonsinusoidal voltage waveform of the second bias power output comprises a periodic waveform having a DC pulse portion and a ramp portion.5. The method of claim 4 , wherein the ramp portion has a waveform which declines gradually over time while decreasing from a maximum of the DC pulse portion to a minimum of the DC pulse portion.6. The method of claim 4 , wherein applying the second bias power output to the substrate electrode comprises applying a compensation current to the substrate electrode to modify the DC pulse portion of the nonsinusoidal voltage waveform.7. The method of claim 1 , wherein performing the first and second etch stages comprises processing the substrate at a first preset temperature during a first period and processing the substrate at a second preset temperature ...

Подробнее
31-01-2019 дата публикации

HIGH POWER CABLE FOR HEATED COMPONENTS IN RF ENVIRONMENT

Номер: US20190035608A1
Принадлежит:

A substrate support includes an edge ring, one or more heating elements, and a cable configured to provide power from a power source to the edge ring and the one or more heating elements. The cable includes a first plurality of wires connected to the edge ring, a second plurality of wires connected to the one or more heating elements, a filter module, wherein the first plurality of wires and the second plurality of wires are twisted together within the filter module, and an isolation device. The isolation device is connected to the first plurality of wires and disposed between the filter module and the edge ring. The isolation device is configured to compensate for a resonance frequency generated during operation of the edge ring and the one or more heating elements. 1. A substrate support , comprising:an edge ring;one or more heating elements; and a first plurality of wires connected to the edge ring,', 'a second plurality of wires connected to the one or more heating elements,', 'a filter module, wherein the first plurality of wires and the second plurality of wires are twisted together within the filter module, and', 'an isolation device connected to the first plurality of wires and disposed between the filter module and the edge ring, wherein the isolation device is configured to compensate for a resonance frequency generated during operation of the edge ring and the one or more heating elements., 'a cable configured to provide power from a power source to the edge ring and the one or more heating elements, wherein the cable includes'}2. The substrate support of claim 1 , wherein the second plurality of wires includes a plurality of pairs of wires claim 1 , each pair of wires configured to provide power to a respective heating element of the one or more heating elements.3. The substrate support of claim 1 , wherein the first plurality of wires includes a pair of wires configured to provide power to the edge ring.4. The substrate support of claim 1 , further ...

Подробнее
31-01-2019 дата публикации

SUBSTRATE PROCESSING APPARATUS, AND OPERATION METHOD FOR SUBSTRATE PROCESSING APPARATUS

Номер: US20190035609A1
Автор: TOBE Yasuhiro
Принадлежит:

A substrate processing apparatus includes a chamber main body, a stage, a cooling device and a local loop. The stage is provided in an internal space of the chamber main body and a coolant path is formed in the stage. The cooling device is provided at an outside of the chamber main body. The cooling device includes a cooling loop having a compressor, a condenser, an expansion valve and an evaporator. The local loop is provided at a position closer to the chamber main body than the cooling device is. The local loop includes a receiver, a flow velocity controller and an evaporator pressure regulating valve. The receiver stores therein the coolant supplied from the cooling device. In this substrate processing apparats, the coolant stored in the receiver can be circulated within the local loop. 1. A substrate processing apparatus , comprising:a chamber main body;a stage, having a coolant path formed therein, provided in an internal space of the chamber main body and configured to support a substrate placed thereon;a cooling device, provided at an outside of the chamber main body, comprising a cooling loop having a compressor, a condenser, a first expansion valve and an evaporator;a local loop, provided at a position closer to the chamber main body than the cooling device is, comprising a receiver configured to store coolant therein, a flow velocity controller connected between the receiver and the coolant path and configured to adjust a flow velocity of the coolant stored in the receiver and supply the coolant into the coolant path, and an evaporator pressure regulating valve connected between the coolant path and the receiver;a first valve connected between the condenser and the first expansion valve within the cooling loop;a supply path having one end connected to the cooling loop between the first valve and the condenser and the other end connected to the receiver;a second valve provided on the supply path;a second expansion valve provided on the supply path between ...

Подробнее
31-01-2019 дата публикации

Multi-zone pedestal for plasma processing

Номер: US20190035665A1
Принадлежит: Applied Materials Inc

A method and apparatus for a heated pedestal is provided. In one embodiment, the heated pedestal includes a body comprising a ceramic material, a plurality of heating elements encapsulated within the body, and one or more grooves formed in a surface of the body adjacent each of the plurality of heating elements, at least one side of the grooves being bounded by a ceramic plate.

Подробнее
30-01-2020 дата публикации

Cooling structure and parallel plate etching apparatus

Номер: US20200035464A1
Автор: Keita KAMBARA, Ryo Sasaki
Принадлежит: Tokyo Electron Ltd

A cooling structure includes a cooling target member; a cooling plate including a cooling mechanism, the cooling plate being configured to cool the cooling target member; and a clamp configured to hold the cooling plate. The cooling plate includes a spherical portion having a spherical surface facing the cooling target member and having a center portion that bulges toward the cooling target member with respect to a peripheral edge portion, and a flat portion provided outside the spherical portion so that the spherical portion is thicker than the flat portion. The clamp is configured to apply at least a predetermined pressure only to the spherical portion through a surface of the cooling target member facing the cooling plate.

Подробнее
30-01-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND PLASMA SHEATH HEIGHT CONTROL METHOD

Номер: US20200035465A1
Автор: Matsumoto Kenji
Принадлежит: TOKYO ELECTRON LIMITED

A substrate processing apparatus includes a substrate mounting unit, a support unit, a thickness variable layer, and a control unit. The substrate mounting unit has an upper surface that serves as a mounting surface on which a target substrate to be processed is mounted. The support unit has therein a flow path for a heat transfer medium and is configured to support the substrate mounting unit. The thickness variable layer is disposed between the substrate mounting unit and the support unit, and a thickness of the thickness variable layer changes due to expansion or shrinkage caused by a predetermined process. The control unit is configured to control the thickness of the thickness variable layer by performing the predetermined process.

Подробнее
30-01-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING CONTROL METHOD

Номер: US20200035466A1
Автор: Matsumoto Kenji
Принадлежит: TOKYO ELECTRON LIMITED

A substrate processing apparatus includes a first mounting unit, a second mounting unit and an adjusting unit. The first mounting unit is configured to mount thereon a target substrate to be processed that is a plasma processing target. The second mounting unit is disposed to surround the first mounting unit to mount thereon a focus ring. The adjusting unit is configured to adjust a height of a peripheral portion of the target substrate with respect to a height of a central portion of the target substrate in response to consumption of the focus ring. 1. A substrate processing apparatus comprising:a first mounting unit configured to mount thereon a target substrate to be processed that is a plasma processing target;a second mounting unit disposed to surround the first mounting unit and configured to mount thereon a focus ring; andan adjusting unit configured to adjust a height of a peripheral portion of the target substrate with respect to a height of a central portion of the target substrate in response to consumption of the focus ring.2. The substrate processing apparatus of claim 1 , wherein the first mounting unit is formed in a disk shape and concentrically divided into a plurality of regions claim 1 , andthe adjusting unit includes:a thickness variable layer disposed at least below each of one or more regions, which correspond to a peripheral portion of the first mounting unit, among the plurality of regions, wherein the thickness variable layer has a thickness that varies due to shrinkage occurring depending on a temperature;a heater disposed to correspond to the thickness variable layer; anda control unit configured to control the heater to heat the thickness variable layer in response to the consumption of the focus ring.3. The substrate processing apparatus of claim 2 , wherein at least one of the one or more regions corresponding to the peripheral portion of the first mounting unit among the plurality of regions is further divided into multiple regions in ...

Подробнее
30-01-2020 дата публикации

METHOD AND APPARATUS FOR FORMING HARD MASK FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES

Номер: US20200035491A1
Автор: FUJINO Yutaka
Принадлежит:

A hard mask film forming method includes preparing a substrate in which an etching target film is formed on a base. The hard mask film forming method further includes forming a hard mask film on the substrate while controlling film forming parameters such that tensile stress is set as initial film stress and the tensile stress monotonously increases from a bottom surface of the hard mask film toward an upper surface of the hard mask film. 1. A hard mask film forming method , comprising:preparing a substrate in which an etching target film is formed on a base; andforming a hard mask film on the substrate while controlling film forming parameters such that a tensile stress is set as an initial film stress and the tensile stress monotonously increases from a bottom surface of the hard mask film toward an upper surface of the hard mask film.215. The hard mask film forming method of claim 1 , wherein said forming the hard mask film is performed by a chemical vapor deposition.3. The hard mask film forming method of claim 2 , wherein said forming the hard mask film is performed by a plasma chemical vapor deposition.4. The hard mask film forming method of claim 3 , wherein plasma in the plasma chemical vapor deposition is microwave plasma.5. The hard mask film forming method of claim 3 , wherein the film forming parameter is at least one of a flow rate of a film forming gas claim 3 , a pressure claim 3 , and a plasma density.65. The hard mask film forming method of claim 4 , wherein the film forming parameter is at least one of a flow rate of a film forming gas claim 4 , a pressure claim 4 , and a plasma density.7. The hard mask film forming method of claim 1 , wherein the hard mask film is an SiN film.8. A semiconductor device manufacturing method comprising:preparing a substrate in which an etching target film is formed on a base;forming a hard mask film on the substrate while controlling film forming parameters such that a tensile stress is set as an initial film stress ...

Подробнее
30-01-2020 дата публикации

METAL BONDED ELECTROSTATIC CHUCK FOR HIGH POWER APPLICATION

Номер: US20200035535A1
Автор: PARKHE Vijay D.
Принадлежит:

Implementations described herein provide a substrate support assembly which provides longevity and good heat transfer. The substrate support assembly has an electrostatic chuck having a workpiece supporting surface and a bottom surface, a cooling base having a top surface and a bonding layer securing the bottom surface of the electrostatic chuck and the top surface of the cooling base. The bonding layer includes a layer of metal. 1. A substrate support assembly , comprising:an electrostatic chuck having a workpiece supporting surface and a bottom surface;a cooling base having a top surface; anda first bonding layer securing the bottom surface of the electrostatic chuck and the top surface of the cooling base, wherein the first bonding layer comprises a metal layer.2. The substrate support assembly of claim 1 , wherein the first bonding layer further comprises:a first layer; anda second layer comprising the metal-containing layer.3. The substrate support assembly of claim 2 , wherein the first bonding layer further comprises:a third layer disposed below the second layer and bonded to the cooling base.4. The substrate support assembly of claim 2 , wherein the first bonding layer has an operating temperature that includes temperatures between about 150 degrees Celsius and about 200 degrees Celsius.5. The substrate support assembly of claim 1 , further comprising:a plastic base disposed below the cooling base; anda second bonding layer disposed between the cooling base and the plastic base, wherein a thermal conductivity of the second bonding layer is about 0.2 W/mK.6. The substrate support assembly of claim 1 , further comprising:a mechanical fastener connecting the first bonding layer and the cooling base.7. The substrate support assembly of claim 1 , wherein the first layer first bonding layer is comprised of a perfluoro compound.8. The substrate support assembly of claim 7 , wherein a thickness of the first bonding layer is between about 0.3 mm and about 5 mm.9. The ...

Подробнее
30-01-2020 дата публикации

SUBSTRATE SUPPORTING DEVICE AND PLASMA PROCESSING APPARATUS

Номер: US20200035536A1
Автор: ETO Hideo
Принадлежит: Toshiba Memory Corporation

According to one embodiment, a substrate supporting device is a substrate supporting device that supports a substrate in a processing container of a plasma processing apparatus, the substrate supporting device including an electrostatic chuck including a placing plate containing at least a ceramic and having the substrate electrostatically attracted by the placing plate, a lift pin configured to be storable inside the electrostatic chuck and which delivers the substrate to and from the electrostatic chuck, and a cover containing at least a ceramic of a same type as the placing plate and configured to be attachable to and detachable from the lift pin. 1. A substrate supporting device that supports a substrate in a processing container of a plasma processing apparatus , the substrate supporting device comprising:an electrostatic chuck including a placing plate containing at least a ceramic and having the substrate electrostatically attracted by the placing plate;a lift pin configured to be storable inside the electrostatic chuck and which delivers the substrate to and from the electrostatic chuck; anda cover containing at least a ceramic of a same type as the placing plate and configured to be attachable to and detachable from the lift pin.2. The substrate supporting device according to claim 1 , whereinthe electrostatic chuck includesa heater and a first soaking plate under the placing plate, andthe cover includesa second soaking plate inside the cover, the second soaking plate being located at an approximately equal height to the first soaking plate of the electrostatic chuck, in a state where the lift pin is stored inside the electrostatic chuck.3. The substrate supporting device according to claim 1 , whereinthe cover hasa cap portion that covers an upper end portion of the lift pin and a cylindrical portion that covers a side surface of the lift pin, andthe cap portion isconfigured to be fittable onto the cylindrical portion in a fitting amount adjustable manner. ...

Подробнее
30-01-2020 дата публикации

SYSTEMS AND METHODS FOR PEDESTAL CONFIGURATION

Номер: US20200035540A1
Принадлежит: Applied Materials, Inc.

Exemplary apparatuses for centering and/or leveling a pedestal of a processing chamber may include a mounting block having a central axis, a set of first gauges mounted on the mounting block, and a set of second gauges mounted on the mounting block. The set of second gauges may be mounted substantially perpendicular to the set of first gauges. The plurality of first gauges may be configured to obtain measurements indicative of a degree of parallelism between a gas distribution plate of the processing chamber and the pedestal. The plurality of second gauges may be configured to obtain measurements indicative of a degree of axial alignment of a ring member of the processing chamber and the pedestal. The exemplary apparatuses may be used for centering and/or leveling the pedestal under vacuum. 1. An apparatus , comprising:an annular mounting block having a central axis, a sidewall about the central axis, and a mounting platform extending radially inward from the sidewall toward the central axis;a plurality of first gauges mounted on the mounting platform such that the plurality of first gauges are oriented substantially parallel to the central axis, the plurality of first gauges configured to obtain a first plurality of measurements indicative of relative distances between a plane that is substantially perpendicular to the central axis of the annular mounting block and measured points; anda plurality of second gauges mounted on the mounting platform such that the plurality of second gauges are oriented substantially perpendicular to the central axis and a measuring tip of each second gauge of the plurality of second gauges extends radially beyond the sidewall of the annular mounting block, the plurality of second gauges configured to obtain a second plurality of measurements indicative of relative distances between the central axis of the annular mounting block and measured points.2. The apparatus of claim 1 , wherein the plurality of first gauges comprise at least ...

Подробнее
08-02-2018 дата публикации

Apparatus for coating substrates

Номер: US20180037986A1
Принадлежит: IHI Hauzer Techno Coating BV

An apparatus for coating substrates includes a vacuum chamber having an opening through which substrates can be received and a door configured to seal the opening; one or more targets arranged in the vacuum chamber; a cooling unit configured to cool the substrates and/or a heating unit configured to heat the substrates; rotating means configured to rotate substrates relative to the one or more targets, the cooling unit and/or the heating unit; and a lifting chamber that communicates with the interior of the vacuum chamber and is configured to receive the cooling unit and the heating unit. The vacuum chamber defines a lifting axis along which the cooling unit and/or the heating unit and the lifting chamber are arranged, and the apparatus further comprises displacement means configured to displace the cooling unit and/or the heating unit along the lifting axis and between the vacuum chamber and the lifting chamber.

Подробнее
08-02-2018 дата публикации

GAS COOLED SUBSTRATE SUPPORT FOR STABILIZED HIGH TEMPERATURE DEPOSITION

Номер: US20180037987A1
Принадлежит:

Embodiments of the present disclosure provides apparatus and method for stabilizing substrate temperature by flowing a flow of cooling gas to an inlet of cooling channels in a substrate support, receiving the flow of cooling gas from an outlet of the cooling channel using a heat exchanger, and releasing the cooling gas to an immediate environment, such as a cleanroom or a minienvironment. 1. A pedestal assembly , comprising:a support body having a substrate supporting surface;a base plate attached to the support body and having cooling channels formed therein, wherein the cooling channels have an inlet passage and an outlet passage; and an inlet coupled to a gas source;', 'an outlet open to an immediate environment outside a processing chamber wherein the base plate is disposed;', 'a flow controller coupled between the inlet and the inlet passage; and', 'a heat exchanger coupled to the outlet., 'a cooling assembly connected to the cooling channels via the inlet passage and the outlet passage, wherein the cooling assembly comprises2. An apparatus for processing a semiconductor substrate , comprising:a chamber body defining a processing volume; a support body having a substrate supporting surface and disposed in the processing volume; and', 'a base plate attached to the support body, wherein the base plate has cooling channels formed therein, wherein the cooling channels have an inlet passage and an outlet passage; and, 'a pedestal assembly for supporting one or more substrate during processing, wherein the pedestal assembly comprises an inlet coupled to a gas source;', 'an outlet open to an immediate environment outside the chamber body;', 'a flow controller coupled between the inlet and the inlet passage; and', 'a heat exchanger coupled to the outlet., 'a cooling assembly connected to the cooling channels of the pedestal assembly via the inlet passage and the outlet passage, wherein the cooling assembly is disposed outside the processing volume, and the cooling ...

Подробнее
08-02-2018 дата публикации

CHILLER APPARATUS FOR PLASMA TREATMENT DEVICE

Номер: US20180038620A1
Принадлежит: SHINWA CONTROLS CO., LTD.

In the present chiller apparatus, a refrigerant flow path is branchably attached to a lower electrode serving as a large sample table, which copes with a case where the surface area of a sample is large in a configuration in which a plasma treatment device connected to a refrigerant cycle equipped with a heating device is applied. A control device transmits a heating adjustment control signal generated based on a result of a PID arithmetic operation including proportion, integration, and differentiation on a lower electrode refrigerant pipe refrigerant detection temperature detected from a temperature sensor provided in the vicinity of a refrigerant flow path of a heat insulating portion relative to the lower electrode of a lower electrode refrigerant pipe connected to be linked to the refrigerant cycle to a heating device and performs feedback control such that the lower electrode refrigerant pipe refrigerant detection temperature becomes a setting temperature. 1. A chiller apparatus for a plasma treatment device , sharing an evaporator as a heat exchanger between a refrigerating cycle for cooling and a refrigerant cycle including a heating device for heating , having a function of controlling a rotational speed of an electric compressor of the corresponding refrigerating cycle , a refrigerant flow rate by a pump linked to a refrigerant tank in the corresponding refrigerant cycle , and a heating temperature of the corresponding heating device by a control device according to a temperature difference between a setting temperature set by a user and a work temperature of a work connected to the corresponding refrigerant cycle to heat-insulate the work , and applying the plasma treatment device which performs plasma treatment to the corresponding work to etch a sample to be a target ,wherein the plasma treatment device is equipped within a vacuum chamber with a lower electrode which places the corresponding sample thereon, serving as a large-size sample table coping ...

Подробнее
04-02-2021 дата публикации

SUBSTRATE SUPPORTING DEVICE AND SUBSTRATE TREATING APPARATUS INCLUDING THE SAME

Номер: US20210035782A1
Принадлежит:

Provided is an apparatus for a substrate supporting apparatus fixing a focus ring without using a clamp ring. The substrate supporting apparatus comprise a supporting plate for supporting a substrate; a side ring arranged to surround at least a part of a side surface of the supporting plate and including a first through hole; a focus ring arranged on the side ring and including a first circulation channel for circulation of a first temperature control fluid and a second through hole connecting the first circulation channel and a bottom surface therein; and a coupling bolt fixed to the focus ring penetrating through the first through hole and the second through hole from below the side ring and fixing the side ring and the focus ring to each other. 1. A substrate supporting apparatus comprising:a supporting plate for supporting a substrate;a side ring arranged to surround at least a part of a side surface of the supporting plate and including a first through hole;a focus ring arranged on the side ring and including a first circulation channel for circulation of a first temperature control fluid and a second through hole connecting the first circulation channel and a bottom surface therein; anda coupling bolt fixed to the focus ring penetrating through the first through hole and the second through hole from below the side ring and fixing the side ring and the focus ring to each other.2. The substrate supporting apparatus of claim 1 ,wherein the coupling bolt comprises,a head,a first part connected to the head and corresponding to the first through hole,a second part connected to the first part and corresponding to the second through hole, anda hollow formed to penetrate the head, the first part and the second part, and for transferring the first temperature control fluid.3. The substrate supporting apparatus of claim 2 ,wherein there is no screw thread inside the first through hole,wherein a first screw thread is arranged inside the second through hole,wherein a screw ...

Подробнее
04-02-2021 дата публикации

SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS AND CLEANING APPARATUS

Номер: US20210035814A1
Принадлежит:

A substrate processing method includes preparing a substrate including an etching target film and a mask; etching the etching target film through the mask by plasma; and heat-treating the substrate at a preset temperature after the etching of the etching target film. 1. A substrate processing method , comprising:preparing a substrate including an etching target film and a mask;etching the etching target film through the mask by plasma; andheat-treating the substrate at a preset temperature after the etching of the etching target film.2. The substrate processing method of claim 1 ,wherein the heat-treating of the substrate comprises removing a reaction product deposited on the etching target film, the reaction product being generated in the etching of the etching target film and containing silicon (Si), nitrogen (N) and fluorine (F), anda temperature of a stage configured to place the substrate thereon in the heat-treating of the substrate is higher than a temperature at which the reaction product is decomposed by heating, and is set to be lower than a lowest temperature among a temperature at which the etching target film is deformed or degenerated by heat, a temperature at which the mask is deformed or degenerated by heat, and a temperature at which a reaction product containing carbon (C) and fluorine (F) generated in the etching of the etching target film is deformed or degenerated by heat.3. The substrate processing method of claim 1 ,wherein the etching of the etching target film comprises etching the etching target film in an environment in which nitrogen (N), hydrogen (H) and fluorine (F) exist when the etching target film contains silicon (Si).4. The substrate processing method of claim 1 ,wherein the etching of the etching target film comprises etching the etching target film in an environment in which hydrogen (H) and fluorine (F) exist when the etching target film contains silicon nitride (SiN).5. The substrate processing method of claim 1 ,wherein a ...

Подробнее
11-02-2016 дата публикации

Plasma processing apparatus and focus ring

Номер: US20160042926A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a plasma processing apparatus including a focus ring installed outside a substrate mounted on a mounting table including a temperature control mechanism. The focus ring is configured to be in contact with the mounting table via a heat transfer sheet. A heat insulating layer having a heat conductivity lower than that of the focus ring is provided on a surface of the focus ring at a side of the heat transfer sheet among surfaces of the focus ring.

Подробнее
11-02-2016 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20160042944A1
Автор: Suguro Kyoichi
Принадлежит:

In one embodiment, a semiconductor manufacturing apparatus includes a container configured to contain a wafer, and a supporter configured to support the wafer in the container. The apparatus further includes a plasma generator including a plasma tube, and configured to form a film on the wafer by generating plasma in the container with the plasma tube during a first period and during a second period after the first period. The apparatus further includes a controller configured to set a distance between the plasma tube and the wafer to be a first distance during the first period, and set the distance to be a second distance longer than the first distance during the second period. 1. A semiconductor manufacturing apparatus comprising:a container configured to contain a wafer;a supporter configured to support the wafer in the container;a plasma generator including a plasma tube, and configured to form a film on the wafer by generating plasma in the container with the plasma tube during a first period and during a second period after the first period; anda controller configured to set a distance between the plasma tube and the wafer to be a first distance during the first period, and set the distance to be a second distance longer than the first distance during the second period.2. The apparatus of claim 1 , wherein the plasma generator generates the plasma by generating a microwave in the plasma tube.3. The apparatus of claim 2 , wherein a frequency of the microwave is 2.0 to 30.0 GHz.4. The apparatus of claim 1 , further comprising a heater provided on an opposite side to the plasma generator in relation to the supporter claim 1 , and configured to heat the wafer5. The apparatus of claim 4 , wherein the heater heats the wafer by irradiating the wafer with a microwave.6. The apparatus of claim 1 , wherein the controller controls the distance by moving a position of the plasma tube.7. The apparatus of claim 1 , wherein the controller controls the distance by driving the ...

Подробнее
08-02-2018 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20180040459A1
Принадлежит:

Disclosed herein is a plasma processing apparatus including: a processing chamber in which a sample is to be processed using plasma; a radio-frequency power source that supplies radio-frequency power for producing the plasma; and a sample stage on which the sample is to be mounted, the plasma processing apparatus further including a control unit that performs control so that plasma is produced after applying a DC voltage for electrostatically attracting the sample to the sample stage to each of two electrodes placed on the sample stage, and a heat-transfer gas for adjusting a temperature of the sample is supplied to a back surface of the sample after production of the plasma. 1. A plasma processing apparatus comprising:a processing chamber in which a sample is to be processed using plasma;a radio-frequency power source that supplies radio-frequency power for producing the plasma;a sample stage on which the sample is to be mounted;two electrodes placed on the sample stage;a DC power source which applies DC voltages to the two electrodes to electrostatically attract the sample to the sample stage; anda control unit configured to control the DC power source to apply a negative DC voltage to one of the electrodes, then apply a positive DC voltage to the other electrode, and, after applying the positive DC voltage to the other electrode, control the radio-frequency power source to produce the plasma inside the processing chamber then control a supply of a heat-transfer gas for adjusting a temperature of the sample to a back surface of the sample,wherein the control unit is further configured to control the application of the negative DC voltage and the positive DC voltage so that an average of an electric potential given by the negative DC voltage and an electric potential given by the positive DC voltage is a negative value.2. A plasma processing apparatus comprising:a processing chamber in which a sample is to be processed using plasma;a radio-frequency power source ...

Подробнее
24-02-2022 дата публикации

Etching method and plasma processing apparatus

Номер: US20220059360A1
Принадлежит: Tokyo Electron Ltd

An etching method of forming, on a substrate having a base film; a stacked film in which a first film and a second film are alternately stacked on the base film; and a mask on the stacked film, a recess in the stacked film through the mask by using plasma includes preparing the substrate; and etching the stacked film until the recess of the stacked film reaches the base film by plasma formed from a gas containing hydrogen, fluorine and carbon, while maintaining a substrate temperature equal to or less than 15° C.

Подробнее
24-02-2022 дата публикации

ETCHING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20220059361A1
Принадлежит:

An etching method for providing an etch profile is provided. The etching method includes preparing a substrate in which a laminate film is formed, the laminate film including silicon oxide films and silicon films stacked in alternation. The etching method includes cooling a surface temperature of the substrate to −40° C. or less. The etching method includes forming a plasma from gas containing hydrogen and fluorine, based on radio frequency power for plasma formation. The etching method includes etching the laminate film with the formed plasma. 1. An etching method for providing an etch profile , the etching method comprising:preparing a substrate in which a laminate film is formed, the laminate film including silicon oxide films and silicon films stacked in alternation;cooling a surface temperature of the substrate to −40° C. or less;forming a plasma from gas containing hydrogen and fluorine, based on radio frequency power for plasma formation; andetching the laminate film with the formed plasma.2. The etching method according to claim 1 , wherein the cooling includes cooling the substrate to −55° C. or higher.3. The etching method according to claim 1 , wherein for the gas claim 1 , a ratio of the element hydrogen to a total sum of the elements hydrogen and fluorine is greater than or equal to 25% and less than or equal to 67%.4. The etching method according to claim 1 , wherein the gas includes (i) at least one of fluorocarbon gas and hydrofluorocarbon gas and (ii) at least one selected from among the group consisting of hydrofluorocarbon gas claim 1 , hydrocarbon gas claim 1 , and a hydrogen-containing gas claim 1 , the hydrogen-containing gas being hydrogen gas or a hydrogen halide gas.5. The etching method according to claim 1 , further comprising adding a halogen-containing gas to the gas claim 1 , the halogen being other than fluorine.6. The etching method according to claim 1 , wherein the gas containing hydrogen and fluorine includes SFgas and NFgas claim ...

Подробнее
24-02-2022 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20220059385A1
Принадлежит:

A substrate processing method is provided. The method includes a) causing a substrate to be attracted to an electrostatic chuck, and b) processing the substrate. The method includes c) determining a charge removal temperature based on information preliminarily stored in a storage, thereby adjusting a surface temperature of the electrostatic chuck to be greater than or equal to the determined charge removal temperature, the information indicating a relationship between a maximum surface temperature of the electrostatic chuck, during substrate processing, and a residual charge amount for the processed substrate. The method includes d) removing a charge from the processed substrate. 1. A substrate processing method comprising:a) causing a substrate to be attracted to an electrostatic chuck;b) processing the substrate;c) determining a charge removal temperature based on information preliminarily stored in a storage, thereby adjusting a surface temperature of the electrostatic chuck to be greater than or equal to the determined charge removal temperature, the information indicating a relationship between a maximum surface temperature of the electrostatic chuck, during substrate processing, and a residual charge amount for the processed substrate; andd) removing a charge from the processed substrate.2. The substrate processing method according to claim 1 , wherein c) includes:measuring an amount of charge on the substrate,after d), determining whether an anticipated value indicating a residual charge to be stored on the substrate is greater than or equal to a threshold at which an upward operation of the substrate stops, andadjusting the surface temperature of the electrostatic chuck to be greater than or equal to the determined charge removal temperature, upon determining that the anticipated value is greater than or equal to the threshold.3. The substrate processing method according to claim 1 , wherein c) includes:measuring an amount of charge on the substrate,after d ...

Подробнее
07-02-2019 дата публикации

ELECTROSTATIC SHIELD FOR SUBSTRATE SUPPORT

Номер: US20190043698A1
Автор: PARKHE Vijay D.
Принадлежит:

Embodiments of the disclosure relate to a shield used within a plasma processing chamber. In one embodiment, a kit for shielding a substrate support is disclosed. The kit includes a plate having a central aperture and a hollow shell assembly configured to be coupled to the plate around the central aperture. The hollow shell assembly includes a plurality of shell portions removably coupled together. In another embodiment, a shielded substrate support includes a pedestal body having one or more heating elements, a hollow stem coupled to the pedestal body, a heater support coupled to the stem and a shield assembly coupled to the heater support. The shield assembly includes a plate having a central aperture and a hollow shell assembly configured to be coupled to the plate around the central aperture. The hollow shell assembly includes a plurality of shell portions removably coupled together. 1. A kit for shielding substrate supports , comprising:a plate having a central aperture, the plate configured to cover a surface of a pedestal body; anda hollow shell assembly configured to be coupled to the plate, the hollow shell assembly comprising a plurality of shell portions removably coupled together configured to surround a stem extending from the pedestal body.2. The kit of claim 1 , wherein the plate further comprises:a plurality of peripheral holes configured to couple with one or more ground straps.3. The kit of claim 1 , wherein at least one of the shell portions comprises:a plurality of holes configured to couple with a heater support.4. The kit of claim 1 , wherein the hollow shell assembly further comprises:a top portion having a diameter greater than a diameter of the central aperture, the top portion configured to attach to the central aperture;an elongated central portion extending from the top portion, the elongated central portion having a diameter less than the diameter of the top portion; anda bottom portion extending from the elongated central portion, the ...

Подробнее
06-02-2020 дата публикации

Mems frame heating platform for electron imagable fluid reservoirs or larger conductive samples

Номер: US20200043697A1
Принадлежит: Protochips Inc

A heating device having a heating element patterned into a robust MEMs substrate, wherein the heating element is electrically isolated from a fluid reservoir or bulk conductive sample, but close enough in proximity to an imagable window/area having the fluid or sample thereon, such that the sample is heated through conduction. The heating device can be used in a microscope sample holder, e.g., for SEM, TEM, STEM, X-ray synchrotron, scanning probe microscopy, and optical microscopy.

Подробнее
06-02-2020 дата публикации

RF TUNING SYSTEMS INCLUDING TUNING CIRCUITS HAVING IMPEDANCES FOR SETTING AND ADJUSTING PARAMETERS OF ELECTRODES IN ELECTROSTATIC CHUCKS

Номер: US20200043703A1
Принадлежит:

A substrate processing system for processing a substrate within a processing chamber is provided and includes a source terminal, a substrate support, and a tuning circuit. The substrate support holds the substrate and includes first and second electrodes, which receive power from a power source via the source terminal. The tuning circuit is connected to the first electrode or the second electrode. The tuning circuit is allocated for tuning signals provided to the first electrode. The tuning circuit includes at least one of a first impedance set or a second impedance set. The first impedance set is serially connected between the first electrode and the power source and receives a first signal from the power source via the source terminal. The second impedance set is connected between an output of the power source and a reference terminal and receives the first signal from the power source via the source terminal. 1. A substrate processing system for processing a substrate within a processing chamber , the substrate processing system comprising:a source terminal;a substrate support configured to hold the substrate, wherein the substrate support comprises a plurality of electrodes, wherein the plurality of electrodes includes a first electrode and a second electrode, and wherein the first electrode and the second electrode receive power from a first power source via the source terminal; anda first tuning circuit connected to at least one of the first electrode and the second electrode, a first impedance set serially connected between the first electrode and the first power source, wherein the first impedance set receives a first signal from the first power source via the source terminal, and wherein the one or more signals include the first signal, or', 'a second impedance set connected between an output of the first power source and a reference terminal, wherein the second impedance set receives the first signal from the first power source via the source terminal., ' ...

Подробнее
06-02-2020 дата публикации

Substrate processing apparatus and control method therefor

Номер: US20200043708A1
Автор: Masahiko Konno
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus configured to perform a preset processing on a substrate includes a pipe through which a liquid flows; a liquid leakage collector configured to receive the liquid leaking from the pipe; a first detector provided within the liquid leakage collector, and configured to detect the liquid; a second detector provided at a position higher than the first detector within the liquid leakage collector, and configured to detect the liquid; an indicator configured to notify liquid leakage information indicating a liquid leakage; a power supply configured to perform a power feed to the substrate processing apparatus; and a controller configured to control the indicator to notify the liquid leakage information based on a detection result of the first detector, and control the power supply to stop the power feed to the substrate processing apparatus based on a detection result of the second detector.

Подробнее