Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 12505. Отображено 200.
20-08-2015 дата публикации

ЕМКОСТНАЯ ИЗМЕРИТЕЛЬНАЯ СИСТЕМА С ДИФФЕРЕНЦИАЛЬНЫМИ ПАРАМИ

Номер: RU2559993C2

Изобретение относится к измерительной технике, а именно к емкостному датчику для измерения расстояния, в частности, до мишени в литографическом устройстве. Сущность: емкостная измерительная система содержит два или более емкостных датчиков (30a, 30b), один или более источников (306a, 306b) питания переменного тока для подачи питания на емкостные датчики и схему обработки сигналов для обработки сигналов от датчиков. Датчики скомпонованы попарно. Один или более источников питания переменного тока выполнены с возможностью запитывать первый датчик из пары датчиков переменным током (307) или напряжением со сдвигом фаз 180 градусов относительно тока или напряжения для второго датчика из пары датчиков. Схема обработки сигналов выполнена с возможностью обработки принятых выходных сигналов для генерации единого измеренного значения расстояния, соответствующего среднему расстоянию между измерительным блоком и мишенью. Схема обработки сигналов выполнена с возможностью генерации результата дифференциального ...

Подробнее
20-11-2012 дата публикации

ЗАХВАТ, В ЧАСТНОСТИ ЗАХВАТ БЕРНУЛЛИ

Номер: RU2466857C2

Изобретение относится к захвату, в частности захвату Бернулли, для приема плоскостных элементов, например, кремниевых полупроводниковых пластин, с обеспечением низкой нагрузки на них. Захват содержит зажимное кольцо, соединенное с управляемой рукой робота, соединенную с зажимным кольцом ударную шайбу с захватной поверхностью, сообщающейся с поточной системой, посредством которой после подачи на захват Бернулли избыточного давления у захватной поверхности ударной шайбы создается разрежение для присоса захватываемой полупроводниковой пластины. Захват также содержит интегрированную в захватную поверхность прорезиненную установочную поверхность опорного кольца для осуществления бесскользящего перемещения полупроводниковой пластины, присосанной к захватной поверхности, и емкостной датчик для распознавания полупроводниковой пластины, присосанной к захватной поверхности. Для обеспечения исключительно щадящего в отношении удара контакта захватываемой полупроводниковой пластины с захватной поверхностью ...

Подробнее
25-12-2019 дата публикации

Номер: RU2018122780A3
Автор:
Принадлежит:

Подробнее
27-05-2014 дата публикации

УСТРОЙСТВО ДЛЯ ОБРАБОТКИ ПОДЛОЖКИ И СООТВЕТСТВУЮЩИЙ СПОСОБ

Номер: RU2012148429A
Принадлежит:

... 1. Устройство для обработки, в частности для травления и/или проявления подложек (17), в частности пластин, содержащее вращательную тарелку (1), отличающееся тем, что вращательная тарелка (1) имеет зазор (3) Вентури.2. Устройство по п.1, отличающееся тем, что оно содержит источник среды под давлением.3. Устройство по п.1 или 2, отличающееся тем, что оно имеет по меньшей мере одно отверстие (13) для выпуска среды под давлением.4. Устройство по п.1 или 2, отличающееся тем, что вращательная тарелка (1) имеет чашеобразную форму.5. Устройство по п.1 или 2, отличающееся тем, что оно содержит диффузор (5), который вставлен во вращательную тарелку (1) и образует зазор (3) Вентури.6. Устройство по п.1 или 2, отличающееся тем, что вращательная тарелка (26) имеет на своем наружном крае (25) множество пазов (18, 19, 20).7. Устройство по п.1 или 2, отличающееся тем, что оно содержит захват (21), имеющий множество подъемных штырей (22, 23, 24).8. Устройство по п.1 или 2, отличающееся тем, что вращательная ...

Подробнее
12-11-1992 дата публикации

VORRICHTUNG ZUR IDENTIFIKATION VON UNTERSCHIEDLICHEN HALBLEITERSCHEIBEN.

Номер: DE0003875209D1
Принадлежит: SIEMENS AG, SIEMENS AG, 8000 MUENCHEN, DE

The device is used to identify the different semiconductor wafers (13,14) within a supply batch, to allow the wafers not belonging to the overall group to be sorted out. It uses a mechanical gauge cooperating with the transported batch, with slits (10) for detecting the different semiconductor wafer thicknesses and/or an IR transmission measuring device, for sorting the wafers in dependence on their band gaps. Pref. the IR transmission measuring device uses optical fibres with their ends inclined at 45 degrees to the optical axis for deflection of the IR radiation through 90 degrees, the different band gaps used to differentiate between gallium arsenide semiconductor wafers and silicon semiconductor wafers.

Подробнее
29-03-2018 дата публикации

Vorrichtung und Verfahren zum berührungslosen Übertragen von zumindest teilweise ferromagnetischen elektronischen Bauteilen von einem Träger zu einem Subtrat

Номер: DE102016011747A1
Принадлежит:

Die hier beschriebene Vorrichtung und das hier beschriebene Verfahren dienen zur Übertragung eines elektronischen ferromagnetischen Bauteils von einem Träger hin zu einem Substrat mit Hilfe einer Magnetanordnung, wobei die Magnetanordnung dazu ausgerichtet und angeordnet ist die korrekte Positionierung des zumindest teilweise ferromagnetischen elektronischen Bauteils auf dem Substrat zu unterstützen. Das durch die Magnetanordnung erzeugte magnetische Feld bewirkt eine magnetische Kraft gerichtet vom Träger hin zum Substrat, die eine Übertragung des Bauteils vom Träger hin zum Substrat so unterstützt, dass gegenüber einer Übertragung ohne diese magnetische Kraft eine signifikant erhöhte Positionierungsgenauigkeit des Bauteils erzielt wird.

Подробнее
03-08-2017 дата публикации

Vakuumspannvorrichtung zum Aufspannen von Werkstücken, Messvorrichtungen und Verfahren zum Prüfen von Werkstücken, insbesondere Wafern

Номер: DE102016101842A1
Принадлежит:

Die Erfindung betrifft eine Vakuumaufspannvorrichtung zum Aufspannen von Werkstücken (19), insbesondere Wafern, sowie eine Messvorrichtung und ein Verfahren zum Prüfen von Werkstücken, insbesondere Wafern, mittels Röntgenfluoreszenzstrahlung.

Подробнее
08-11-2001 дата публикации

Wafer support plate for supporting a wafer during the process of producing a semi-conductor identifies a wafer's position on the support plate during heat treatment while detecting the wafer's correct position on the plate.

Номер: DE0010033817A1
Автор: CHEN BRAD, CHEN, BRAD
Принадлежит:

A wafer support plate (10) for a TRACK system has a support plate body, six carrying supports (30) on the support plate body to hold a wafer and six laser emitter-detector measuring devices (231-236), each of which fits alongside a carrying support and inside the area encompassed by the carrying supports on the support plate.

Подробнее
18-02-2016 дата публикации

Positioniervorrichtung und Verfahren

Номер: DE102013016065B4
Принадлежит: MECATRONIX AG

Positioniervorrichtung zum Bewegen eines Substrats, mit: einer Basis (10), und mit einem relativ zur Basis (10) beweglichen sowie mittels Magnetlagerung (18) berührungslos an der Basis (10) angeordneten Träger (12), welcher mittels der Magnetlagerung (18) berührungslos entlang einer Verschieberichtung verschiebbar an der Basis (10) gelagert ist, wobei die Magnetlagerung (18) zumindest eine Dauermagneteinheit (20) und zumindest eine erste Elektromagneteinheit (30) aufweist, wobei die Dauermagneteinheit (20) zur Erzeugung einer auf den Träger (12) einwirkenden Stützkraft (S) ausgebildet ist, die größer als die Gewichtskraft (G) des Trägers (12) ist und wobei die erste Elektromagneteinheit (30) zur Erzeugung einer der Stützkraft (S) entgegenwirkenden Regulierkraft (R) ausgebildet ist.

Подробнее
31-12-2020 дата публикации

Bauteilfördervorrichtung mit einer Einstelleinheit und Verfahren zum Einstellen einer Bauteilfördervorrichtung

Номер: DE102019004470A1
Принадлежит:

Eine Bauteilfördervorrichtung weist ein erstes und ein zweites Förderinstrument zum Fördern des Bauteiles auf. Das erste Förderinstrument ist dazu eingerichtet, das Bauteil an einer Übergabestelle auf das zweite Förderinstrument zu übergeben. Weiter umfasst die Bauteilfördervorrichtung eine Einstelleinheit für ein Einstellen eines der zwei Förderinstrumente gegenüber dem anderen Förderinstrument entlang wenigstens einer oder um wenigstens eine Einstellachse und eine bildgebende Einheit. Diese nimmt wenigstens ein Bild der Übergabestelle auf, das in einem ersten Abschnitt des Bildes einen Endbereich des ersten Förderinstruments zeigt, und in einem zweiten Abschnitt des Bildes einen Endbereich des zweiten Förderinstruments. Weiter umfasst die Bauteilfördervorrichtung eine, mit der Einstelleinheit verbundene Analyseeinheit zur Analyse des Bildes, die dazu eingerichtet ist, zu dem wenigstens einen Bild ein Maß für eine Asymmetrie zwischen dem Endbereich des ersten Förderinstruments und dem ...

Подробнее
09-12-2021 дата публикации

Bauteilfördervorrichtung mit einer Einstelleinheit und Verfahren zum Einstellen einer Bauteilfördervorrichtung

Номер: DE102019004470B4

Bauteilfördervorrichtung (100), mit- einem ersten Förderinstrument (F1) zum Fördern eines Bauteils;- einem zweiten Förderinstrument (F2) zum Fördern des Bauteiles; wobei das erste Förderinstrument (F1) dazu eingerichtet ist, das Bauteil an einer Übergabestelle (ÜS) auf das zweite Förderinstrument (F2) zu übergeben;- einer Einstelleinheit für ein Einstellen eines der zwei Förderinstrumente (F1, F2) gegenüber dem anderen Förderinstrument (F2, F1) entlang wenigstens einer oder um wenigstens eine Einstellachse (x-, y-, z-Achse);- einer bildgebenden Einheit (K1), die dazu eingerichtet ist, wenigstens ein Bild (B1, B2, B3, ... B11) der Übergabestelle (ÜS) aufzunehmen, das in einem ersten Abschnitt (H1) zumindest einen Endbereich (E1) des ersten Förderinstruments (F1) zeigt, und in einem zweiten Abschnitt (H2) zumindest einen Endbereich (E2) des zweiten Förderinstruments (F2);- einer, mit der Einstelleinheit verbundenen Analyseeinheit zur Analyse des wenigstens einen Bildes (B1, B2, B3, ... B11 ...

Подробнее
15-05-2007 дата публикации

ADJUSTING DEVICE FOR WAFER

Номер: AT0000360886T
Принадлежит:

Подробнее
15-12-2005 дата публикации

ADJUSTING DEVICE AND DEVICE FOR ADJUSTING A WAFER

Номер: AT0000500341A2
Автор: THALLNER ERICH
Принадлежит:

Подробнее
15-11-2007 дата публикации

SELFLEARNING ROBOTI CARRIER TREATMENT SYSTEM

Номер: AT0000377259T
Принадлежит:

Подробнее
15-06-2015 дата публикации

Verfahren und Vorrichtung zum Behandeln von Gegenständen mit einer Flüssigkeit

Номер: AT515147A1
Принадлежит:

In the treatment of a semiconductor wafer (8), a treatment medium, in particular an etching or cleaning liquid, is applied to the semiconductor wafer (8) from a nozzle (11). In this process, the temperature, the concentration and/or the amount of medium applied in the unit of time are controlled depending on the location (7) at which the medium is being applied to the semiconductor wafer (8). In this manner, uniform treatment of the semiconductor water (8) is achieved because irregularities in the semiconductor wafer (8) can be compensated.

Подробнее
27-09-2018 дата публикации

ASSEMBLY OF A CARRIER AND A PLURALITY OF ELECTRICAL CIRCUITS FIXED THERETO, AND METHOD OF MAKING THE SAME

Номер: CA0003056492A1

A method of obtaining an elongate carrier (12) to which a plurality of circuits (14) are fixed at their outer portions. The central portions (141) of the circuits are removed while the outer portions remain fixed to the carrier. A circuit (14) is fastened to a carrier (12) where electrical conductors extend from conducting pads of the circuit through holes (121) in the carrier to conducting pads of the carrier on an opposite side of the carrier.

Подробнее
29-03-2019 дата публикации

LIGHT SET CIRCUIT WITH TIME CONTROL FUNCTION

Номер: CA0003014180A1
Принадлежит: RIDOUT & MAYBEE LLP

A light set circuit with time control function includes a power supply module, a plurality of light emitting modules and a time control module. A first wire receives a positive voltage and a second wire receives a negative voltage, or the first wire receives a negative voltage and the second wire receives a positive voltage, from the power supply module. A first positive pin of a first light emitting module and a second positive pin of a second light emitting module are connected to the second wire, and a first negative pin of the first light emitting module and a second positive pin of the second light emitting module are connected to the second wire. The time control module counts time and controls light emitting states of the light emitting modules.

Подробнее
05-09-2020 дата публикации

AUTOMATIC CALIBRATION FOR A ROBOT OPTICAL SENSOR

Номер: CA0003067515A1
Принадлежит: MARKS & CLERK

Systems and methods are provided for automatic intrinsic and extrinsic calibration for a robot optical sensor. An implementation includes an optical sensor; a robot arm; a calibration chart; one or more processors; and a memory storing instructions that cause the one or more processors to perform operations that includes: determining a set of poses for calibrating the first optical sensor; generating, based at least on the set of poses, pose data comprising three dimensional (3D) position and orientation data; moving, based at least on the pose data, the robot arm into a plurality of poses; at each pose of the plurality of poses, capturing a set of images of the calibration chart with the first optical sensor and recording a pose; calculating intrinsic calibration parameters, based at least on the set of captured images; and calculating extrinsic calibration parameters, based at least on the set of captured images.

Подробнее
04-04-2000 дата публикации

WAFER RELEASE METHOD AND APPARATUS

Номер: CA0002118619C
Принадлежит: EATON CORPORATION

A wafer position and clamp sensor. A circuit (114) monitors capacitance between two electrodes (22,24) within a wafer support. With no wafer on the support, the capacitance falls in one range, with the waferin place but not clamped, the capacitance falls in a second range and with the wafer held in place by an electrostatic attraction the capacitance falls in a third range. The sensed capacitance is converted to a frequency and then a D.C. voltage level that can easily be sensed and used to confirm wafer placement and then wafer clamping. After the wafer has been treated, the wafer is removed and the next subsequent wafer treated, A clamping voltage applied to clamp the wafer to its support is reversed at a controlled frequency to release the wafer. The voltage reversal disrupts the electrostatic attraction between the wafer and its support.

Подробнее
30-04-2003 дата публикации

Mechanism and procedure for the assembly of semiconductor chip on a substrate.

Номер: CH0000693229A5
Принадлежит: ESEC TRADINGSA

Подробнее
30-06-2005 дата публикации

Semiconductor chip mounting method where the sawing tracks are used to determine an actual position each time the distance between the chip to be mounted on the carrier foil and the last exceeds a given value

Номер: CH0000694745A5
Автор: MARTY FELIX, MARTY FELIX
Принадлежит: ESEC TRADING SA

Semiconductor chips (3) are presented on a carrier foil (20). Unusable chips are marked with data concerning their usability and quality which is stored in a wafermap. When the distance between the next and the last chip to be mounted (3b) exceeds a predetermined value, automatic assembly equipment determines the actual position based on sawing tracks (4) left in the foil. If this differs from the set position, this is taken into account when approaching the next chip.

Подробнее
30-04-2010 дата публикации

Memory device.

Номер: CH0000699754A1
Принадлежит:

Bei einer Speichervorrichtung (1) für eine Zwischenlagerung von für die Produktion von Halbleiterbauelementen vorgesehenen Objekten, wie Substrate, Wafer, Reticles, und dergleichen, wobei die Speichervorrichtung ein Gehäuse aufweist, das einen Innenraum umschliesst, das Gehäuse mit einer verschliessbaren Öffnung versehen ist, durch die Objekte in das Gehäuse einführbar und aus dem Gehäuse ausführbar sind, die mit einer Reinraumeinrichtung zur Erzeugung und Aufrechterhaltung von Reinraumbedingungen innerhalb des Gehäuses versehen ist, die eine Handhabungseinrichtung innerhalb des Innenraums aufweist, mittels der Objekte im Innenraum handhabbar sind, und die eine Vielzahl von Lagerplätzen für die Anordnung von Objekten in offenen transportablen Speichermitteln aufweist, soll eine Möglichkeit für eine bessere Vermeidung von Verunreinigungen von offen gelagerten Objekten aus der Fertigung von elektronischen Bauteilen erzielt werden. Es wird hierzu vorgeschlagen, dass die Reinraumeinrichtung ...

Подробнее
13-11-2020 дата публикации

Storage device for an intermediate storage of objects for the production of semiconductor devices

Номер: CH0000699754B1
Принадлежит: TEC SEM AG, Tec-Sem AG

Bei einer Speichervorrichtung (1) für eine Zwischenlagerung von für die Produktion von Halbleiterbauelementen vorgesehenen Objekten, wie Substrate, Wafer, Reticles, und dergleichen soll eine Möglichkeit für eine bessere Vermeidung von Verunreinigungen von offen gelagerten Objekten aus der Fertigung von elektronischen Bauteilen erzielt werden. Die Speichervorrichtung weist ein Gehäuse auf, das einen Innenraum umschliesst. Das Gehäuse ist mit einer verschliessbaren Öffnung versehen durch die Objekte in das Gehäuse einführbar und aus dem Gehäuse ausführbar sind, die mit einer Reinraumeinrichtung zur Erzeugung und Aufrechterhaltung von Reinraumbedingungen innerhalb des Gehäuses versehen ist, die eine Handhabungseinrichtung innerhalb des Innenraums aufweist, mittels der Objekte im Innenraum handhabbar sind Die Speichervorrichtung weist auch eine Vielzahl von Lagerplätzen für die Anordnung von Objekten in offenen transportablen Speichermitteln auf. Es wird hierzu vorgeschlagen, dass die Reinraumeinrichtung ...

Подробнее
15-03-2016 дата публикации

Method for the preparation and/or monitoring the mounting semiconductor chips and assembling machine for semiconductor chips.

Номер: CH0000706426B1
Принадлежит: ESEC AG

Bei der Montage von Halbleiterchips werden die Halbleiterchips (6) auf einer Trägerfolie (5) bereitgestellt. Daten über die Halbleiterchips (6) sind in einer Wafermap gespeichert. Erfindungsgemäss wird ein von einer Kamera aufgenommenes erstes Bild, das zumindest einen Ausschnitt des Wafers (7) umfasst, einem aufgrund der Daten der Wafermap errechneten Bild von Halbleiterchips (8) der Wafermap überlagert und als kombiniertes Bild (4) auf einem Bildschirm angezeigt. Dieses Bild (4) ermöglicht auf einfache Weise die Überprüfung der Zuordnung der Halbleiterchips (6) auf der Trägerfolie (5) zu den Halbleiterchips (8) der Wafermap, sei es in der Einrichtungsphase, am Beginn oder während der laufenden Montage wie auch bei einem Fehlerfall.

Подробнее
31-10-2013 дата публикации

Method for preparing and monitoring mounting of semiconductor chips, involves superimposing calculated result of data of virtual semiconductor chip with wafer map image and displaying as combined image on screen

Номер: CH0000706426A1
Принадлежит:

The method involves providing a physical semiconductor chip (6) on a carrier film (5). The data of the semiconductor chip are stored in a wafer map. The area of a wafer (7) is captured by a camera for checking the assignment of a physical semiconductor chip on the carrier film and a virtual semiconductor chip (8). The calculated result of the data of the virtual semiconductor chip is superimposed with the wafer map image and displayed as the combined image (4) on a screen. An independent claim is included for an assembly machine for assembly of semiconductor chips.

Подробнее
15-02-2019 дата публикации

Device for mounting components on a substrate.

Номер: CH0000711570B1
Принадлежит: BESI SWITZERLAND AG, Besi Switzerland AG

Die Erfindung betrifft eine Vorrichtung für die Montage von Bauelementen (1) auf einem Substrat (3) und umfasst ein Pick-und-Place-System (5) mit einem Bondkopf (6), eine Kamera (7) und zwei optische Umlenksysteme (8, 9). Das erste optische Umlenksystem (8) und die Kamera (7) bilden ein erstes Bilderfassungssystem für die Aufnahme eines Bildes des Substratplatzes (2), auf dem das Bauelement (1) zu montieren ist. Das erste optische Umlenksystem (8), das zweite optische Umlenksystem (9) und die Kamera (7) bilden ein zweites Bilderfassungssystem für die Aufnahme eines Bildes einer Unterseite des Bauelements (1). Das Pick-und-Place-System (5) bewegt den Schlitten (13) von einem Aufnahmeort des Bauelements (1) zu dem Substratplatz (2) jeweils in einer vorbestimmten Höhe H 1 über das zweite optische Umlenksystem (9), sodass sich die Unterseite des Bauelements (1) in einer Schärfenebene der Kamera (7) befindet, und hebt den Schlitten (13) jeweils auf eine vorbestimmte Höhe H 2 an, sodass sich ...

Подробнее
31-03-2017 дата публикации

Device for mounting components on a substrate.

Номер: CH0000711570A1
Принадлежит:

Die Erfindung ist eine Vorrichtung für die Montage von Bauelementen (1) auf einem Substrat (3) umfasst ein Pick und Place System (5) mit einem Bondkopf (6), eine Kamera (7) und zwei optische Umlenksysteme (8, 9). Das erste optische Umlenksystem (8) und die Kamera (7) bilden ein erstes Bilderfassungssystem für die Aufnahme eines Bildes des Substratplatzes (2), auf dem das Bauelement (1) zu montieren ist. Das erste optische Umlenksystem (8), das zweite optische Umlenksystem (9) und die Kamera (7) bilden ein zweites Bilderfassungssystem für die Aufnahme eines Bildes einer Unterseite des Bauelements (1). Das Pick und Place System (5) bewegt den Schlitten (13) von einem Aufnahmeort des Bauelements (1) zu dem Substratplatz (2) jeweils in einer vorbestimmten Höhe H 1 über das zweite optische Umlenksystem (9), so dass sich die Unterseite des Bauelements (1) in einer Schärfenebene der Kamera (7) befindet, und hebt den Schlitten (13) jeweils auf eine vorbestimmte Höhe H 2 an, so dass sich der Substratplatz ...

Подробнее
15-09-2010 дата публикации

DEVICE FOR HANDLING A SEMICONDUCTOR COMPONENT.

Номер: CH0000700577A2
Принадлежит:

Dispositif (10) pour manipuler ou transférer un composant semi-conducteur. Le dispositif comprend une première structure et une deuxième structure qui lui est reliée. La première structure et la deuxième structure définissent entre elles une chambre. La deuxième structure comprend au moins un module qui lui est relié. Chaque module (18) comprend un conduit le traversant. Un vide est appliqué au travers du conduit pour faciliter la saisie du composant semi-conducteur à une première position et pour fixer le composant semi-conducteur au module lors du déplacement du module de la première position vers une deuxième position. Lappareil comprend un poussoir. Le déplacement du poussoir dune position rétractée vers une position dextension empêche la communication par fluide entre le conduit du module et la chambre (24). Le déplacement du poussoir vers la position dextension cause en outre une purge dair au travers du conduit du module pour ainsi détacher du module le composant semi-conducteur.

Подробнее
15-05-2015 дата публикации

Device for handling a semiconductor component.

Номер: CH0000700577B1

Dispositif (10) pour manipuler ou transférer un composant semi-conducteur. Le dispositif comprend une première structure et une deuxième structure qui lui est reliée. La première structure et la deuxième structure définissent entre elles une chambre. La deuxième structure comprend au moins un module qui lui est relié. Chaque module (18) comprend un conduit le traversant. Un vide est appliqué au travers du conduit pour faciliter la saisie du composant semi-conducteur à une première position et pour fixer le composant semi-conducteur au module lors du déplacement du module de la première position vers une deuxième position. Lappareil comprend un poussoir. Le déplacement du poussoir dune position rétractée vers une position dextension empêche la communication par fluide entre le conduit du module et la chambre (24). Le déplacement du poussoir vers la position dextension cause en outre une purge dair au travers du conduit du module pour ainsi détacher du module le composant semi-conducteur.

Подробнее
31-10-2018 дата публикации

Apparatus and method for mounting components on a substrate.

Номер: CH0000713732A2
Принадлежит:

Die Erfindung betrifft eine Vorrichtung und ein Verfahren zum Montieren von Bauelementen (1) auf einem Substrat (2). Die Vorrichtung umfasst einen Bondkopf (3) mit einem Bauelementgreifer (11), ein erstes Antriebssystem (6) zum Bewegen eines Trägers (7) über relativ grosse Distanzen, ein zweites, am Träger (7) befestigtes Antriebssystem (8) zum Hin- und Herbewegen des Bondkopfs (3) zwischen einer nominalen Arbeitsposition und einer Stand-by Position, einen am Bondkopf (3) befestigten Antrieb (14) zum Drehen des Bauelementgreifers (11) oder einen Rotationsantrieb (15) zum Drehen des Substrats (2) um eine Achse, wenigstens eine am Träger (7) befestigte Substratkamera (10) und wenigstens eine Bauelementkamera (9). Entweder ist das zweite Antriebssystem (8) auch ausgebildet, um mit dem Bondkopf (3) hochpräzise Korrekturbewegungen auszuführen, oder es ist ein drittes Antriebssystem vorhanden, um hochpräzise Korrekturbewegungen mit dem Substrat (2) durchzuführen. Am Bondkopf (3) oder am Bauelementgreifer ...

Подробнее
29-11-2019 дата публикации

A method for calibrating a component-mounting apparatus.

Номер: CH0000715039A2
Принадлежит:

Die Erfindung betrifft die Kalibrierung einer Bauelemente-Montagevorrichtung, die eingerichtet ist für die Montage von Bauelementen auf einem Substrat, dessen Montageplätze keine lokalen Markierungen enthalten. Das Substrat enthält entweder an seinem Rand angebrachte globale Substratmarkierungen oder andere globale Merkmale, die für die Montage der Bauelemente herangezogen werden können. Die Kalibrierung erfolgt mittels einer Kalibrierplatte (1), die mehrere, zweidimensional über die Kalibrierplatte (1) verteilte und mit ersten optischen Markierungen versehene Kalibrierpositionen (2) aufweist, einem Testchip, der zweite optische Markierungen aufweist, und einer an der Bondstation angebrachten Halterung für die temporäre Aufnahme der Kalibrierplatte (1). Die Anzahl und Anordnung der Kalibrierpositionen (2) der Kalibrierplatte (1) und die Anzahl und Anordnung der Montageplätze des Substrats sind – abgesehen von möglichen Ausnahmefällen – verschieden voneinander.

Подробнее
31-07-2018 дата публикации

Detecting means for detecting position information.

Номер: CH0000713413B1
Принадлежит: TEC SEM AG, Tec-Sem AG

Die Erfindung betrifft eine Detektionseinrichtung zur Ermittlung von Positions- und/oder Anwesenheitsinformationen von Substraten aus dem Bereich der Fertigung elektronischer Bauteile, sowie einen Greifer zur Handhabung von Substraten aus dem Bereich der Fertigung elektronischer Bauteile, der mit der erfindungsgemässen Detektionseinrichtung versehen ist. Weiterhin betrifft die Erfindung ein Verfahren zur Detektion von Abweichungen einer Ist- von einer Soll-Position eines Substrats aus dem Bereich der Fertigung elektronischer Bauteile. Unter Zuhilfenahme einer Detektionseinrichtung zur Ermittlung von Positions- und/oder Anwesenheitsinformationen von Substraten aus dem Bereich der Fertigung elektronischer Bauteile, die einen Sensor zur Ermittlung der Positionsinformation unter Zuhilfenahme eines auf eine Soll-Position gerichteten Lichtstrahls eines Lichtemitters aufweist, soll eine Möglichkeit geschaffen werden, mit der Fehlpositionierungen von Substraten aus dem Bereich der Fertigung von ...

Подробнее
15-05-2018 дата публикации

Positioning device.

Номер: CH0000713128A2
Принадлежит:

Die Erfindung betrifft eine Positionierungsvorrichtung (100) zum Positionieren eines Substrats (101), insbesondere eines Wafers, mit: einer Prozesskammer; einem Grundkörper (105); einem Trägerelement (107), welches eine Auflage (109) zum Auflegen des Substrats (101) umfasst, wobei das Trägerelement (107) über dem Grundkörper (105) angeordnet und hinsichtlich seines Abstandes vom Grundkörper (105) bewegbar ausgebildet ist; und einer Halterung (111) für ein weiteres Substrat (103), insbesondere für einen weiteren Wafer oder eine Maske, wobei die Halterung (111) gegenüber dem Trägerelement (107) angeordnet ist. Zwischen dem Grundkörper (105) und dem Trägerelement (107) liegt ein abgedichteter Hohlraum (113) vor, welcher mit einem Druck, insbesondere einem Unterdruck, beaufschlagbar ist, um eine ungewollte Bewegung des Trägerelements (107) aufgrund einer externen Krafteinwirkung zu verhindern.

Подробнее
15-07-2022 дата публикации

Modulares Die-Handhabungssystem.

Номер: CH0000714090B1
Принадлежит: UNIVERSAL INSTRUMENTS CORP [US]

Die-Handhabungssystem (100) umfassend eine erste Kassette, die konfiguriert ist, um vorbereitete Wafer zu lagern, die einen Wafer mit einer ersten Konfiguration umfassen; einen Expande (109) der konfiguriert ist, um die Wafer mit der ersten Konfiguration in einen ersten vorexpandierten Wafer zu expandieren; eine Vorexpandierte-Waferhaltevorrichtung (119a) die konfiguriert ist, um den ersten vorexpandierten Wafer zu lagern, der zu dem Expander (109) geliefert wird; und einen Die-Handhaber (150), der einen Pick-Kopf umfasst, der konfiguriert ist, um einen oder mehrere Dies aus dem ersten vorexpandierten Wafer zu extrahieren. Die-Handhabungsverfahren umfassend die Schritte : Ziehen eines vorbereiteten Wafers, der einen Wafer einer ersten Konfiguration umfasst, aus einer Kassette zum Expander, Expandieren des Wafers auf einen vorexpandierten Wafer, Lagern des vorexpandierten Wafers in einer Vorexpandierten-Waferhaltevorrichtung, Ziehen dieses vorexpandierten Wafers zu einem Tisch eines Die-Handhabers ...

Подробнее
08-10-2008 дата публикации

Workpiece handling alignment system

Номер: CN0101283437A
Принадлежит:

Method and apparatus for use in setting up workpiece treatment or processing equipment. A disclosed system processes silicon wafers that are treated during processing steps in producing semiconductor integrated circuits. The processing equipment includes a wafer support that supports a wafer in a treatment region during wafer processing. A housing provides a controlled environment within the housing interior for processing the wafer on the wafer support. A mechanical transfer system transports wafers to and from the support. A wafer simulator is used to simulate wafer movement and includes a pressure sensor for monitoring contact between the simulator and the wafer transfer and support equipment. In one illustrated embodiment the wafer simulator is generally circular and includes three equally spaced pressure sensors for monitoring contact with wafer transport and support equipment.

Подробнее
05-04-2019 дата публикации

Power supply device

Номер: CN0109586352A
Автор: TSAI NAI-CHEN
Принадлежит:

Подробнее
15-06-2005 дата публикации

Goods maintaining apparatus

Номер: CN0001206142C
Принадлежит: DAIFUKU KK, DAIFUKU CO., LTD.

Подробнее
14-08-1997 дата публикации

Transport and control system for plates of semiconductor material

Номер: FR0002744799A1
Принадлежит:

L'invention concerne un appareil de contrôle de cassettes pour le transport de plaquettes (10) de semi-conducteur. Chaque cassette comporte une embase (12) à partir de laquelle s'étendent des parois verticales (13) munies de rainures horizontales (15) destinées à recevoir les plaquettes. L'appareil comprend un socle (20) muni de guides de positionnement (22, 35) de l'embase d'une cassette; des interrupteurs (30) disposés sur le socle de manière que l'actionnement de tous les interrupteurs par l'embase indique une planéité convenable de l'embase; un tiroir (24) coulissant sur le socle de manière à pouvoir s'engager dans la cassette, et comportant au moins un gabarit (28) correspondant à une rainure de position élevée de la cassette; et une butée (35) disposée sur le socle pour provoquer le basculement de la cassette lorsque le tiroir est déplacé vers la cassette et que le gabarit ne correspond pas à une rainure.

Подробнее
29-09-2020 дата публикации

Substrate bonding apparatus

Номер: KR0102161093B1
Автор:
Принадлежит:

Подробнее
20-07-2018 дата публикации

TILT AND HEIGHT ADJUSTABLE WAFER STAGE DEVICE

Номер: KR101868907B1
Автор: LEE, KYOU HO
Принадлежит: S.S.P. INC.

The present invention relates to a tilt and height adjustable wafer stage device and, more specifically, to a tilt and height adjustable wafer stage device capable of adjusting the tilt and the height of a stage module having a wafer mounted thereon when a micro solder ball is mounted on the wafer in a predetermined pattern through a flux printing process and a sold ball loading process in a wafer level solder ball placement system. In particular, triaxial motion control is realized in a Z-direction to the stage module having the wafer mounted thereon, such that the flatness of the stage module and a gap between a stencil and the wafer are easily and correctly adjust, thereby minimizing a defect rate and largely increasing yield and productivity of a product. Accordingly, the present invention improves reliability and competitiveness in a semiconductor field and a semiconductor package manufacturing field, in particular, a wafer level chip scale package (WLCSP)-based manufacturing field ...

Подробнее
16-11-2020 дата публикации

FILM FORMING APPARATUS, MANUFACTURING APPARATUS OF ELECTRONIC DEVICE, FILM FORMING METHOD, AND MANUFACTURING METHOD OF ELECTRONIC DEVICE

Номер: KR0102179271B1
Автор:
Принадлежит:

Подробнее
01-09-2020 дата публикации

Stocker

Номер: KR0102150670B1
Автор:
Принадлежит:

Подробнее
21-10-2019 дата публикации

CARRIAGE SYSTEM

Номер: KR0102010772B1
Автор:
Принадлежит:

Подробнее
28-04-2020 дата публикации

PACKAGE TREATMENT APPARATUS

Номер: KR0102105358B1
Автор: HAN BOK WOO, KIM JIN OK
Принадлежит:

Подробнее
18-11-2014 дата публикации

SUBSTRATE CONVEYING METHOD, SUBSTRATE CONVEYING DEVICE, AND COATING AND DEVELOPING APPARATUS

Номер: KR0101462717B1
Автор:
Принадлежит:

Подробнее
07-08-2020 дата публикации

PUMP APPARATUS, PROCESSING LIQUID SUPPLY APPARATUS, SUBSTRATE PROCESSING APPARATUS, LIQUID DRAINING METHOD AND LIQUID REPLACING METHOD

Номер: KR0102142760B1
Автор:
Принадлежит:

Подробнее
01-11-2006 дата публикации

DELIVERY POSITION-ALIGNING METHOD FOR TRANSPORTATION SYSTEM

Номер: KR0100641430B1
Автор:
Принадлежит:

Подробнее
23-12-1997 дата публикации

Номер: KR0100133678B1
Автор:
Принадлежит:

Подробнее
24-12-2019 дата публикации

METHODS AND APPARATUS FOR SENSING A SUBSTRATE IN A CHAMBER

Номер: KR0102059141B1
Автор:
Принадлежит:

Подробнее
08-05-2020 дата публикации

FLIPPER APPARATUS

Номер: KR0102107051B1
Автор:
Принадлежит:

Подробнее
29-11-2018 дата публикации

스핀식 헹굼 건조 장치

Номер: KR0101923382B1
Автор: 정희철
Принадлежит: 주식회사 케이씨텍

... 본 발명은 스핀식 헹굼 건조 장치에 관한 것으로, 스핀식 헹굼 건조 장치는, 상부에 기판이 안착되며 회전 가능하게 마련되는 기판거치부와, 기판거치부의 측면 둘레에 배치되는 가드링과, 기판거치부의 주변을 감싸도록 형성되며 가드링의 내부에 배치되는 스핀커버를 포함하는 것에 의하여, 기판거치부가 회전함에 따른 상승 기류를 저감시키고, 기판의 2차 오염을 방지하는 유리한 효과를 얻을 수 있다.

Подробнее
27-02-2007 дата публикации

Apparatus for Exposing Periphery of Wafer and Method for Exposing Periphery of Wafer

Номер: KR0100687015B1
Автор:
Принадлежит:

Подробнее
05-07-2016 дата публикации

SUBSTRATE PROCESSING DEVICE, SUBSTRATE PROCESSING METHOD, AND RECORDING MEDIUM RECORDING SUBSTRATE PROCESSING PROGRAM

Номер: KR1020160078901A
Принадлежит:

The present invention is to restrain substrate transfer on a position detector, and promotes an increase in a throughput. Provided is a substrate processing device which includes a process module for processing a substrates; a position detector for detecting a position of the substrate; and a control unit for controlling the position detector to measure a position of a selected substrate according to a measuring distance of a set process module among the substrates processed inside the same process module. COPYRIGHT KIPO 2016 (AA) Measurement interval (BB) Whole counter: Five times (CC) Individual counter: Two times (DD) Individual counter: Three times (EE) Whole counter (FF) Individual counter 1 (GG) Individual counter 2 (HH) Legend (II) Wafer (JJ) Measurement of a location detector (KK) Recipe designated to the individual counter 1 (LL) Recipe designated to the individual counter 2 (MM) Recipe which is not individually measured (NN) TNS measurement at the whole counter (OO) Duplicate ...

Подробнее
07-04-2014 дата публикации

WORK HOLDING MECHANISM

Номер: KR1020140042838A
Автор:
Принадлежит:

Подробнее
30-03-2020 дата публикации

DRAWING APPARATUS AND DRAWING METHOD

Номер: KR1020200033723A
Автор: HISANO MASASHI
Принадлежит:

Подробнее
11-03-2020 дата публикации

SELF ASSEMBLY DEVICE FOR SEMICONDUCTOR LIGHT EMITTING DEVICE

Номер: KR1020200026765A
Принадлежит:

Подробнее
28-10-2019 дата публикации

transfer unit and transfer method

Номер: KR1020190121433A
Автор:
Принадлежит:

Подробнее
23-01-2013 дата публикации

FRAME SUPPLY DEVICE AND A FRAME SUPPLYING METHOD CAPABLE OF EXTRACTING A FRAME FROM A FRAME MAGAZINE

Номер: KR1020130009539A
Принадлежит:

PURPOSE: A frame supply device and a frame supplying method are provided to improve a throughput by extracting a frame after a fine vibration is applied to the frame magazine in a z axis direction. CONSTITUTION: A CPU board(201) controls a motor control board(210), an I/O board(220), a operation panel(230) and a hard disk(240). The motor control board controls a loader magazine Y axis motor(211), a loader magazine z axis motor(212) and a loader feeder motor(213). The I/O board controls a frame sensor(222), a buzzer part(223), and a rotation display unit. The operation panel controls a display unit. A hard disk controls a control program part(241) and a data retention/read part. COPYRIGHT KIPO 2013 [Reference numerals] (201) CPU board; (210) Motor control board; (211) Loader magazine Y axis motor; (212) Loader magazine Z axis motor; (213) Loader feeder motor; (220) I/O board; (221) Loader feeder hook electromagnetic switching valve; (222) Frame sensor; (223) Buzzer part; (224) Patlite display ...

Подробнее
02-06-2006 дата публикации

WAFER PREALIGNMENT APPARATUS, ITS METHOD FOR JUDGING WAFER PRESENCE, METHOD FOR SENSING WAFER EDGE POSITION, COMPUTER-READABLE RECORD MEDIUM WITH RECORDED PROGRAM FOR EXECUTING THIS POSITION SENSING METHOD, APPARATUS FOR SENSING WAFER EDGE POSITION, AND PREALIGNMENT SENSOR

Номер: KR1020060060057A
Принадлежит:

A wafer prealignment apparatus comprising a CCD linear sensor and a wafer prealignment method which facilitate the sensing of wafer presence, improvement in precision of position sensing, and edge sensing adapted to the material of the wafer even though the material is transparent or not. A photodiode (27) is provided in a vicinity of the first pixel from the center shaft of the wafer rotation means of a CCD linear sensor (5) to detect a wafer (1) on the basis of the luminous energy value. The time from the issuance of a measurement command to the sensor (5) to that of an ROG signal for transmitting the detection timing of the sensor (5) is measured to correct the angular error of a measurement position due to the rotation during this measurement. For sensing an edge position of the wafer (1), the scan start point of the sensor (5) is set on the opposite side of the center shaft of the wafer rotation means. When the wafer is transparent, a sense signal is binarized to set its change point ...

Подробнее
14-05-2020 дата публикации

Method for transferring substrate and Method for processing substrate having the same

Номер: KR1020200052069A
Автор:
Принадлежит:

Подробнее
04-06-2020 дата публикации

Apparatus for semiconductor treatment and system for semiconductor treatment

Номер: KR1020200062625A
Автор:
Принадлежит:

Подробнее
20-08-2020 дата публикации

DEVICE FOR REMOVING DEFECTIVE LED

Номер: KR1020200097929A
Автор:
Принадлежит:

Подробнее
31-01-2018 дата публикации

METHOD FOR DETECTING ALIGNMENT ERROR OF CHIPS, MANUFACTURING METHOD OF FAN-OUT PANEL LEVEL PACKAGE USING SAME, AND FAN-OUT PANEL LEVEL PACKAGE

Номер: KR1020180010378A
Принадлежит:

The present invention provides a method for detecting an alignment error of chips capable of detecting alignment errors of chips at a high speed, and a manufacturing method of a package using the same. The method comprises the following steps of: scanning a substrate and the chips, and obtaining images; obtaining absolute differences of reference chips with respect to the substrate in the images; obtaining relative differences of dependent chips in the images with respect to the reference chips; and calculating the alignment errors of the chips from the absolute differences and the relative differences. COPYRIGHT KIPO 2018 (AA) Start (BB) End (S610) Aligning a substrate (S620) Scanning chips in an X direction (S630) Obtaining absolute vertical differences (S640) Obtaining relative vertical differences (S650) Calculating vertical alignment errors (S660) Scanning chips in a Y direction (S670) Obtaining absolute horizontal differences (S680) Obtaining relative horizontal differences (S690) ...

Подробнее
31-07-2020 дата публикации

connector module and upper module for gas supply apparatus of gas cylinder

Номер: KR1020200091548A
Автор:
Принадлежит:

Подробнее
26-02-2019 дата публикации

반도체 디바이스 위치 결정을 위한 반도체 디바이스 위치 결정 시스템과 방법

Номер: KR1020190019088A
Принадлежит:

... 반도체 디바이스를 위치시키기 위한 위치 결정 시스템과 방법이 개시된다. 실시예에서, 반도체 디바이스를 위치시키기 위한 위치 결정 시스템은 평면 내에서 지지 구조체에 대해 이동 가능하게 구성된 롱-스트로크 스테이지와, 롱-스트로크 스테이지에 부착되고 반도체 디바이스를 운반하며 평면 내에서 선형으로 이동 가능하게 구성된 쇼트-스트로크 스테이지를 포함한다. 롱-스트로크 스테이지는 쇼트-스트로크 스테이지와 지지 구조체 사이의 균형 질량체로서 작용한다.

Подробнее
26-10-2017 дата публикации

AUTOMATIC TEACHING SYSTEM OF TRANSFER ROBOT

Номер: KR1020170118987A
Принадлежит:

An automatic teaching system of a transfer robot is provided. The automatic teaching system of the transfer robot includes a sensing portion, a data processing portion, a control portion, and a communication portion. The sensing portion includes: a central sensor for aligning a cassette and a robot hand of a transfer robot; a height sensor for measuring the height of the robot hand; an interval sensor portion for measuring an interval of the robot hand; and a depth sensor for measuring the depth of the robot hand. Accordingly, the present invention aims to provide an automatic teaching system of a transfer robot capable of preventing damage to a glass substrate due to a collision with a cassette at the time of the operation of equipment and automating a process. COPYRIGHT KIPO 2017 ...

Подробнее
22-07-2003 дата публикации

APPARATUS AND METHOD FOR INSPECTING ALIGNMENT ANGLE OF OBJECT OF ION IMPLANTING EQUIPMENT

Номер: KR20030061636A
Автор: NAM, SEUNG MAN
Принадлежит:

PURPOSE: An apparatus for inspecting an alignment angle of an object of ion implanting equipment is provided to prevent a channeling phenomenon caused by ion implantation and improve process reliability and yield by correcting the position of the object so that the object can be located in a precise position. CONSTITUTION: The scale(16) that displays the angle to the center of the object is formed in the edge of a body(12), having a type of an object in an ion implantation process. A center axis(14) is formed of a type protruding from the center of the body. A display unit displays the angle of the scale in a direction that the load from the center of the center axis operates, capable of rotating with respect to the center axis. © KIPO 2003 ...

Подробнее
28-08-2002 дата публикации

LOADPORT FOR SEMICONDUCTOR PROCESSING APPARATUS

Номер: KR20020068779A
Принадлежит:

PURPOSE: A loadport for semiconductor processing apparatus is provided to detect a loading state of a cassette loaded on the load port by forming a loading sensor and a kinematic coupling portion in the load port. CONSTITUTION: A loadport(200) has a flat surface. A bottom part of a cassette is loaded on the flat surface of the loadport(200). Three kinematic coupling portions(210) are formed on the flat surface of the loadport(200). Three coupling grooves are formed on the bottom part of the cassette. The coupling grooves of the cassette are combined with the kinematic coupling portions(210) of the loadport(200). A loading sense portion is installed in the kinematic coupling portions(210) in order to detect a loading state of the cassette. The loading sense portion is formed with a plurality of loading sensors(220). © KIPO 2003 ...

Подробнее
28-02-2018 дата публикации

마이크로 위치결정 시스템을 갖는 급속 열처리 챔버

Номер: KR1020180021220A
Принадлежит:

... 기판과 기판 지지부를 동축으로 정렬하는 것, 또는 경험적으로 결정된 위치를 가지는 평판형 기판의 급속 열처리를 위한 방법 및 장치가 개시된다. 방법 및 장치는 기판 및 기판 지지부의 상대적 방향을 결정하는 센서 시스템을 포함하는 장치 및 방법을 포함한다.

Подробнее
15-10-2019 дата публикации

Номер: KR1020190116967A
Автор:
Принадлежит:

Подробнее
05-09-2002 дата публикации

COMPONENT HOLDING HEAD, COMPONENT MOUNTER AND MOUNTING METHOD USING IT

Номер: KR20020070153A
Автор: OGIMOTO SHINICHI
Принадлежит:

PURPOSE: To mount an electronic component having a positioning mark put on a film member on a liquid crystal substrate, or the like, while positioning with high accuracy. CONSTITUTION: The electronic component 9 being mounted on the substrate 2 comprises a chip component 92 mounted on a polyimide resin translucent film member 91. At the time of mounting the electronic component 9 on the substrate 2, an image pickup unit 87 picks up the image of the positioning mark 91b put on the film member 91 using transmitted light and the electronic component 9 is positioned on the substrate 2 based on the data thus picked up. The part holding head 81 has an optical path made of a cut part 811b in the body 811 for suction-holding the electronic component 9 and suction holes 811a are arranged in the vicinity thereof on the opposite sides of the positioning mark 91b. Deformation, e.g. drooping, of the film member 91 is avoided at the part where the positioning mark 91b is put and the electronic component ...

Подробнее
06-03-2004 дата публикации

LOAD PORT COMPATIBLE WITH A PLURALITY OF KINDS OF CASSETTES WITH WORKPIECE SUBSTRATES STORED

Номер: KR20040019822A
Автор: OBIKANE TADASHI
Принадлежит:

PURPOSE: To enable a load port to receive a plurality kinds of cassettes with objects to be inspected stored therein. CONSTITUTION: The load port 1, capable of receiving a plurality of kinds of cassettes with workpiece substrates stored. The load port 1 includes a main body 2, an opening 3 on one side of the main body 2 (the opening 3 has a structure with three faces of the front, and the right and left sides thereof that are open), an installation stand 4 on the opening 3 for mounting the cassette, a first sensor mechanism on the installation stand 4 (the first sensor mechanism identifies the kind of the cassette mounted on the installation stand 4), a plurality of kinds of clamping mechanisms on the installation stand 4 (each kind of clamping mechanism in the clamping mechanisms clamps each of the kinds of cassettes, identified by corresponding to the identification of the first sensor), and a elevating and lowering cover mechanism covering the opening 3 of the main body 2 (the cover ...

Подробнее
20-09-2019 дата публикации

Номер: KR1020190107514A
Автор:
Принадлежит:

Подробнее
29-12-2006 дата публикации

CHEMICAL VAPOR DEPOSITION APPARATUS USING ROTARY HEATER STRUCTURE FOR OBTAINING UNIFORM THICKNESS FROM DEPOSITED LAYER AND CONTROLLING METHOD THEREOF

Номер: KR1020060135168A
Автор: UM PYUNG YONG
Принадлежит:

PURPOSE: A CVD apparatus and a controlling method thereof are provided to obtain a uniform thickness from a deposited layer by using a rotary heater structure. CONSTITUTION: A CVD apparatus includes a reaction chamber(C) for supplying a space, a chamber support unit(100) for supporting the chamber, a shower head for injecting a reaction gas into the chamber, a heater(H) for heating a wafer(W), and a heater support unit(200) for supporting the heater. The CVD apparatus further includes a heater rotating unit. The heater rotating unit(300) is composed of a motor, a cam shaft for transmitting the torque of the motor, and a rotating part. The rotating part is capable of rotating the heater by using the torque transmitted from the motor through the cam shaft. © KIPO 2007 ...

Подробнее
08-05-2020 дата публикации

POSITION ADAPTIVE PROVISION OF COMPONENTS AT A PICKUP POSITION OF A COMPONENT SUPPLY DEVICE

Номер: KR1020200049665A
Автор:
Принадлежит:

Подробнее
25-09-2013 дата публикации

SYSTEMS AND METHODS OF CONTROLLING SEMICONDUCTOR WAFER FABRICATION PROCESSES

Номер: KR1020130105237A
Автор:
Принадлежит:

Подробнее
20-03-2008 дата публикации

SHAPE MEASUREMENT DEVICE TO MEASURE THE POSITION OF A SEMICONDUCTOR WAFER RECEIVED IN A CARRIER WITH HIGH PRECISION

Номер: KR1020080025389A
Автор: SHIMIZU FUSAO
Принадлежит:

PURPOSE: A shape measuring device is provided to measure a carrier used for transferring a plurality of semiconductor wafers in a production line of a semiconductor device. CONSTITUTION: A shape measuring device includes a main body(110), an image processing unit, a controller, a host computer, and an input/output unit. The main body has a stage(12) to mount a carrier(18) thereon and a measuring head(10). The stage is fixed to a pedestal(21). The measuring head measures the shape of the carrier by moving three-dimensionally with respect to the carrier by X, Y, and Z axis moving units(15). A case to receive the measuring head is mounted on the pedestal. The X axis moving unit is arranged between the pedestal and the case to move the case in an X axis direction. The shape measuring device further includes dustproof sheets(14) arranged at upper and lower parts of the measuring head to prevent dusts in the case from leaking outside. © KIPO 2008 ...

Подробнее
05-06-2020 дата публикации

Carrier buffer apparatus

Номер: KR1020200063659A
Автор:
Принадлежит:

Подробнее
21-09-2020 дата публикации

Cooling apparatus and method for load lock chamber

Номер: KR1020200108565A
Автор:
Принадлежит:

Подробнее
21-10-2020 дата публикации

SITTER FOR HANDLING PROCESS OF ELECTRONIC COMPONENT AND STACKER SYSTEM THEROF

Номер: KR1020200120291A
Автор:
Принадлежит:

Подробнее
12-12-2018 дата публикации

METHOD FOR CONFIRMING OPTICAL AXIS OF LASER PROCESSING APPARATUS

Номер: KR1020180132538A
Автор: SAI SEIICHI
Принадлежит:

The present invention relates to provide a method for confirming an optical axis of a laser processing apparatus, which can more easily confirm an optical axis compared to a conventional invention, comprising: a maintaining step of maintaining a backside of a chuck table inspecting wafer to expose a metal layer stacked on a surface; a first laser processing mark forming step of forming a first laser processing mark on the metal layer stacked on the surface of the inspecting wafer by irradiating a laser beam to a first coordinate position of the inspecting wafer in a state that a position of a focusing point of the laser beam is positioned at a first height after performing the maintaining step; a second laser processing mark forming step of forming a second laser processing mark on the metal layer stacked on the surface of the inspecting wafer by irradiating the laser beam to a second coordinate position of the inspecting wafer in a state that the focusing point of the laser beam is positioned ...

Подробнее
19-11-2020 дата публикации

SUBSTRATE TREATING METHOD AND SUBSTRATE TREATING APPARATUS

Номер: KR1020200130531A
Автор:
Принадлежит:

Подробнее
03-07-2018 дата публикации

METHOD OF DETECTING STATUS OF WAFER FIXING APPARATUS

Номер: KR1020180074006A
Принадлежит:

The present invention provides a method of detecting status of a wafer fixing apparatus including a lower body, a rotation wheel provided on the lower body, a spring connected with the rotation wheel and the lower body, a gear rotated by the rotation wheel, and a fixing part rotated by the gear, the method including: a step of connecting a motor and a wafer fixing apparatus to each other; a step of driving the motor; a step of rotating the wafer fixing apparatus by driving the motor; a step of detecting a rotational force of the motor by using a sensor; and a step of a control part connected with the sensor determining the status of the wafer fixing apparatus via the detected rotational force of the motor. The present invention provides a method of detecting an abnormal status in the motor, the wafer fixing apparatus, and a connection part connecting the wafer fixing apparatus and the motor to each other via rotational force of the motor. COPYRIGHT KIPO 2018 (S1) Step of connecting motor ...

Подробнее
15-12-2010 дата публикации

ELECTROSTATIC CHUCK ASSEMBLY WITH CAPACITIVE SENSE FEATURE, AND RELATED OPERATING METHOD

Номер: KR1020100131491A
Автор:
Принадлежит:

Подробнее
25-11-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND IRRADIATION POSITION ADJUSTING METHOD

Номер: KR1020200132745A
Автор:
Принадлежит:

Подробнее
22-01-2020 дата публикации

SUBSTRATE TRANSPORTATION METHOD

Номер: KR1020200007889A
Автор:
Принадлежит:

Подробнее
14-01-2020 дата публикации

LOAD PORT AND EFEM

Номер: KR1020200004756A
Автор:
Принадлежит:

Подробнее
22-03-2023 дата публикации

다이 본딩 장치 및 반도체 장치의 제조 방법

Номер: KR20230040266A
Принадлежит:

... [과제] 검사 결과의 로그 데이터에 기초하여 자기 진단을 행하기 위한 기술을 제공하는 데 있다. [해결 수단] 다이 본딩 장치는, 기판 상에 페이스트상 접착제를 도포하는 도포 장치와, 기판에 도포된 페이스트상 접착제를 촬상하는 촬상 장치와, 촬상 장치가 촬상한 페이스트상 접착제의 화상에 기초하여 외관 검사를 행하는 제어 장치를 구비한다. 제어 장치는, 페이스트상 접착제를 기판에 도포할 때마다 외관 검사를 행하여, 화상으로부터 산출한 페이스트상 접착제의 도포 면적 및 페이스트상 접착제의 도포 위치, 또는 그 중 어느 한쪽을 포함하는 데이터를 로그 데이터로서 기억 장치에 기록하고, 외관 검사 시에 취득한 데이터와 해당 외관 검사 시보다 전에 기억 장치에 기록한 로그 데이터에 기초하여 도포된 페이스트상 접착제의 상태가 정상인지 또는 이상인지를 판단하도록 구성된다.

Подробнее
21-09-2019 дата публикации

Номер: TWI672188B
Принадлежит: DISCO CORP, DISCO CORPORATION

Подробнее
21-06-2019 дата публикации

Номер: TWI663568B
Автор: CUI LIN, CUI, LIN
Принадлежит:

Подробнее
16-08-2016 дата публикации

Article storage facility

Номер: TW0201628945A
Принадлежит:

An article storage facility includes a measuring jig for measuring an amount of tilt of a support body. A controller for controlling operation of an article transport device performs a tilt measurement control for controlling operation of the article transport device to transport the measuring jig sequentially to each of a plurality of measurement target storage sections which is all or part of the plurality of storage sections.

Подробнее
16-04-2019 дата публикации

High resolution stage positioner

Номер: TW0201916239A
Принадлежит:

A mechanism for localizing a substrate relative to a projection camera or other apparatus over large travel distances is described. The mechanism includes one or more trucks that move with the stage in a primary direction and remain stationary when the stage moves in an ancillary direction. The position of the trucks, together with relative distances between the truck(s) and a stage on which the substrate is supported facilitates alignment.

Подробнее
16-05-2009 дата публикации

Jig for detecting position

Номер: TW0200921836A
Принадлежит:

A position alignment of a transfer point of a transfer arm is performed by using a position detecting wafer capable of being loaded into an apparatus having a thin transfer port. The position detecting wafer S includes an electrostatic capacitance detecting sensor 50 for detecting an electrostatic capacitance in relation with a reference object for the position alignment. The electrostatic capacitance detecting sensor 50 includes a plurality of electrostatic capacitance detecting electrodes 52, each forming the electrostatic capacitance in relation with the reference object; and a control circuit 51 for controlling a detection of the electrostatic capacitance by each electrostatic capacitance detecting electrode 52, while communicating with each electrostatic capacitance detecting electrode 52. The electrostatic capacitance detecting electrodes 52 are provided on a rear surface of the position detecting wafer S, and the control circuit 51 is provided on a front surface of the position detecting ...

Подробнее
16-12-2011 дата публикации

Module IC handler and loading method in module IC handler

Номер: TW0201144196A
Принадлежит:

This invention discloses a module IC handler and a loading method in module IC handler. According to this invention, a technology is disclosed that a detection device used for detecting whether a carrier is loaded with module IC is equipped to a operation device, enabling the operation device operation from being able to loading module IC for the carrier plate to being able to detect whether the module IC is loaded and the loading direction of the module IC.

Подробнее
01-06-2011 дата публикации

Wafer testing conveyor and its conveyor detection method

Номер: TW0201118972A
Принадлежит:

The present invention relates to a wafer testing conveyor and its conveyor detection method. It includes a first conveyor, a second conveyor, a detector and a transmission unit, wherein the first conveyor is provided for transport a wafer to the second conveyor. In the testing process, mainly through the transmission unit, driving the detector to detect or capture an image of the wafer on the second conveyor. In addition, during on-wafer testing, the second transmission unit will temporarily stop the delivery of the wafer to enables a further increase in inspection accuracy.

Подробнее
01-05-2006 дата публикации

Methods and apparatus for supporting substrates

Номер: TW0200613814A
Принадлежит:

Substrate support methods and apparatus include vertically aligned lift pins that have bearing surfaces that engage friction plates and/or magnetic fields to maintain the vertical orientation of the lift pins during substrate lifting. In some embodiments, a magnetic field and/or weighting may alternatively or additionally be used to control the vertical orientation of the lift pins, limit the angle of the lift pins, and/or prevent the lift pins from unintentionally binding in a susceptor as the susceptor is raised and prevent the resulting uneven support of the substrate.

Подробнее
16-02-2012 дата публикации

Method and system for removal of films from peripheral portions of a substrate

Номер: US20120037593A1
Принадлежит: Screen Semiconductor Solutions Co Ltd

A substrate processing apparatus includes an anti-reflection film processing block, a resist film processing block, and a resist cover film processing block. In the processing blocks, an anti-reflection film, a resist film, and a resist cover film are formed on a substrate, respectively. Additionally, a film formed at a peripheral edge of the substrate is removed. The film formed at the peripheral edge of the substrate is removed by supplying a removal liquid capable of dissolving and removing the film to the peripheral edge of the substrate during rotation. When the peripheral edge of the film is removed, the position of the substrate is corrected such that the center of the substrate coincides with the center of a rotation shaft.

Подробнее
16-02-2012 дата публикации

Measurement system and method

Номер: US20120041583A1
Принадлежит: Individual

A method of measuring planar defects in a substrate may include positioning a sensor proximate to an area configured to receive a substrate.

Подробнее
26-04-2012 дата публикации

Dual Sensing End Effector with Single Sensor

Номер: US20120101633A1
Автор: Matthew J. Rodnick
Принадлежит: Lam Research Corp

Systems, methods, and computer programs are presented for an end effector with a dual optical sensor. One end effector includes an arm, a mapping sensor, and a load sensor. The arm has one end connected to a pivoting joint, and a light signal is routed around the arm through a single light path. The mapping sensor is used for identifying the presence of the wafer when the wafer is not loaded on the end effector. The load sensor is used for identifying presence of the wafer on the end effector when the wafer is loaded on the end effector. The load sensor is defined by a second segment in the single light path such that the wafer intersects the second segment and interferes with the single light path when the wafer is loaded. A control module determines if an interruption in the single light path corresponds to an interruption of the single light path in the mapping sensor or the load sensor. As a result, one single light sensor is used to sense for two different conditions in the end effector.

Подробнее
27-09-2012 дата публикации

Wafer tilt detection system

Номер: US20120245882A1
Принадлежит: Macronix International Co Ltd

A method of improving wafer yield by accurately identifying tilted wafer conditions may include providing inquiry signals in gaps defined between machine signals where the inquiry signals relate to temperature information indicative of a temperature of a hot plate having an item placed thereon, receiving the temperature information, and determining a seating condition of the item based on a comparison of the temperature information to an expected heat profile for a fully seated item. A corresponding apparatus is also provided.

Подробнее
07-03-2013 дата публикации

Segregating wafer carrier types in semiconductor storage devices

Номер: US20130060374A1
Принадлежит: International Business Machines Corp

A system for managing the entry of a wafer carrier into a stocker in a semiconductor manufacturing operation is disclosed. The system comprises a stocker that accepts wafer carriers via one or more input ports. The present invention provides a means for detecting the presence of a wafer carrier at the input port, means for identifying the type of the wafer carrier, and means for executing an action sequence if the stocker is not compatible with those wafer carriers. Upon detecting an erroneous condition, an action sequence is executed which may include prevention entry of the wafer carrier, and notifying an operator.

Подробнее
21-03-2013 дата публикации

Door detection system

Номер: US20130071219A1
Принадлежит: Inotera Memories Inc

A door detection system includes a robot arm unit and a movable unit. The robot arm unit includes at least one bearing platform and at least one level sensing structure disposed on the at least one bearing platform. The level sensing structure includes a plurality of contact sensors, and the wafer carrier device is disposed on the at least one level sensing structure. The movable unit includes at least one movable structure disposed on the robot arm unit. The wafer carrier device has a wafer pick-and-place opening corresponding to the door, and one end of the at least one movable structure can selectively contact the door of the wafer carrier device or been inserted into the wafer carrier device from the wafer pick-and-place opening.

Подробнее
01-08-2013 дата публикации

Transfer system

Номер: US20130197691A1
Принадлежит: Murata Machinery Ltd

For transferring an article to a desired position, even in a lateral transfer, a transfer system ( 100 ) allows transfer of an article ( 3 ) between a transport vehicle ( 2 ), which conveys the article ( 3 ) while travelling along a rail ( 1 ) provided on a ceiling, and a placement portion provided below the rail, in a lateral transfer manner. The transfer system includes a memory unit ( 101 ) and a controller ( 102 ). The memory unit stores (i) placement position information indicating a transfer position of the article when a transfer unit is to place the article onto the placement portion, and (ii) holding position information indicating a transfer position of the article when the transfer unit is to hold the article on the placement portion. The controller controls the transfer unit such that, when the transfer unit is to place the article, the article is transferred at a transfer position indicated in the placement position information and, when the transfer unit is to hold the article, the article is transferred to a transfer position indicated in the holding position information.

Подробнее
08-08-2013 дата публикации

Substrate processing apparatus, substrate processing method and non-transitory storage medium

Номер: US20130202388A1
Принадлежит: Tokyo Electron Ltd

There is provided a technique which can prevent poor processing of successive substrates in the event of a failure of a module or a transport mechanism for transporting a substrate between modules. A substrate processing apparatus includes: a plurality of modules from which a substrate holder of a substrate transport mechanism receives a substrate; a sensor section for detecting a displacement of the holding position of a substrate, held by the substrate holder, from a reference position preset in the substrate holder; and a storage section for storing the displacement, detected when the substrate holder receives a substrate from each of the modules, in a chronological manner for each module. A failure of one of the modules or the substrate transport mechanism is estimated based on the chronological data on the displacement for each module, stored in the storage section. This enables an early detection of a failure or abnormality.

Подробнее
31-10-2013 дата публикации

Wafer edge measurement and control

Номер: US20130287536A1
Автор: Blake Koelmel
Принадлежит: Applied Materials Inc

Devices and methods are provided for positioning and/or rotating a substrate without solid contact, such as by floating the wafer on a thin layer of gas. Since there is no solid contact with components of a processing chamber, features on the wafer are used to determine wafer position and rotational speed. Closed loop control systems are provided with capacitive sensors to monitor the position of the edge of the wafer in a horizontal plane. Control systems may also monitor the position of a wafer feature as it rotates, such as a notch in the edge of the wafer. Because the presence of a notch can disrupt sensors facing the edge of the wafer, methods and devices to reduce or eliminate this disruption are also provided.

Подробнее
13-03-2014 дата публикации

Mass transfer tool

Номер: US20140071580A1
Принадлежит: Individual

Systems and methods for transferring a micro device from a carrier substrate are disclosed. In an embodiment, a mass transfer tool includes an articulating transfer head assembly, a carrier substrate holder, and an actuator assembly to adjust a spatial relationship between the articulating transfer head assembly and the carrier substrate holder. The articulating transfer head assembly may include an electrostatic voltage source connection and a substrate supporting an array of electrostatic transfer heads.

Подробнее
06-01-2022 дата публикации

Transfer system for microelements

Номер: US20220002146A1
Принадлежит:

A transfer system for transferring multiple microelements to a receiving substrate includes a main pick-up device, a testing device, and first and second carrier plates. The testing device includes a testing platform, a testing circuit, and multiple testing electrodes electrically connected to the testing circuit. The main pick-up device is operable to releasably pick up the microelements from the first carrier plate and position the microelements on the testing electrodes. The testing device is operable to test the microelements to distinguish unqualified ones of the microelements from qualified ones. The main pick-up device is operable to release the qualified ones of the microelements to the receiving substrate. 1. A transfer system for transferring a plurality of microelements to a receiving substrate , said transfer system comprising:a main pick-up device;a testing device including a testing platform, a testing circuit mounted to said testing platform, and a plurality of testing electrodes mounted to said testing platform and electrically connected to said testing circuit;a first carrier plate configured for releasably holding the microelements; anda second carrier plate configured for releasably holding the receiving substrate,wherein said main pick-up device is operable to releasably pick up the microelements from said first carrier plate and correspondingly position the microelements on said testing electrodes of said testing device,wherein said testing device is operable to test the microelements positioned thereon to distinguish unqualified ones of the microelements from qualified ones of the microelements, andwherein said main pick-up device is operable to release the qualified ones of the microelements to the receiving substrate.2. The transfer system as claimed in claim 1 , further comprising an inverse pick-up device that is operable to releasably pick up the unqualified ones of the microelements from said main pick-up device claim 1 , wherein said ...

Подробнее
07-01-2021 дата публикации

Nozzle apparatus, apparatus and method for treating substrate

Номер: US20210001357A1
Принадлежит: Semes Co Ltd

An apparatus for performing liquid treatment for a substrate is provided. The apparatus for performing the liquid treatment for the substrate may include a housing having a treatment space, a substrate support unit to support and rotate the substrate in the treatment space, a liquid feeding unit including a nozzle device including a central exhaust port and multiple first outer exhaust ports, which are provided in a shape of a ring to form a concentric circle with the central exhaust port to feed mutually different treating liquids onto the substrate through respective exhaust ports, and a controller to control the liquid feeding unit.

Подробнее
04-01-2018 дата публикации

WAFER ALIGNMENT WITH RESTRICTED VISUAL ACCESS

Номер: US20180001420A1
Автор: Pass Thomas
Принадлежит:

Wafer alignment with restricted visual access has been disclosed. In an example, a method of processing a substrate for fabricating a solar cell involves supporting the substrate over a stage. The method involves forming a substantially opaque layer over the substrate. The substantially opaque layer at least partially covers edges of the substrate. The method involves performing fit-up of the substantially opaque layer to the substrate. The method involves illuminating the covered edges of the substrate with light transmitted through the stage, and capturing a first image of the covered edges of the substrate based on the light transmitted through the stage. The method further includes determining a first position of the substrate relative to the stage based on the first image of the covered edges. The substrate may be further processed based on the determined first position of the substrate under the substantially opaque layer. 1. A system for processing a substrate for fabrication of a solar cell , the system comprising:a stage configured to support the substrate;a layer formation station configured to form a substantially opaque layer over the substrate and to fit-up the substantially opaque layer to the substrate, wherein the substantially opaque layer at least partially covers edges of the substrate;a light source configured to illuminate the at least partially covered edges of the substrate through the stage;an image sensor configured to capture a first image of the at least partially covered edges of the substrate based on the light transmitted through the stage;a computing device configured to determine a first position of the substrate relative to the stage based on the first image of the covered edges; anda processing station configured to process the substrate based on the determined first position of the substrate under the substantially opaque layer.2. The system of claim 1 , wherein: place a metal sheet over the substrate, and', 'apply pressure to the ...

Подробнее
06-01-2022 дата публикации

Fluxless gang die bonding arrangement

Номер: US20220005720A1
Автор: Jian Zhang
Принадлежит: Individual

The present invention comprises an arrangement and process for the fluxless manufacture of an integrated circuit component, comprising the steps of loading a solder ball and chip arrangement, solder ball side up or down, onto a first or a second donor chuck respectively; monitoring the solder ball and chip arrangement by a computer-controlled camera; removing the solder ball and chip arrangement from the donor chuck by a computer-controlled gripper mechanism; moving the solder ball and chip arrangement via the gripper mechanism onto a computer-controlled gang carrier, the monitored by a second computer controlled camera; flipping the gang carrier about a horizontal axis so as to arrange the solder ball and chip arrangement into an inverted, solder ball side down orientation over a receiver chuck substrate, monitored and positionally controlled by a third computer-controlled camera; and compressing the solder ball side down solder ball and chip arrangement onto the receiver chuck substrate by a computer-controlled compression rod so as to bond the solder ball side down solder ball and chip arrangement onto the receiver chuck substrate so as to form an integrated circuit assembly.

Подробнее
02-01-2020 дата публикации

Photolithography Method and Photolithography System

Номер: US20200003701A1
Принадлежит:

A photolithography method includes dispensing a first liquid onto a first target layer formed over a first wafer through a nozzle at a first distance from the first target layer; capturing an image of the first liquid on the first target layer; patterning the first target layer after capturing the image of the first liquid; comparing the captured image of the first liquid to a first reference image to generate a first comparison result; responsive to the first comparison result, positioning the nozzle and a second wafer such that the nozzle is at a second distance from a second target layer on the second wafer; dispensing a second liquid onto the second target layer formed over the second wafer through the nozzle at the second distance from the second target layer; and patterning the second target layer after dispensing the second liquid. 1. A photolithography method , comprising:dispensing a first liquid onto a first target layer formed over a first wafer through a nozzle at a first distance from the first target layer;capturing an image of the first liquid on the first target layer;patterning the first target layer after capturing the image of the first liquid;comparing the captured image of the first liquid to a first reference image to generate a first comparison result;responsive to the first comparison result, positioning the nozzle relative to a second wafer such that the nozzle is at a second distance from a second target layer on the second wafer wherein the second distance is different from the first distance;dispensing a second liquid onto the second target layer formed over the second wafer through the nozzle at the second distance from the second target layer; andpatterning the second target layer after dispensing the second liquid.2. The photolithography method of claim 1 , wherein capturing the image of the first liquid is performed during dispensing of the first liquid.3. (canceled)4. The photolithography method of claim 1 , further comprising:curing ...

Подробнее
02-01-2020 дата публикации

METHOD OF ANALYZING SEMICONDUCTOR STRUCTURE

Номер: US20200003825A1
Принадлежит:

A method includes loading the semiconductor structure on a stage; providing a detector disposed above the semiconductor structure and the stage; applying a voltage to the semiconductor structure; identifying a portion of the semiconductor structure at a temperature substantially greater than a predetermined threshold by the detector; rotating the stage and recording a rotation of the stage after identifying the portion of the semiconductor structure; and deriving a position of the portion of the semiconductor structure based upon the rotation of the stage. 1. A method , comprising:loading the semiconductor structure on a stage;providing a detector disposed above the semiconductor structure and the stage;applying a voltage to the semiconductor structure;identifying a portion of the semiconductor structure at a temperature substantially greater than a predetermined threshold by the detector;rotating the stage and recording a rotation of the stage after identifying the portion of the semiconductor structure; andderiving a position of the portion of the semiconductor structure based upon the rotation of the stage.2. The method of claim 1 , wherein the rotation of the stage includes rotating the stage about at least one of a first axis of the stage claim 1 , a second axis of the stage substantially orthogonal to the first axis and a third axis of the stage substantially orthogonal to the first axis and the second axis.3. The method of claim 1 , wherein the recording of the rotation of the stage includes recording a first rotation of the stage about the first axis in a first angle claim 1 , recording a second rotation of the stage about the second axis in a second angle claim 1 , or recording a third rotation of the stage about the third axis in a third angle.4. The method of claim 1 , wherein the stage is rotated relative to the detector.5. The method of claim 1 , wherein the detector is stationary.6. The method of claim 1 , further comprising: 'recording a level of IR ...

Подробнее
13-01-2022 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE TRANSPORT METHOD

Номер: US20220013385A1
Принадлежит:

A substrate processing apparatus includes a load port, a load lock chamber, a processing module, a substrate transport mechanism, and a controller. The substrate transport mechanism includes a plurality of substrate holders, each of which is configured hold one substrate. The controller is configured to control, when the processing module is configured to process one substrate at a time, the substrate transport mechanism such that a first substrate holder transports the substrate between the load port and the processing module and a second substrate holder transports the substrate between the load lock chamber and the processing module. The controller is further configured to control, when the processing module is configured to simultaneously process the plurality of substrates, the substrate transport mechanism such that the plurality of substrate holders simultaneously transport the plurality of substrates between the load port, the load lock chamber, and the processing module. 114-. (canceled)15. A substrate processing apparatus comprising:a load port in which a substrate accommodation container accommodating at least one substrate is placed, the load port being included in an atmospheric portion in which the substrates are processed under atmospheric pressure;a load lock chamber through which the substrates are delivered between the atmospheric portion and a decompressed portion in which the substrate is processed under a reduced pressure;a processing module configured to process the substrates in the atmospheric portion;a substrate transport mechanism configured to transport the substrates between the load port, the load lock chamber, and the processing module; anda controller configured to control operations of the substrate transport mechanism,wherein the substrate transport mechanism includes a plurality of substrate holders, each of which is configured to hold one substrate, and control, when the processing module is configured to process one substrate at a ...

Подробнее
07-01-2016 дата публикации

SUBSTRATE TREATING APPARATUS AND METHOD OF TREATING SUBSTRATE

Номер: US20160005630A1
Принадлежит:

A substrate treating apparatus includes a rotating and holding unit that rotates a substrate, a first supply source that supplies first pure water having a first temperature, a second supply source that supplies second pure water having a second temperature higher than the first temperature, a treatment solution supply unit that supplies a treatment solution to a central section of an upper surface of the substrate, a first supply unit that supplies a first liquid containing the first pure water to a central section of a lower surface of the substrate, a second supply unit that supplies a second liquid containing the second pure water to a peripheral section and an intermediate section of the lower surface, and a heat amount control unit that independently controls an amount of heat to be supplied by the first supply unit and an amount of heat to be supplied by the second supply unit. 1. A substrate treating apparatus , comprising:a rotating and holding unit that rotates a substrate while horizontally holding the substrate;a first supply source that supplies first pure water having a first temperature;a second supply source that supplies second pure water having a second temperature higher than the first temperature;a pipe system that guides said first pure water by dividing said first pure water into one first pure water and the other first pure water;a treatment solution supply unit that is supplied with said one first pure water from said pipe system and supplies a treatment solution to a central section of an upper surface of said substrate, said treatment solution containing said one first pure water and a chemical solution mixed so as to mainly contain said one first pure water;a first supply unit that is supplied with said other first pure water from said pipe system and supplies a first liquid mainly containing said other first pure water to a central section of a lower surface of said substrate;a second supply unit that supplies a second liquid mainly ...

Подробнее
04-01-2018 дата публикации

MINIMAL CONTACT END-EFFECTORS FOR HANDLING MICROELECTRONIC DEVICES

Номер: US20180005863A1
Принадлежит:

A minimal contact end-effector is described that may be used for handling microelectronic and similar types of devices. In one example the end-effector has a vacuum pad to generate a lifting force and a standoff fastened to the vacuum pad. The standoff has a plurality of legs with chamfered edges to contact the edges of a microelectronic device to hold the device against the lifting force. 1. An apparatus comprising:a vacuum pad to generate a lifting force; anda standoff fastened to the vacuum pad, the standoff having a plurality of legs with chamfered edges to contact the edges of a microelectronic device to hold the device against the lifting force.2. The apparatus of claim 1 , wherein the standoff legs are positioned to make contact with the edges of the device.3. The apparatus of claim 1 , wherein the standoff is fastened to the vacuum pad with a quick release mechanism.4. The apparatus of claim 1 , wherein the standoff legs make only minimal contact along the edges of the device.5. The apparatus of claim 1 , wherein the standoff requires no contact on the top of the device.6. The apparatus of claim 1 , further comprising a reflective sensor to detect the device.7. The apparatus of claim 6 , wherein the reflective sensor is used to align the standoff with the device so that the device can be gripped.8. The apparatus of claim 1 , wherein the chamfered edges of the legs guide the device into a position with respect to the vacuum pad before the vacuum pad transports the device to a different location.9. The apparatus of claim 1 , wherein the legs each have two chamfer angles to guide the device into a position near the transition line between the two chamfers.10. The apparatus of claim 1 , wherein the standoff surrounds the sides of the vacuum pad.11. The apparatus of claim 1 , wherein the vacuum pad uses the Bernoulli effect to generate the lifting force to draw the device toward the vacuum pad.12. The apparatus of claim 1 , wherein the vacuum pad uses a cyclone ...

Подробнее
07-01-2021 дата публикации

INLINE THIN FILM PROCESSING DEVICE

Номер: US20210005474A1
Автор: HONG Ying
Принадлежит:

A thin film processing device includes a showerhead for performing thin film processing for a substrate on a susceptor that moves along a transport track, and one or more transporters for supporting the susceptor. The transporters can transport the susceptor along the transport track while floating with respect to the track and not contacting the track, and can also control the height of the susceptor so as to adjust the distance from the substrate to the showerhead; and a transporter control system for controlling the transporters. 1. A thin film processing apparatus comprising:at least one tunnel-typed processing chamber which is disposed on a circular transport track comprising a predetermined straight line section and a curved section;one or more susceptors, each of which circularly moves along the transport track and comprises a stage on which a substrate to be processed is placed;a thin film processing showerhead which performs thin film processing for the substrate on the transport track and comprises at least one or more of a source gas supply module, a purge gas supply module, a reaction gas supply module, and a gas exhaust module; andone or more transporters, each of which supports the susceptor, transports the susceptor along the transport track while floating with respect to the track and not contacting the track, and controls a height of the susceptor to adjust the distance from the substrate to the showerhead,wherein the showerhead is provided with a plurality of nozzle heads, and comprises gas path switching valves each of which allows a gas reservoir and a gas of the gas reservoir to move toward the substrate when the susceptor enters under the showerhead and allows the gas of the gas reservoir to move to a bypass provided in a body of each of the nozzle heads when the susceptor is away from the showerhead.2. The thin film processing apparatus of claim 1 , wherein the transporter has a structure of a magnetic levitation-type linear motor.3. The thin ...

Подробнее
07-01-2021 дата публикации

WAFER TO WAFER BONDING METHODS AND WAFER TO WAFER BONDING APPARATUSES

Номер: US20210005475A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

In a wafer to wafer bonding method, a first wafer is vacuum suctions on a first surface of a lower stage and a second wafer is vacuum suctioned on a second surface of an upper stage. Pressure is applied to a middle portion of the first wafer by a lower push rod and pressure is applied to a middle portion of the second wafer by an upper push rod. Bonding of the first and second wafers propagates radially outwards. A bonding propagation position of the first and second wafers is detected. A ratio of protruding lengths of the lower push rod and the upper push rod is changed according to the bonding propagation position. 1. A wafer bonding apparatus , comprising:a vacuum pump;a lower stage having a first surface and including a plurality of first suction holes in the first surface, wherein the lower stage is configured to vacuum suction a first wafer on the first surface based on a vacuum pressure being supplied to the plurality of first suction holes from the vacuum pump;an upper stage having a second surface and including a plurality of second suction holes in the second surface, wherein the upper stage is configured to vacuum suction a second wafer on the second surface based on the vacuum pressure being supplied to the plurality of second suction holes from the vacuum pump;a lower push rod movable through a first center hole in a middle portion of the lower stage to contact and apply pressure to a middle region of the first wafer that overlaps with the first center hole;an upper push rod movable through a second center hole in a middle portion of the upper stage to contact and apply pressure to a middle region of the second wafer that overlaps with the second center hole;a position detection sensor configured to generate wafer position information indicating a bonding propagation position of the first wafer and the second wafer based on detecting at least one of the first wafer or the second wafer through a detection hole in at least one stage of the lower stage or ...

Подробнее
04-01-2018 дата публикации

DEVICE MANUFACTURING METHOD AND DEVICE MANUFACTURING APPARATUS

Номер: US20180005906A1
Принадлежит:

The device manufacturing method includes a length measuring step (S) of, on the basis of an observation target image of an SEM image taken from a direction having a predetermined angle from a direction perpendicular to a plane of a substrate, measuring the thickness of a target object, or the depth of etching, formed on the substrate. In addition, in the length measuring step, an etching angle made by a cross section of the etching and the direction perpendicular to the plane of the substrate is calculated from processing data of the target object, and the thickness of the target object or the depth of the etching is measured on the basis of the calculated etching angle. 1. A device manufacturing method comprisinga length measuring step of, on the basis of an observation target image of an SEM image taken from a direction having a predetermined angle from a direction perpendicular to a plane of a substrate, measuring a thickness of a target object, or a depth of etching, formed on the substrate, whereinin the length measuring step, an etching angle made by a cross section of the etching and the direction perpendicular to the plane of the substrate is calculated from processing data of the target object, and the thickness of the target object or the depth of the etching is measured on the basis of the calculated etching angle.2. The device manufacturing method according to claim 1 , whereinin the length measuring step, the thickness of the target object or the depth of the etching is measured on the basis of a database in which conditions of an ion beam of the etching are associated with the etching angle made by the cross section of the etching and the direction perpendicular to the plane of the substrate.3. The device manufacturing method according to claim 1 , whereininformation about a processing recipe used when the target object is formed on the substrate includes information about adjustment of a rotation of a stage on which the substrate is placed.4. The ...

Подробнее
02-01-2020 дата публикации

DETECTION SYSTEMS IN SEMICONDUCTOR METROLOGY TOOLS

Номер: US20200006033A1

A semiconductor metrology tool for analyzing a sample is disclosed. The semiconductor metrology tool includes a particle generation system , a local electrode, a particle capture device, a position detector, and a processor. The particle generation system is configured to remove a particle from a sample. The local electrode is configured to produce an attractive electric field and to direct the removed particle towards an aperture of the local electrode. The particle capture device is configured to produce a repulsive electric field around a region between the sample and the local electrode and to repel the removed particle towards the aperture. The position detector is configured to determine two-dimensional position coordinates of the removed particle and a flight time of the removed particle. The processor is configured to identify the removed particle based on the flight time. 1. A metrology tool , comprising:a particle generation system configured to remove a particle from a sample;a local electrode configured to produce an attractive electric field and to direct the removed particle towards an aperture of the local electrode;a particle capture device configured to produce a repulsive electric field around a region between the sample and the local electrode and to repel the removed particle towards the aperture;a position detector configured to determine two-dimensional position coordinates of the removed particle and a flight time of the removed particle; anda processor configured to identify the removed particle based on the flight time.2. The metrology tool of claim 1 , wherein the particle capture device is positioned between the sample and the local electrode to enclose a top portion of the sample claim 1 , a bottom portion of the local electrode claim 1 , and the region between the sample and the local electrode.3. The metrology tool of claim 2 , wherein the particle capture device is not in physical contact with the top portion and the bottom portion.4. ...

Подробнее
02-01-2020 дата публикации

COMPONENT MOUNTING SYSTEM, RESIN SHAPING DEVICE, RESIN PLACING DEVICE, COMPONENT MOUNTING METHOD, AND RESIN SHAPING METHOD

Номер: US20200006099A1
Автор: Yamauchi Akira
Принадлежит: BONDTECH CO., LTD.

A chip mounting system () includes: a chip supplying unit () for supplying a chip (CP); a stage () for holding a substrate (WT) in an orientation in which a mounting face (WTf) for mounting the chip (CP) faces vertically downward (−Z direction); a head (H) for holding the chip (CP) from the vertically downward direction (−Z direction); and a head drive unit () for, by causing vertically upward (+Z direction) movement of the head (H) holding the chip (CP), causes the head (H) to approach the stage () to mount the chip (CP) on the mounting face (WTf) of the substrate (WT). 1. A component mounting system for mounting a component on a substrate , the mounting system comprising:a component supplying unit configured to supply the component;a substrate holding unit configured to hold the substrate in an orientation such that a mounting face for mounting the component on the substrate is facing vertically downward;a head configured to hold the component from vertically below; anda head drive unit that, by causing vertically upward movement of the head holding the component, causes the head to approach the substrate holding unit to mount the component on the mounting face of the substrate.2. The component mounting system according to claim 1 , wherein the component supplying unit comprises (i) a sheet holding unit configured to hold a dicing substrate such that a sheet is positioned vertically upward of the dicing substrate claim 1 , the dicing substrate being the substrate diced and attached to the sheet claim 1 , and (ii) a picking mechanism configured to the component included in the dicing substrate by pick out vertically downward from vertically above the sheet.3. The component mounting system according to claim 1 , wherein the component mounting system further comprises a component conveying unit configured to convey the component supplied from the component supplying unit to a receiving position for the head to receive the component.4. The component mounting system ...

Подробнее
02-01-2020 дата публикации

MACHINE LEARNING ON OVERLAY VIRTUAL METROLOGY

Номер: US20200006102A1
Принадлежит:

The current disclosure describes techniques for managing vertical alignment or overlay in semiconductor manufacturing using machine learning. Alignments of interconnection features in a fan-out WLP process are evaluated and managed through the disclosed techniques. Big data and neural networks system are used to correlate the overlay error source factors with overlay metrology categories. The overlay error source factors include tool related overlay source factors, wafer or die related overlay source factors and processing context related overlay error source factors. 1. A method , comprising:determining a tool position of a wafer processing tool with respect to forming a first feature on a first wafer;determining an item position of a first portion of the first wafer corresponding to the first feature;determining an overlay metrology with respect to the first feature;generating a data set including the tool position, the item position and the overlay metrology; andgenerating a function correlating one or more of the tool position or the item position on a first side of the function with the overlay metrology on a second side of the function through machine learning based on the data set.2. The method of claim 1 , wherein the tool position includes one or more of a wafer state position claim 1 , a stepper position claim 1 , a reticle key position or an exposure position.3. The method of claim 1 , wherein the item position includes one or more of a wafer offset or a wafer rotation of the first wafer positioned on a wafer stage.4. The method of claim 3 , wherein the item position further includes one or more of a die offset or a die rotation of a die positioned on the first portion of the first wafer.5. The method of claim 1 , wherein the tool position includes one or more of a measured position claim 1 , a pre-alignment position and a post-alignment position.6. The method of claim 1 , wherein the item position includes one or more of a measured position claim 1 , a ...

Подробнее
02-01-2020 дата публикации

ALIGNMENT DEVICE, SEMICONDUCTOR WAFER PROCESSING DEVICE, AND ALIGNMENT METHOD

Номер: US20200006103A1
Принадлежит: HIRATA CORPORATION

An alignment device which aligns notch portions of wafers includes mounting tables that hold the wafers, movement units that move the mounting tables, notch portion detection units that detect a circumferential positions of the notch portion, and a controller that controls positions of the mounting tables by the movement units. The mounting tables includes a mounting table main body portion and a pad member attached to an opening in the mounting table main body portion to hold the wafers. The pad member includes the main body portion that is attached to the opening and has a through hole in a center portion thereof, the first annular portion on an end side of the pad member to abut against wafers, and the first collar portion that is integrally provided with the first annular portion and the main body portion and extends toward outside of the main body portion. 1. An alignment device which aligns notch portions at edges of wafers to a predetermined position in a circumferential direction , the alignment device comprising:a plurality of mounting tables on which the wafers are mounted and that are arranged side by side in a horizontal plane;a plurality of movement units that are configured to rotate the mounting tables respectively, and that are configured to move the mounting tables in a predetermined direction in the horizontal plane;a plurality of notch portion detection units that corresponds to the mounting tables, that are configured to respectively detect circumferential positions of the notch portions at the edges of the wafers mounted on the mounting tables; anda controller that is configured to respectively detect the circumferential positions of the wafers by the notch portion detection units, and that is configured to control positions of the mounting tables in the horizontal plane by the movement units based on information on the detected circumferential positions to prevent interference between the wafers when respectively rotating the wafers mounted on ...

Подробнее
20-01-2022 дата публикации

ALERT DEVICE AND ALERT METHOD THEREOF

Номер: US20220020157A1
Принадлежит:

The present disclosure provides an alert device and an alert method. The alert device includes an image capturing unit, an input/output unit and a processing unit. The image capturing unit is configured to capture at least one image of a wafer transportation system. The processing unit is configured to: retrieve the at least one image from the image capturing unit; define a first boundary in the at least one image; identify a wafer chuck of the wafer transportation system in the at least one image; determine whether the wafer chuck intersects the first boundary in the at least one image; and transmit an alert signal to the wafer transportation system via the input/output unit when the wafer chuck is determined to intersect the first boundary in the at least one image. 1. An alert device , comprising:an image capturing unit, being configured to capture at least one image of a wafer transportation system;an input/output unit, being configured to communicate with the wafer transportation system; retrieve the at least one image from the image capturing unit;', 'define a first boundary in the at least one image;', 'identify a wafer chuck of the wafer transportation system in the at least one image;', 'determine whether the wafer chuck intersects the first boundary in the at least one image; and', 'transmit an alert signal to the wafer transportation system via the input/output unit when the wafer chuck is determined intersect the first boundary in the at least one image., 'a processing unit, being connected to the input/output unit and the image capturing unit electrically, and configured to2. The alert device of claim 1 , wherein the processing unit is further configured to:define a second boundary in the at least one image;determine whether the wafer chuck intersects the second boundary in the at least one image; andtransmit the alert signal to the wafer transportation system via the input/output unit when the wafer chuck is determined intersect the second boundary in ...

Подробнее
20-01-2022 дата публикации

Control device, system and control method

Номер: US20220020618A1
Принадлежит: Tokyo Electron Ltd

A control device includes a reception unit configured to receive a film characteristic at a plurality of positions of a film formed on a substrate by a film forming processing based on a processing recipe, an optimization processing unit configured to execute an optimization calculation of the processing recipe based on the film characteristic, a diagnosis unit configured to diagnose a validity of an in-plane shape of the film characteristic based on the film characteristic, and a determination unit configured to determine whether or not to notify a user of an encouraging action based on a diagnosis result by the diagnosis unit.

Подробнее
08-01-2015 дата публикации

PROCESS APPARATUS WITH ON-THE-FLY SUBSTRATE CENTERING

Номер: US20150010379A1
Автор: SHARROCK Leigh F.
Принадлежит:

A substrate processing apparatus including a frame defining a chamber with a substrate transport opening and a substrate transfer plane defined therein, a valve mounted to the frame and being configured to seal an atmosphere of the chamber when closed, the valve having a door movably disposed to open and close the substrate transport opening, and at least one substrate sensor element disposed on a side of the door and oriented to sense substrates located on the substrate transfer plane. 1. A substrate processing apparatus comprising:a frame defining a chamber with a substrate transport opening and a substrate transfer plane defined therein;a valve mounted to the frame and being configured to seal an atmosphere of the chamber when closed, the valve having a door movably disposed to open and close the substrate transport opening; andat least one substrate sensor element disposed on a side of the door and oriented to sense substrates located on the substrate transfer plane.2. The substrate processing apparatus of claim 1 , wherein the at least one sensor element is facing the substrate transfer plane.3. The substrate processing apparatus of claim 1 , wherein the valve includes a housing with the substrate transfer plane being disposed therethrough.4. The substrate processing apparatus of claim 1 , wherein the valve includes a door drive configured to position the door relative to the wafer transfer plane so that the at least one substrate sensor element is located at a predetermined sensing position for sensing substrates located on the substrate transfer plane.5. The substrate processing apparatus of claim 4 , wherein the door drive includes mechanical stops configured to position the door within the housing so that the at least one substrate sensor element is located at a predetermined sensing position.6. The substrate processing apparatus of claim 4 , further comprising a controller communicably connected to the door drive where the door drive includes at least one ...

Подробнее
27-01-2022 дата публикации

SUBSTRATE MEASUREMENT SUBSYSTEM

Номер: US20220028716A1
Принадлежит:

A method for a substrate measurement subsystem is provided. An indication is received that a substrate being processed at a manufacturing system has been loaded into a substrate measurement subsystem. First positional data of the substrate within the substrate measurement subsystem is determined. One or more portions of the substrate to be measured by one or more sensing components of the substrate measurement subsystem are determined based on the first positional data of the substrate and a process recipe for the substrate. Measurements of each of the determined portions of the substrate are obtained by one or more sensing components of the substrate measurement subsystem. The obtained measurements of each of the determined portions of the substrate are transmitted to a system controller. 1. A method comprising:receiving an indication that a substrate being processed at a manufacturing system has been loaded into a substrate measurement subsystem;determining first positional data of the substrate within the substrate measurement subsystem;determining, based on the first positional data of the substrate and a process recipe for the substrate, one or more portions of the substrate to be measured by one or more sensing components of the substrate measurement subsystem;obtaining measurements of each of the determined portions of the substrate by one or more sensing components of the substrate measurement subsystem; andtransmitting the obtained measurements of each of the determined portions of the substrate to a system controller.2. The method of claim 1 , wherein the sensing components of the substrate measurement subsystem comprise one or more spectra sensing components configured to generate spectral data for each of the determined portions of the substrate.3. The method of claim 2 , wherein the spectral data comprises at least one of: reflectometry spectral data claim 2 , ellipsometry spectral data claim 2 , hyperspectral imaging data claim 2 , or chemical imaging ...

Подробнее
12-01-2017 дата публикации

SUBSTRATE CONVEYANCE METHOD

Номер: US20170011940A1
Принадлежит: TOKYO ELECTRON LIMITED

When an edge of a wafer passes above a right sensor and a left sensor disposed in a conveyance route of the wafer to a substrate processing chamber, four edge intersecting points are acquired in a first wafer coordinate system, and a reference edge intersecting point set composed of two adjacent edge intersecting points is created from the four edge intersecting points. Between the two remaining edge intersecting points which do not constitute the reference edge intersecting point set, an edge intersecting point present within an area surrounded by two circles defined based on the two edge intersecting points constituting the reference edge intersecting point set is selected as an effective edge intersecting point, and a central position of a circle passing through the reference edge intersecting points and the effective edge intersecting point is acquired as a central position of the wafer. 1. A method for conveying a disk-shaped substrate to a substrate processing chamber by a conveyance unit , the method comprising:acquiring, in advance, a reference substrate position as a reference of a position of the substrate when the substrate is conveyed;acquiring a conveyance substrate position which is a position of the substrate conveyed to the substrate processing chamber;calculating a positional deviation of the conveyance substrate position with respect to the reference substrate position; andcorrecting a pathway of the substrate to the substrate processing chamber to eliminate the calculated positional deviation, disposing two position sensors in a conveyance route of the substrate such that the two position sensors are spaced apart from each other at an interval smaller than a diameter of the substrate, and face the substrate;', 'acquiring four intersecting points where the two position sensors intersect with an outer edge of the substrate;', 'selecting two intersecting points among the four intersecting points as reference intersecting points;', 'defining two ...

Подробнее
12-01-2017 дата публикации

MAGNETIC SUSCEPTOR TO BASEPLATE SEAL

Номер: US20170011950A1
Автор: Schmotzer Michael
Принадлежит:

A reaction system for processing semiconductor substrates is disclosed. In particular, the invention discloses an arrangement of a susceptor and a baseplate for when a substrate is placed into a reaction region. Magnets are embedded into the susceptor and the baseplate in order to create a gap between the two. As a result of the gap, the invention prevents an accumulation of gaseous materials that would exist in prior art systems as well as particle generation due to physical contact between parts. 1. A reaction system for processing substrates comprising:a susceptor configured to hold a substrate;a baseplate of a reaction region, the baseplate surrounding the susceptor when the substrate is moved into the reaction region;at least one susceptor magnet embedded within the susceptor; andat least one baseplate magnet embedded within the baseplate;wherein an interaction of the at least one susceptor magnet and the at least one baseplate magnet creates a repelling force to maintain a gap between the susceptor and the baseplate.2. The reaction system of claim 1 , wherein the at least one susceptor magnet comprises at least one of the following materials: Samarium Cobalt and Neodymium.3. The reaction system of claim 1 , wherein the at least one susceptor magnet comprises a magnetic material able to withstand high temperatures.4. The reaction system of claim 1 , wherein the at least one baseplate magnet comprises at least one of the following materials: Samarium Cobalt and Neodymium.5. The reaction system of claim 1 , wherein the at least one baseplate magnet comprises a magnetic material able to withstand high temperatures.6. The reaction system of claim 1 , further comprising a monitoring system configured to track the size of the gap between the susceptor and the baseplate.7. The reaction system of claim 6 , wherein the monitoring system comprises a force gauge.8. The reaction system of claim 1 , wherein the susceptor is configured to rotate continuously.9. The reaction ...

Подробнее
09-01-2020 дата публикации

Substrate processing system, substrate processing method, and control program

Номер: US20200012254A1
Принадлежит: Tokyo Electron Ltd

A substrate processing system includes a processing unit including processing modules and a first transfer device, a loading/unloading unit including a load port holding a substrate accommodating container and a second transfer device, and a control unit. The control unit controls the substrates to be sequentially transferred. When an error has occurred in a certain processing module, the control unit executes: collecting a substrate that has been unloaded from the substrate accommodating container but has not been processed in the substrate accommodating container; continuing processing of a preceding substrate in a processing module sequentially following the processing module in which the error has occurred; retreating an error substrate processed in the process module in which the error has occurred from the processing module to a retreat position; and continuing processing of a subsequent substrate processed in a processing module sequentially preceding the processing module in which the error has occurred.

Подробнее
14-01-2021 дата публикации

Substrate support assembly and substrate processing device including the same

Номер: US20210013085A1
Принадлежит: ASM IP Holding BV

A substrate support assembly arranged in a chamber includes: a support plate including a first surface on which a substrate is seated; a driver configured to tilt the support plate such that the first surface is inclined with respect to a reference surface by a lower inclination angle; and a controller configured to control the driver such that the lower inclination angle is adjusted based on an upper inclination angle formed by the inclination of the gas supplier coupled to the upper surface of the chamber with respect to the reference surface.

Подробнее
09-01-2020 дата публикации

APPARATUS AND METHODS FOR EDGE RING REPLACEMENT, INSPECTION AND ALIGNMENT USING IMAGE SENSORS

Номер: US20200013657A1
Принадлежит:

A first edge ring is removed from a substrate support within a process module using a transfer robot. The transfer robot is then used to place a second edge ring on the substrate support. An image sensor (e.g., a disk-shaped wireless image sensor) is positioned over the second edge ring using the transfer robot. The image sensor generates image information, which is analyzed to determine alignment of the second edge ring. 1. A method comprising:removing a first edge ring from a substrate support within a process module using a transfer robot;placing a second edge ring on the substrate support using the transfer robot;positioning an image sensor over the second edge ring using the transfer robot;generating image information using the image sensor; andanalyzing the image information to determine alignment of the second edge ring.2. The method of claim 1 , wherein placing the second edge ring on the substrate support using the transfer robot comprises:supporting the second edge ring on an end effector of the transfer robot;positioning the end effector over the substrate support;extending a lift pin in the substrate support to lift the second edge ring;retracting the end effector from beneath the second edge ring; andretracting the lift pin to place the second edge ring on the substrate support.3. The method of claim 2 , wherein positioning the image sensor over the second edge ring using the transfer robot comprises:supporting the image sensor on the end effector; andpositioning the end effector over the substrate support.4. The method of claim 1 , wherein analyzing the image information is preceded by transferring the image information from the image sensor using wireless communications.5. The method of claim 1 , wherein generating the image information comprises photographing portions of the edge ring adjacent to an electrostatic chuck of the substrate support using first cameras of the image sensor and wherein analyzing the image information comprises determining a ...

Подробнее
19-01-2017 дата публикации

MASS TRANSFER TOOL

Номер: US20170015006A1
Принадлежит:

Systems and methods for transferring a micro device from a carrier substrate are disclosed. In an embodiment, a mass transfer tool includes an articulating transfer head assembly, a carrier substrate holder, and an actuator assembly to adjust a spatial relationship between the articulating transfer head assembly and the carrier substrate holder. The articulating transfer head assembly may include an electrostatic voltage source connection and a substrate supporting an array of electrostatic transfer heads. 1. A mass transfer tool comprising: a carrier substrate holder; and', 'a receiving substrate holder;, 'a lower assembly includinga stage located over the lower assembly;an articulating transfer head assembly mounted on the stage; andan actuator assembly to adjust a spatial relationship of the articulating transfer head assembly in at least six degrees of freedom.2. The mass transfer tool of claim 1 , wherein the stage is movable within an x-y plane.3. The mass transfer tool of claim 2 , wherein the lower assembly further comprises an upward-viewing imaging device.4. The mass transfer tool of claim 3 , wherein the upward-viewing imaging device is fixed in place relative to the carrier substrate holder.5. The mass transfer tool of claim 3 , wherein the upward-viewing imaging device comprises a digital camera.6. The mass transfer tool of claim 1 , further comprising a first position sensor fixed relative to the transfer head assembly to detect a position of the carrier substrate holder.7. The mass transfer tool of claim 6 , further comprising a second position sensor fixed relative to the carrier substrate holder to detect a position of the articulating transfer head assembly.8. The mass transfer tool of claim 1 , further comprising a flexure to dampen force when contacting the articulating transfer head assembly with a workpiece.9. The mass transfer tool of claim 8 , further comprising a position sensor fixed relative to the transfer head assembly to sense a ...

Подробнее
03-02-2022 дата публикации

Die Bonding Apparatus and Manufacturing Method for Semiconductor Device

Номер: US20220034823A1
Принадлежит: Fasford Technology Co Ltd

A die bonding apparatus includes a first illumination device for irradiating a die with light along an optical axis of a photographing device, and a second illumination device that is located above the first illumination device and irradiates the die with light having a predefined angle with respect to the optical axis. The second illumination device includes a second light emitting section, and a light path control member that limits a light path of second irradiation light emitted from the second light emitting section. The second illumination device is disposed in such a way that the second irradiation light, the light path of which is limited by the light path control member, passes through the cylinder of the first illumination device, and the top surface of the die is irradiated with the second irradiation light.

Подробнее
16-01-2020 дата публикации

Substrate conveying apparatus

Номер: US20200016777A1
Принадлежит: Kawasaki Jukogyo KK

A substrate conveying apparatus includes: a substrate grasping hand; a first holding member provided at a tip end portion of the substrate grasping hand and including a plurality of first jaw portions; a second holding member provided at a base end portion of the substrate grasping hand and including a plurality of second jaw portions; a first sensor provided at the base end portion of the substrate grasping hand and configured to emit light or ultrasound and detect substrates; and a controller configured to make the first sensor emit the light or the ultrasound toward spaces each between the adjacent substrates and determine whether or not the substrates are normally held.

Подробнее
17-01-2019 дата публикации

Methods And Systems For Semiconductor Metrology Based On Polychromatic Soft X-Ray Diffraction

Номер: US20190017946A1
Принадлежит: KLA Tencor Corp

Methods and systems for performing measurements of semiconductor structures based on high-brightness, polychromatic, reflective small angle x-ray scatterometry (RSAXS) metrology are presented herein. RSAXS measurements are performed over a range of wavelengths, angles of incidence, and azimuth angles with small illumination beam spot size, simultaneously or sequentially. In some embodiments, RSAXS measurements are performed with x-ray radiation in the soft x-ray (SXR) region at grazing angles of incidence in the range of 5-20 degrees. In some embodiments, the x-ray illumination source size is 10 micrometers or less, and focusing optics project the source area onto a wafer with a demagnification factor of 0.2 or less, enabling an incident x-ray illumination spot size of less than two micrometers. In another aspect, active focusing optics project programmed ranges of illumination wavelengths, angles of incidence, and azimuth angles, or any combination thereof, onto a metrology area, either simultaneously or sequentially.

Подробнее
19-01-2017 дата публикации

SUBSTRATE TRANSFER APPARATUS, SUBSTRATE TRANSFER METHOD, AND NON-TRANSITORY STORAGE MEDIUM

Номер: US20170018444A1
Принадлежит:

A substrate transfer apparatus to transfer a circular substrate provided with a cutout at an edge portion thereof, includes: a sensor part including three light source parts applying light to positions different from one another at the edge portion, and three light receiving parts paired with the light source parts; and a drive part for moving the substrate holding part, wherein the three light source parts apply light to the light receiving parts so that whether or not a detection range of the sensor part overlaps with the cutout of the substrate is determined on the basis of an amount of received light by each light receiving part, and when it is determined that there is an overlap at any position, positions of the edge portion of the substrate are further detected with the position of the substrate displaced with respect to the sensor part. 120-. (canceled)21. A substrate transfer apparatus including a substrate holding part movable in a lateral direction to transfer a circular substrate from a first module to a second module , comprising:a sensor part comprising at least four sensor pairs comprising light source parts applying light to positions different from one another at an edge portion and light receiving parts paired with the light source parts, to detect positions of at least four points of the edge portion of the substrate held by the substrate holding part;a drive part for moving the substrate holding part relative to the sensor part; anda control part outputting control signals to control operations of the substrate holding part, the drive part, and the sensor part,wherein the control part outputs the control signals to execute:a determination step of determining for each of the sensor pairs whether the sensor pair is usable or unusable on the basis of a detection result of the light receiving part of the sensor pair;a detection step of detecting positions of the edge portion of the substrate with the substrate holding part holding the substrate ...

Подробнее
03-02-2022 дата публикации

TRANSFER DETECTION METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20220037176A1
Принадлежит:

Provided is a transfer detection method for use in a substrate processing apparatus including a transfer arm, which has a plurality of substrate holders and is configured to transfer a plurality of substrates to a plurality of stages between a first chamber and a second chamber adjacent to the first chamber by using the plurality of substrate holders, and an optical sensor provided in a vicinity of an opening via which the first and second chambers are in communication with each other, the method including: projecting a light beam having a horizontal optical axis parallel to the opening to a position through which the substrates held by the plurality of substrate holders pass; and determining at least one of a state of the substrates on the substrate holders and a state of the transfer arm, in response to a detection result of the light beam projected from the optical sensor. 18-. (canceled)9. A transfer detection method for use in a substrate processing apparatus including a transfer arm , which has a plurality of substrate holders and is configured to transfer a plurality of substrates to a plurality of stages between a first chamber and a second chamber adjacent to the first chamber by using the plurality of substrate holders , and an optical sensor provided in a vicinity of an opening via which the first and second chambers are in communication with each other , the method comprising:projecting a light beam having a horizontal optical axis parallel to the opening to a position through which the substrates held by the plurality of substrate holders pass; anddetermining at least one of a state of the substrates on the substrate holders and a state of the transfer arm, in response to a detection result of the light beam projected from the optical sensor.10. The transfer detection method of claim 9 , wherein the projecting the light beam includes forming claim 9 , correspondingly to the number of substrate holders claim 9 , light beams claim 9 , each of which has ...

Подробнее
18-01-2018 дата публикации

RETICLE PURGING SYSTEM AND METHOD THEREOF

Номер: US20180019146A1
Принадлежит:

A reticle purging system includes an automated pod opener, a reticle holding device, a reticle transporting device and at least one purging device. The reticle holding device has a reticle occupiable zone thereon. The reticle transporting device is assigned with a transportation path from the automated pod opener to the reticle holding device. The reticle occupiable zone is exposed to the purging device. 1. A reticle purging system , comprising:an automated pod opener;a reticle holding device having a reticle occupiable zone thereon;a reticle transporting device assigned with a transportation path from the automated pod opener to the reticle occupiable zone; andat least one purging device to which the reticle occupiable zone is exposed.2. The reticle purging system of claim 1 , further comprising:at least one image capturing device having a field of view overlapping the reticle occupiable zone; anda purging actuator configured to actuate the purging device based on an image captured by the image capturing device.3. The reticle purging system of claim 2 , wherein a plurality of the image capturing devices are respectively present on opposite sides of the reticle occupiable zone.4. The reticle purging system of claim 1 , further comprising:at least one image capturing device having a field of view covering the reticle occupiable zone;a reticle classifying unit configured to make a classification result based on an image captured by the image capturing device;an unloading device assigned with different transportation destinations; andan unloading actuator configured to actuate the unloading device to move to one of the transportation destinations based on the classification result.5. The reticle purging system of claim 4 , further comprising:a plurality of reticle cabinets, wherein the transportation destinations assigned to the unloading device are respectively located in the reticle cabinets.6. The reticle purging system of claim 1 , wherein the purging device ...

Подробнее
18-01-2018 дата публикации

SUBSTRATE TRANSFER ROBOT AND SUBSTRATE TRANSFER METHOD

Номер: US20180019154A1
Принадлежит: KAWASAKI JUKOGYO KABUSHIKI KAISHA

This substrate transfer robot includes: a robot arm to which a substrate holding portion for holding a substrate is movably provided; a robot control unit for controlling each operation of the robot arm and the substrate holding portion; and a substrate detection unit provided to the robot arm and for detecting an edge portion of the substrate held by the substrate holding portion. The substrate detection unit detects at least two parts of the edge portion of the substrate when the substrate holding portion is moved with respect to the robot arm. The robot control unit corrects a substrate transfer operation based on a detection result of the edge portion of the substrate. Thus, even when a substrate held by a substrate holding portion is deviated from a regular position, the substrate can be transferred without difficulty. 1. A substrate transfer robot comprising:a substrate holding portion for holding a substrate;a robot arm to which the substrate holding portion is movably provided;a robot control unit for controlling each operation of the robot arm and the substrate holding portion; anda substrate detection unit provided to the robot arm and configured to detect an edge portion of the substrate held by the substrate holding portion,wherein the substrate detection unit is configured to detect at least two parts of the edge portion of the substrate when the substrate holding portion holding the substrate is moved with respect to the robot arm, andwherein the robot control unit is configured to correct a substrate transfer operation based on a detection result of the edge portion of the substrate by the substrate detection unit.2. The substrate transfer robot according to claim 1 ,wherein the robot arm has a first link member including a first rotational axis on a base end thereof and also including a second rotational axis on a distal end thereof, and a second link member including the second rotational axis on a base end thereof and also including a third ...

Подробнее
16-01-2020 дата публикации

Wafer distortion measurement and overlay correction

Номер: US20200018709A1
Принадлежит: Globalfoundries Inc

A method includes measuring a topography of a semiconductor wafer. A distortion function is generated based on the measured topography. Measured alignment data associated with the semiconductor wafer is adjusted using the distortion function. At least one correction factor for an exposure tool is generated based on the adjusted alignment data. The exposure tool is configured based on the at least one correction factor.

Подробнее
17-01-2019 дата публикации

Substrate processing apparatus and substrate processing method

Номер: US20190019710A1
Принадлежит: Screen Holdings Co Ltd

A substrate processing apparatus includes a holding unit which holds a substrate horizontally, a facing member which faces an upper surface of the substrate from above and can be engaged with the holding unit, a supporting member which supports the facing member, a raising/lowering unit in which the supporting member is raised and lowered between an upper position at which the supporting member supports the facing member in a state where the facing member is separated above from the holding unit and an engaging position which is a position below from the upper position and at which the holding unit is engaged with the facing member, and a detecting unit which is disposed at the supporting member. The detecting unit detects a position of a portion to be detected which is disposed at the facing member in relation to the detecting unit.

Подробнее
28-01-2016 дата публикации

WAFER HANDLING TRACTION CONTROL SYSTEM

Номер: US20160023353A1
Автор: Rodnick Matthew J.
Принадлежит:

A wafer handling traction control system is provided that is able to detect slippage of a semiconductor wafer with respect to an end effector and is able to adjust the end effector's movement in order to minimize further slippage. Upon the detection of relative motion of the semiconductor wafer with respect to the end effector past a threshold amount, the end effector's movements are adjusted to minimize slippage of the semiconductor wafer. The wafer handling traction control system may include a sensor that detects relative motion between the semiconductor wafer and the end effector. 1. An apparatus comprising:a first robot arm configured to support a first semiconductor wafer on a first end effector;a first optical sensor configured to detect relative movement between the first semiconductor wafer and the first end effector; and a) cause the first robot arm to move according to a first acceleration profile while the first semiconductor wafer is supported by the first end effector,', 'b) receive first optical sensor data from the first optical sensor,', 'c) analyze at least the first optical sensor data to determine first motion data based on relative movement of the first semiconductor wafer with respect to the first end effector during motion of the first robot arm while the first semiconductor wafer is supported by the first end effector,', 'd) determine whether the first motion data attributable to movement of the first robot arm according to the first acceleration profile exceeds a first threshold motion metric, and', 'e) cause the first robot arm to move according to a second acceleration profile when the first motion data attributable to movement of the first robot arm according to the first acceleration profile exceeds the first threshold motion metric, wherein the first motion data attributable to movement of the first robot arm according to the second acceleration profile stays within the first threshold motion metric., 'a controller with one or more ...

Подробнее
16-01-2020 дата публикации

WAFER CLAMP AND A METHOD OF CLAMPING A WAFER

Номер: US20200020557A1
Принадлежит:

A wafer clamp includes a platform with a top surface, a stopper disposed at a front end of the platform, a push rod disposed at a rear end of the platform, at least one actuator pivotally connected to the push rod, and a sensor disposed at the front end of the platform, the sensor measuring a distance between the sensor and a wafer over the sensor. 1. A method of clamping a wafer , comprising:providing a wafer clamp;moving the wafer clamp forward into a slot with the wafer;a sensor detecting presence of the wafer over the sensor;continuously moving the wafer clamp forward until the sensor detects absence of the wafer; andmoving the wafer clamp upward and actuating at least one actuator to move a push rod forward such that the wafer is held tightly between a stopper and the push rod.2. The method of claim 1 , wherein the wafer clamp comprises:a platform;the stopper disposed at a front end of the platform;the push rod disposed at a rear end of the platform;said at least one actuator pivotally connected to the push rod; andthe sensor disposed at the front end of the platform3. The method of claim 1 , wherein the actuator comprises a pneumatic cylinder.4. The method of claim 3 , wherein a front end of the pneumatic cylinder pulls back to an original position when the pneumatic cylinder is not actuated.5. The method of claim 1 , wherein the sensor comprises a reflective fiber optic sensor.6. The method of claim 5 , wherein the presence of the wafer is detected while the reflective fiber optic sensor receives a light signal reflecting back from the wafer.7. The method of claim 5 , wherein the absence of the wafer is detected while the reflective fiber optic sensor receives no light signal reflecting back from the wafer. This application is a divisional application of U.S. application Ser. No. 15/454,978, filed on Mar. 9, 2017, the entire contents of which are hereby expressly incorporated by reference.The present invention generally relates to a wafer clamp, and more ...

Подробнее
16-01-2020 дата публикации

Magnetic Integrated Lift Pin System for a Chemical Processing Chamber

Номер: US20200020566A1
Принадлежит:

The present invention provides lift pin strategies with a reduced risk of causing contamination due to the up and down actuation of lift pins. The present invention provides a lift pin system that uses electromagnetic actuation strategies in order to raise and lower lift pins. The electromagnetic forces act remotely on the lift pins so that direct contact or coupling of the lift pins to actuation components is not required. This avoids contamination that otherwise would be associated with friction and associated lubricants used for mechanical actuation strategies. 1. An apparatus for treating a microelectronic substrate , said apparatus comprising:a process chamber in which the microelectronic substrate is subjected to a treatment;a wafer holder disposed within the process chamber, wherein the wafer holder comprises a top surface and a bottom surface opposite the top surface, wherein the microelectronic substrate is held over the top surface during a treatment, and wherein the wafer holder comprises and at least three pass-through openings between the top surface and the bottom surface; anda lift-pin assembly disposed within the process chamber and proximate to the support plate, the lift pin assembly comprising:a lift pin support structure;three or more lift pins connected to the lift pin support structure, each lift pin being axially alignable with a corresponding pass-through opening in the wafer holder so that the lift pins can be raised and lowered through the wafer holder such that the lift pins project above the top surface of the wafer holder in a first, raised configuration and such that the lift pins are below the top surface of the wafer holder in a second, lowered configuration; andan actuator device disposed in the process chamber that is magnetically coupled to the lift pin assembly such that the actuator device magnetically raises and lowers the lift pin assembly.2. The apparatus of claim 1 , wherein the lift pin support structure comprises two or ...

Подробнее
21-01-2021 дата публикации

Plasma processing apparatus

Номер: US20210020416A1
Принадлежит: Tokyo Electron Ltd

There is provided a method for driving a member provided in a processing chamber. The method includes irradiating to the member measurement light having a wavelength that penetrates the member, detecting intensity distribution of reflected light based on reflected light from an upper surface of the member and reflected light from a bottom surface of the member, calculating an optical path difference by applying Fourier transform to a spectrum indicating the intensity distribution, and determining a driving amount of the member based on the optical path difference. The method further includes driving the member based on the determined driving amount.

Подробнее
21-01-2021 дата публикации

Parameter-stable misregistration measurement amelioration in semiconductor devices

Номер: US20210020480A1
Принадлежит: KLA Corp

A parameter-stable misregistration measurement amelioration system and method including providing a wafer, including a plurality of multi-layered semiconductor devices formed thereon, selected from a batch wafers intended to be identical, using a misregistration metrology tool to measure misregistration at multiple sites between at least a first layer and a second layer of the wafer, using a plurality of sets of measurement parameters, thereby generating measured misregistration data for each of the sets of measurement parameters, identifying and removing a parameter-dependent portion and a mean error portion from the measured misregistration data for the wafer for each of the sets of measurement parameters, thereby generating ameliorated parameter-stable ameliorated misregistration data for the wafer.

Подробнее
21-01-2021 дата публикации

Substrate-floatation-type laser processing apparatus and method for measuring floating height

Номер: US20210020481A1
Принадлежит: Japan Steel Works Ltd

A substrate-floatation-type laser processing apparatus and a method for measuring a floating height, capable of improving performance of laser processing are provided. A substrate-floatation-type laser processing apparatus according to an embodiment includes a stage configured to float and convey a substrate, and a floating-height measurement apparatus configured to measure a floating height H of the substrate. Note that a distance between the floating-height measurement apparatus and the substrate can be automatically adjusted according to the measured floating height H. The floating height H of the substrate is measured by applying laser light to the substrate and the stage. The distance between the floating-height measurement apparatus and the substrate is adjusted by using a feedback mechanism in which the measured floating height of the substrate is used as an input.

Подробнее
21-01-2021 дата публикации

Substrate treating apparatus and substrate transfer apparatus

Номер: US20210020485A1
Принадлежит: Semes Co Ltd

A substrate treating apparatus includes a plurality of load ports on which carriers having substrates received therein are placed, a plurality of process chambers that perform processes on the substrates, and a transfer robot that transfers the substrates between the load ports and the process chambers. The transfer robot is movable along a transfer passage having a lengthwise direction formed along a first direction, the load ports and the process chambers are arranged along the first direction on one side and an opposite side of the transfer passage, and the transfer robot transfers the substrates between the carriers placed on the load ports and the process chambers.

Подробнее
25-01-2018 дата публикации

EDGE EXPOSURE APPARATUS

Номер: US20180024437A1
Принадлежит: Mitsubishi Electric Corporation

An edge exposure apparatus for exposure of an outer circumferential portion of a semiconductor substrate to light includes a light source provided to be able to emit light to the outer circumferential portion and a mirror having a reflection surface arranged to extend in a direction intersecting with an optical axis of light emitted from the light source. The mirror is provided between the outer circumferential portion and a center of the semiconductor substrate in a radial direction of the semiconductor substrate in exposure of the outer circumferential portion of the semiconductor substrate to light. 1. An edge exposure apparatus for exposure of an outer circumferential portion of a semiconductor substrate to light , the edge exposure apparatus comprising:a light source provided to be able to emit light to the outer circumferential portion; anda mirror having a reflection surface arranged to extend in a direction intersecting with an optical axis of the light emitted from the light source,the mirror being provided between the outer circumferential portion and a center of the semiconductor substrate in a radial direction of the semiconductor substrate in exposure of the outer circumferential portion of the semiconductor substrate to light.2. The edge exposure apparatus according to claim 1 , the edge exposure apparatus further comprising a detection portion which can detect a distance between the mirror and the semiconductor substrate.3. The edge exposure apparatus according to claim 2 , the edge exposure apparatus further comprising a mirror support base which can support the mirror claim 2 , whereinthe mirror support base has a mirror movable portion which can vary an angle of the reflection surface with respect to the optical axis of the light.4. The edge exposure apparatus according to claim 3 , whereinthe detection portion is arranged flush with a lower surface of the mirror support base.5. The edge exposure apparatus according to claim 3 , whereinthe ...

Подробнее
28-01-2016 дата публикации

Position And Temperature Monitoring Of ALD Platen Susceptor

Номер: US20160027675A1
Принадлежит:

Apparatus and methods of measuring and controlling the gap between a susceptor assembly and a gas distribution assembly are described. Apparatus and methods for positional control and temperature control for wafer transfer purposes are also described. 1. A deposition apparatus comprising:a gas distribution assembly having a front surface and an edge;a susceptor assembly spaced from the gas distribution assembly to rotate a plurality of substrates around a central axis, the susceptor assembly having a top surface with a plurality of recesses to hold a plurality of substrates, a bottom surface and an edge, wherein the top surface of the susceptor assembly and the front surface of the gas distribution assembly defining a gap;a camera having a field of view including the edge of the susceptor assembly; anda controller connected to the camera to determine the gap between the top surface of the susceptor assembly and the front surface of the gas distribution assembly.2. The apparatus of claim 1 , wherein there are at least three cameras spaced about an interior region of the deposition apparatus claim 1 , each camera having a field of view including the edge of the susceptor assembly claim 1 , each camera connected to the controller.3. The apparatus of claim 2 , wherein the controller determines the gap between the top surface of the susceptor assembly and one or more of the front surface of the gas distribution assembly or a reference plane at each camera position while the susceptor assembly remains stationary.4. The apparatus of claim 1 , wherein the field of view of the camera further includes the edge of the gas distribution assembly and the gap.5. The apparatus of claim 4 , wherein there are at least three cameras spaced about an interior region of the deposition apparatus claim 4 , each camera having a field of view including the edge of the susceptor assembly claim 4 , the edge of the gas distribution plate and the gap claim 4 , each camera connected to the ...

Подробнее
25-01-2018 дата публикации

HOLD CHECKING METHOD AND UNHOLD CHECKING METHOD FOR WAFER

Номер: US20180025928A1
Автор: Chito Kenta
Принадлежит:

Disclosed herein is a hold checking method for checking whether or not a wafer is held by an electrostatic chuck in loading the wafer to the electrostatic chuck by operating a transfer unit holding the wafer. The hold checking method includes a connecting step of bringing the wafer held by a transfer pad into contact with the electrostatic chuck to thereby connect the transfer pad through the wafer to the electrostatic chuck, and a hold determining step of supplying electric power from a DC power source through first wiring to the electrostatic chuck after performing the connecting step, and next determining that the wafer is held by the electrostatic chuck when the voltage across a resistor inserted in the first wiring has reached a predetermined voltage value. 1. In a vacuum processing apparatus includingan electrostatic chuck including a wafer holding portion having a holding surface formed of a dielectric for holding a wafer, a metal plate provided in the wafer holding portion, and first wiring for connecting the metal plate to a direct current power source,transfer means including a transfer pad having a holding surface formed of a conductor for holding the wafer and second wiring for grounding the transfer pad, andvoltage measuring means having a resistor inserted in the first wiring and a voltmeter for measuring a voltage across the resistor,a hold checking method for checking whether or not the wafer is held by the electrostatic chuck in loading the wafer to the electrostatic chuck by operating the transfer means holding the wafer, the hold checking method comprising:a connecting step of bringing the wafer held by the transfer pad into contact with the electrostatic chuck to thereby connect the transfer pad through the wafer to the electrostatic chuck; anda hold determining step of supplying electric power from the direct current power source to the electrostatic chuck after performing the connecting step, and next determining that the wafer is held on the ...

Подробнее
25-01-2018 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20180025930A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A power distributor for delivering power to a plurality of plasma processing stations associated with a chamber , comprising:a low frequency circuit coupled to a low frequency impedance matching network and configured to provide a low frequency RF signal;a high frequency circuit coupled to a high frequency impedance matching network and configured to provide a high frequency RF signal, wherein the high frequency circuit is coupled to the low frequency circuit;an output circuit coupled to the high frequency circuit and a plurality of plasma processing stations, wherein the output circuit is configured to combine the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals to provide to the plasma processing stations; anda shunt inductor coupled in parallel to one of the plasma processing stations to increase an amount of current to the one of the plasma processing stations.2. The power distributor of claim 1 , wherein the shunt inductor has two ends claim 1 , wherein one of the ends is coupled to a ground connection and another one of the ends is coupled to ...

Подробнее
10-02-2022 дата публикации

TRANSPORTATION MONITORING METHOD AND SYSTEM THEREOF

Номер: US20220044947A1
Автор: Chen Yi-Ta, HSIEH Wen-Chin
Принадлежит: WINBOND ELECTRONICS CORP.

A transportation monitoring method is provided, including the following steps. A monitoring image of a robot blade outside a carrier is captured from a fixed field of view by an image capturing device. The robot blade is configured to move an item into or out of the carrier. Next, a sampling area is obtained from the monitoring image by a processing device. Also, a tilting state of the robot blade is determined according to the sampling area by the processing device. When the processing device determines that the robot blade is tilted, the processing device sends a warning signal. A transportation monitoring system is also provided. 1. A transportation monitoring method , comprising:capturing a monitoring image of a robot blade outside a carrier from a fixed field of view by an image capturing device, wherein the robot blade is configured to move an item into or out of the carrier;obtaining a sampling area from the monitoring image by a processing device; anddetermining a tilting state of the robot blade by the processing device according to the sampling area,wherein the processing device sends a warning signal when the processing device determines that the robot blade is tilted.2. The transportation monitoring method according to claim 1 , wherein the sampling area is a fixed pixel range of the monitoring image.3. The transportation monitoring method according to claim 1 , wherein the step of determining the tilting state of the robot blade by the processing device according to the sampling area of the monitoring image comprises:performing an image processing operation to obtain a plurality of grayscale values of the sampling area;obtaining at least two pixel coordinates of the robot blade according to the plurality of grayscale values; anddetermining the tilting state of the robot blade according to the at least two pixel coordinates.4. The transportation monitoring method according to claim 3 , wherein the step of determining the tilting state of the robot blade ...

Подробнее
10-02-2022 дата публикации

Storage system, query system and storage method for reticles, and computer device

Номер: US20220044950A1
Автор: Xueyu LIANG
Принадлежит: Changxin Memory Technologies Inc

A storage system for reticles includes carrier devices, including reticle placing regions for placing the reticles; a storage device, storing a preset coordinate system and position information of the carrier devices in the preset coordinate system; detection devices, arranged in one-to-one correspondence with the carrier devices, where each detection device performs reticle detection on a respective carrier device, send first detection signal responsive to a reticle being placed in the reticle placing region, and send second detection signal responsive to a reticle being placed outside the reticle placing region, the detection devices are connected with the storage device, and the storage device is further configured to store reticle position information of the reticle in the preset coordinate system when receiving the first or second detection signal; and alarm devices, connected with the detection devices in one-to-one correspondence, where each alarm device implements alarm display when receiving the second detection signal.

Подробнее
23-01-2020 дата публикации

Apparatus and method for treating substrate

Номер: US20200026258A1
Принадлежит: Semes Co Ltd

A substrate treating apparatus includes a process module including a plurality of process units that perform a plurality of steps included in a substrate treating process and that perform the substrate treating process on substrates sequentially placed in the process units based on process recipes for the substrates, a scheduler that controls operations of the process module and the process units included in the process module, a storage that stores transfer paths information of the substrates, and a selection module that selects a process unit to proceed, by a result of feeding back the transfer paths information stored in the storage to the scheduler. The substrate treating apparatus may further include a measuring instrument that measures defect values of the transfer paths information along which the substrates are transferred. The storage may store the defect values measured by the measuring instrument according to the transfer paths information of the substrates.

Подробнее
24-01-2019 дата публикации

ELECTRONIC COMPONENT MOUNTING APPARATUS

Номер: US20190027388A1
Автор: Seyama Kohei
Принадлежит: SHINKAWA LTD.

A flip-chip bonding apparatus for mounting semiconductor chips on a circuit board is provided with: a mounting head, to which a plurality of mounting nozzles for moving, in the vertical direction, mounting tools for vacuum-sucking the semiconductor chips are attached by being aligned in the Y direction, said mounting head moving in the Y direction; and an electronic component handling unit, that moves in the X direction perpendicular to the Y direction, picks up the semiconductor chips such that the semiconductor chips are aligned in the X direction, inverts the semiconductor chips, and at the same time, changes the alignment direction of the semiconductor chips from the X direction to the Y direction. Consequently, in the electronic component mounting apparatus, installation area can be saved and bonding speed can be increased with the simple configuration. 1. An electronic component mounting apparatus that mounts electronic components on a substrate or other electronic components , the electronic component mounting apparatus comprising:a mounting head including a plurality of mounting nozzles that vertically move a plurality of mounting tools to which the electronic components are adsorbed, aligned in a Y direction and attached thereto and configured to move in the Y direction; andan electronic component handling unit moving in an X direction perpendicular to the Y direction to pick up the plurality of electronic components to be aligned in the X direction and configured to invert the plurality of electronic components and simultaneously change an alignment direction of the plurality of electronic components from the X direction in the Y direction.2. The electronic component mounting apparatus according to claim 1 , whereinthe electronic component handling unit includes:a main body linearly moving in the X direction;a rotating shaft attached to the main body to be inclined by about 45° with respect to the X direction in which the main body moves;a flip head ...

Подробнее
23-01-2020 дата публикации

RESIN MOLDING APPARATUS INCLUDING RELEASE FILM FEEDER

Номер: US20200027756A1
Автор: Lee Kun-sil, Lim Chae-hun
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A resin molding apparatus including a release film feeder configured to feed a release film is provided. The release film feeder including a feeding roller around which the release film is wound, a gripper configured to grip an end portion of the release film fed from the feeding roller, a support table configured to support the release film fed by a horizontal movement of the gripper in an X direction, the support table configured to horizontally move at least one of in the X direction or in a Y direction perpendicular to the X direction, the X and Y directions defining a surface parallel to a surface of the support table, and a position detecting sensor on the support table and configured to detect position information of the release film may be provided. 1. A resin molding apparatus including a release film feeder configured to feed a release film , the release film feeder comprising:a feeding roller around which the release film is wound;a gripper configured to grip an end portion of the release film fed from the feeding roller;a support table configured to support the release film fed by a horizontal movement of the gripper in an X direction, the support table configured to horizontally move in at least one of the X direction or in a Y direction perpendicular to the X direction, the X and Y directions defining a surface parallel to a surface of the support table; anda position detecting sensor on the support table and configured to detect position information of the release film.2. The resin molding apparatus of claim 1 , wherein the position detecting sensor comprises a first position detecting sensor configured to detect a position of the release film in the X direction.3. The resin molding apparatus of claim 2 , wherein the position detecting sensor comprises a second position detecting sensor configured to detect a position of the release film in the Y direction.4. The resin molding apparatus of claim 3 , wherein the position detecting sensor comprises a ...

Подробнее
23-01-2020 дата публикации

Device and method for contactlessly transferring at least partly ferromagnetic electronic components from a carrier to a substrate

Номер: US20200027764A1
Принадлежит: Muehlbauer GmbH and Co KG

The device and method according to the invention are used to transfer an electronic ferromagnetic component from a carrier to a substrate using a magnetic assembly. The magnetic assembly is designed and arranged to aid in the correct positioning of the at least partly ferromagnetic electronic component on the substrate. The magnetic field generated by the magnetic assembly produces a magnetic force oriented from the carrier towards the substrate, said magnetic force aiding the transfer of the component from the carrier to the substrate such that a significantly increased positioning accuracy of the component is achieved compared to a transfer without said magnetic force.

Подробнее
28-01-2021 дата публикации

Systems and Methods for Horizontal Wafer Packaging

Номер: US20210028038A1
Принадлежит:

Systems and methods to manipulate stacks of silicon wafers and rings are described. In one aspect, a robotic actuator includes a robotic end effector that further a first surface having multiple attached wafer suction cups arranged to collectively grasp a silicon wafer. The robotic end effector also includes a second surface that further includes multiple attached ring suction cups arranged to collectively grasp a ring. The second surface also includes a bulk grabber positionable to grasp a collective stack of rings. The robotic actuator also includes an axial actuator configured to rotate the robotic end effector about a flip axis, such that either the first surface or the second surface faces vertically upwards. 1. A robotic actuator comprising: a first surface a first surface having multiple attached wafer suction cups arranged to collectively grasp a silicon wafer; and', 'a second surface including:', 'multiple attached ring suction cups arranged to collectively grasp a ring; and', 'a bulk grabber positionable to grasp a collective stack of rings; and, 'a robotic end effector includingan axial actuator configured to rotate the robotic end effector about a flip axis, such that either the first surface or the second surface faces vertically upwards.2. The robotic actuator of further comprising a detection sensor enabled to distinguish between a grasped silicon wafer and a grasped ring.3. The robotic actuator of further comprising a ring-wafer grasping sensor enabled to detect a silicon wafer grasped along with a ring.4. The robotic actuator of further comprising a wafer grasping sensor enabled to detect multiple silicon wafers grasped at the same time.5. The apparatus of claim 4 , wherein the wafer grasping sensor is a thickness sensor.6. The apparatus of claim 1 , wherein the bulk grabber is pneumatically actuated.7. The apparatus of claim 1 , wherein the bulk grabber is enabled to grasp a stack of 26 rings.8. The apparatus of claim 1 , wherein the silicon wafer ...

Подробнее
28-01-2021 дата публикации

Method of manufacturing semiconductor device

Номер: US20210028041A1
Принадлежит: Kokusai Electric Corp

Described herein is a technique capable of optimizing a timing of a maintenance process. According to one aspect of the technique of the present disclosure, there is provided a method of manufacturing a semiconductor device including: (a) transferring a substrate from a storage container storing one or more substrates including the substrate to a process chamber, and performing a substrate processing; (b) receiving maintenance reservation information of the process chamber; and (c) continuously performing the substrate processing after the maintenance reservation information is received in (b) until the substrate processing in the process chamber related to the maintenance reservation information is completed, and setting the process chamber to a maintenance enable state after the substrate processing is completed by stopping the one or more substrates from being transferred into the process chamber.

Подробнее
28-01-2021 дата публикации

Micro device transfer apparatus and method

Номер: US20210028047A1
Принадлежит: Samsung Display Co Ltd

A micro device transfer apparatus and a micro device transfer method are provided. The micro device transfer apparatus comprises a stage unit including a stage where a target substrate is to be disposed, a plurality of transfer head units disposed above the stage, and a transfer head unit moving part configured to move the plurality of transfer head units, wherein, the transfer head unit comprises a carrier substrate fastening part configured to fasten a carrier substrate where a plurality of micro devices are disposed, a mask unit disposed above the carrier substrate fastening part, the mask unit comprising a mask including an opening part and a shielding part, a light emitting part disposed on the mask unit, and a housing formed around the carrier substrate fastening part, the mask unit, and the light emitting part.

Подробнее
28-01-2021 дата публикации

ARTICLE TRANSPORTER IN SEMICONDUCTOR FABRICATION

Номер: US20210028049A1

A transporter for transporting an article used in semiconductor fabrication is provided. The transporter includes a robotic arm. The transporter further includes two platens connected to the robotic arm. Each of the two platens an inner surface facing the other, and a number of gas holes are formed on each of the inner surfaces of the two platens. The transporter also includes a gas supplier placed in communication with the gas holes. The gas supplier is used to control the flow of gas through the gas holes. 1. A transporter used for transporting an article in semiconductor fabrication , comprising:a robotic arm;two platens connected to the robotic arm and each having an inner surface facing the other, wherein a plurality of gas holes are formed on each of the inner surfaces of the two platens; anda gas supplier placed in communication with the gas holes and configured to control the flow of gas through the gas holes.2. The transporter as claimed in claim 1 , wherein a first portion of the gas holes extends in a direction that is perpendicular to the inner surface on which they are located claim 1 , and a second portion of the gas holes extends askew relative to the inner surface on which they are located.3. The transporter as claimed in claim 2 , wherein each of the inner surfaces has a first ring-shape region and a second ring-shape region concentrically arranged;wherein the first portion of the gas holes are located in the first ring-shape region, and the second portion of the gas holes are located in the second ring-shape region.4. The transporter as claimed in claim 3 , wherein each of the inner surfaces further has a central region inside the first ring-shape region and the second ring-shape region claim 3 , a third portion of the gas holes are located in the central region claim 3 , and the third portion of the gas holes are controlled independently from the second portion of the gas holes.5. The transporter as claimed in claim 4 , wherein an area of the ...

Подробнее
28-01-2021 дата публикации

LIFT PIN ALIGNMENT METHOD AND ALIGNMENT APPARATUS AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210028052A1
Принадлежит:

A substrate processing apparatus and a lift pin alignment apparatus, the substrate processing apparatus including a chamber; a substrate plate on which the substrate is seatable; a plurality of movable lift in the substrate plate to support the substrate; a leveling sensor configured to be loadable in the chamber on the lift pins; a controller configured to receive measurement values of roll (φ) and pitch (θ) of a plane of the lift pins to calculate a rotation matrix (T) of the plane from the measurement values of roll (φ) and pitch (θ), and to calculate travel distances of the lift pins for leveling the plane to be parallel with a horizontal reference plane by using the rotation matrix (T) and to output a lift pin control signal for aligning the lift pins; and a lift pin driver to move the lift pins according to the lift pin control signal. 1. A substrate processing apparatus , comprising:a chamber to provide a space for processing a substrate;a substrate plate within the chamber and on which the substrate is seatable;a plurality of lift pins protruding from within the substrate plate to support the substrate, the plurality of lift pins being configured to move upwardly and downwardly;a leveling sensor configured to be loadable in the chamber on the plurality of lift pins that protrude from the substrate plate;a controller configured to receive measurement values of roll (φ) and pitch (θ) representing an angle of a plane of the plurality of lift pins from the leveling sensor to calculate a rotation matrix (T) of the plane from the measurement values of roll (φ) and pitch (θ), to calculate travel distances of the lift pins for leveling the plane to be parallel with a horizontal reference plane by using the rotation matrix (T), and to output a lift pin control signal for aligning the plurality of lift pins in a horizontal plane; anda lift pin driver configured to move the plurality of lift pins according to the lift pin control signal.2. The substrate processing ...

Подробнее
02-02-2017 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20170032955A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

In a plasma processing apparatus comprising a processing chamber arranged in a vacuum chamber, a sample stage arranged under the processing chamber and having its top surface on which a wafer to be processed is mounted, a vacuum decompression unit for evacuating the interior of the processing chamber to reduce the pressure therein, and introduction holes arranged above said sample stage to admit process gas into the processing chamber, the wafer having its top surface mounted with a film structure and the film structure being etched by using plasma formed by using the process gas, the film structure is constituted by having a resist film or a mask film, a poly-silicon film and an insulation film laminated in this order from top to bottom on a substrate and before the wafer is mounted on the sample stage and the poly-silicon film underlying the mask film is etched, plasma is formed inside the processing chamber to cover the surface of members inside the processing chamber with a coating film containing a component of Si. 17-. (canceled)8. A plasma processing method for plasma-etching a material to be processed in a processing chamber , comprising: [{'sub': 4', '2', '4', '4, 'depositing a deposit film inside the processing chamber by using a plasma using at least one of a first mixture gas comprising SiCland Oand a second mixture gas comprising SiCland methane (CH); and'}, 'in response to determining that the deposit film has been deposited, plasma etching a surface of the deposit film using a first gas comprising a Freon element and then placing the material to be processed on a sample stage in the processing chamber,, 'in response to determining that the material is not placed in the processing chamber,'} 'plasma-etching the material,', 'in response to determining that the material to be processed is placed on the sample stage,'} 'plasma-cleaning an interior portion of the processing chamber using a second gas comprising the Freon element, the second gas being ...

Подробнее
02-02-2017 дата публикации

SUBSTRATE PROCESSING AND ALIGNMENT

Номер: US20170033053A1
Автор: Thallner Erich
Принадлежит:

A substrate can efficiently be manufactured by separating the alignment and the actual processing when an alignment mark is provided, which is fixed with respect to the substrate and when position information on a position of a process area on the substrate is retrieved with respect to the alignment mark before the substrate is processed. During the processing alignment can then be performed by redetermining the position of the alignment mark only once and by using the stored position information on the position of the process area. 1. A method for manufacturing a device using a substrate , the method comprising:determining a position of an alignment mark being fixed with respect to the substrate;determining position information on the position of a process area with respect to the alignment mark using information determined within the process area of the surface of the substrate, and storing the determined position information as stored position information in a computer-readable information structure in form of a memory at a substrate support structure;redetermining the position of the alignment mark;aligning the position of the process area using information derived from the redetermined position of the alignment mark and from the position information on the position of the process area; andprocessing the process area;wherein the stored position information are transferrable together with the substrate.2. The method in accordance with claim 1 , wherein transferring the stored position information together with the substrate enables to separate the aligning process from the processing of the process area.3. The method in accordance with claim 1 , wherein the stored position information are transferred anytime between determining the position of the alignment mark and processing the process area.4. The method in accordance with claim 1 , wherein the process area comprises a first process area and a second process area claim 1 , the method further comprising: ...

Подробнее
04-02-2021 дата публикации

METHOD AND APPARATUS FOR INSITU ADJUSTMENT OF WAFER SLIP DETECTION DURING WORK PIECE POLISHING

Номер: US20210031331A1
Автор: Trojan Daniel Ray
Принадлежит:

A method and apparatus for insitu adjustment of wafer slip detection during work piece polishing are disclosure. In one aspect, a chemical mechanical planarization (CMP) system, includes: a carrier configured to retain a substrate, a platen supporting a polishing pad, and a slip sensor configured to generate a signal indicative of a characteristic of a surface of the polishing pad. The system further includes a processor configured to: receive the signal from the slip sensor, calibrate a steady-state value of the signal when the CMP system is in a steady-state condition, compare the signal received from the slip sensor to the calibrated steady-state value during CMP polishing, and detect wafer slip in response to the signal received from the slip sensor during the CMP polishing differing from the calibrated steady-state value by more than a threshold value. 1. A chemical mechanical planarization (CMP) system , comprising:a carrier configured to retain a substrate;a platen supporting a polishing pad;a slip sensor configured to generate a signal indicative of a characteristic of a surface of the polishing pad; and receive the signal from the slip sensor,', 'calibrate a steady-state value of the signal when the CMP system is in a steady-state condition,', 'compare the signal received from the slip sensor to the calibrated steady-state value during CMP polishing, and', 'detect wafer slip in response to the signal received from the slip sensor during the CMP polishing differing from the calibrated steady-state value by more than a threshold value., 'a processor configured to2. The system of claim 1 , wherein the slip sensor comprises an optical sensor configured to measure a reflectance of the surface of the polishing pad.3. The system of claim 1 , wherein the processor is further configured to:recalibrate the steady-state value in real-time based on the signal received from the slip sensor during CMP polishing.4. The system of claim 1 , wherein the processor is further ...

Подробнее
31-01-2019 дата публикации

METHOD FOR CALIBRATING MEASURING DEVICE AND CASE USED IN THE CALIBRATION METHOD

Номер: US20190033103A1
Принадлежит: TOKYO ELECTRON LIMITED

In a method for calibrating a measuring device by using a case which includes a case main body configured to accommodate the measuring device, a restricting portion configured to restrict translation of the measuring device accommodated in the case main body and reference surfaces provided in the case main body to face the respective sensor electrodes, a plurality of detection values is acquired in a state where translation of the measuring device accommodated in the case is restricted by the restricting portion, the reference surfaces face respective sensor electrodes of the measuring device and a high frequency signal is applied to the sensor electrodes. Then, coefficients in functions used in calculating measurement values are calibrated such that the measurement values become a predetermined value. 1. A method for calibrating a measuring device by using a case , wherein the measuring device includes:a disk-shaped base substrate;a plurality of sensor electrodes provided on the base substrate;a high frequency oscillator configured to apply a high frequency signal to the plurality of sensor electrodes; andan operation unit configured to calculate a plurality of measurement values indicating electrostatic capacitances of the plurality of sensor electrodes by using a plurality of functions from a plurality of detection values corresponding to potentials of the plurality of sensor electrodes,wherein the case includes:a case main body configured to accommodate the measuring device;a restricting portion configured to restrict translation of the measuring device accommodated in the case main body; anda plurality of first reference surfaces provided in the case main body to face the respective sensor electrodes,the method comprising:acquiring a plurality of first detection values, which is the plurality of detection values, in a state where translation of the measuring device is restricted by the restricting portion, the plurality of first reference surfaces face the ...

Подробнее
30-01-2020 дата публикации

Optically transparent pedestal for fluidly supporting a substrate

Номер: US20200032386A1
Принадлежит: Applied Materials Inc

A pedestal for a thermal treatment chamber is disclosed that includes a body consisting of an optically transparent material. The body includes a first plate with a perforated surface having a plurality of nozzles formed therein, a first portion of the plurality nozzles formed in the body at an angle that is orthogonal to a plane of the first plate, a second portion of the plurality of nozzles formed in the body in an azimuthal orientation and at an acute angle relative to the plane of the first plate, and a third portion of the plurality nozzles formed in the body in a radial orientation and at an acute angle relative to the plane of the first plate.

Подробнее
01-02-2018 дата публикации

WAFER EDGE MEASUREMENT AND CONTROL

Номер: US20180033667A1
Автор: Koelmel Blake
Принадлежит:

Devices and methods are provided for positioning and/or rotating a substrate without solid contact, such as by floating the wafer on a thin layer of gas. Since there is no solid contact with components of a processing chamber, features on the wafer are used to determine wafer position and rotational speed. Closed loop control systems are provided with capacitive sensors to monitor the position of the edge of the wafer in a horizontal plane. Control systems may also monitor the position of a wafer feature as it rotates, such as a notch in the edge of the wafer. Because the presence of a notch can disrupt sensors facing the edge of the wafer, methods and devices to reduce or eliminate this disruption are also provided. 1. An apparatus for processing a substrate , comprising:a chamber body defining an inner volume;a substrate positioning assembly disposed in the inner volume, wherein the substrate positioning assembly is capable of positioning and rotating a substrate at least within a horizontal plane;a first capacitive sensor disposed in the inner volume, wherein the first capacitive sensor is positioned to detect a location of an edge of the substrate at a first edge location;a second capacitive sensor disposed in the inner volume, wherein the second capacitive sensor is positioned to detect a location of the edge of the substrate at a second edge location;a third capacitive sensor disposed in the inner volume at a position between the first and second capacitive sensors, wherein the third capacitive sensor is positioned to detect a vertical location of the substrate; anda controller coupled to the first, second, and third capacitive sensors, wherein the controller is programmed to determine a first time period when a non-uniform portion on an outer diameter edge of the substrate passes through a field of view of the first capacitive sensor and to determine a second time period when the non-uniform portion passes through a field of view of the second capacitive ...

Подробнее
17-02-2022 дата публикации

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

Номер: US20220051919A1
Принадлежит:

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing. 1. A method comprising:receiving, by a plurality of low frequency paths, a low frequency modified radio frequency (RF) signal to output a plurality of low frequency RF signals;receiving, by a plurality of high frequency paths, a high frequency modified RF signal to output a plurality of high frequency RF signals;combining, by a plurality of output paths, the plurality of low frequency RF signals and the plurality of high frequency RF signals to output a plurality of combined signals to a plurality of plasma processing stations; andcontrolling, by a plurality of shunt inductors, a plurality of amounts of current of the plurality of combined signals provided to the plurality of plasma processing stations, wherein each of the plurality of shunt inductors is coupled to a corresponding one of the plurality of low frequency paths.2. The method of claim 1 , wherein said receiving the low frequency modified RF signal includes:receiving the low frequency modified RF signal at a low frequency input; andsplitting, at the low frequency input, the low frequency modified RF signal into a plurality of input signals.3. ...

Подробнее
09-02-2017 дата публикации

Robot Linear Drive Heat Transfer

Номер: US20170036358A1
Принадлежит:

An apparatus including a movable arm; a robot drive connected to the movable arm; and a heat transfer system. The robot drive includes a first drive configured to extend and retract the movable arm and a second drive configured to move the movable arm and the first drive along a linear path. The heat transfer system includes a first heat transfer member on the base and a second heat transfer member, where the heat transfer system is configured to transfer heat from the first drive to the first heat transfer member and then from the first heat transfer member to the second heat transfer member. The first heat transfer member travels with the base, and the first heat transfer member moves relative to the second heat transfer member as the base moves relative to the slide. 1. An apparatus comprising:a movable arm;a robot drive connected to the movable arm, where the robot drive comprises a first drive configured to extend and retract the movable arm and a second drive configured to move the movable arm and the first drive along a linear path, where the first drive comprises a rotary drive which comprises a rotational axis, where the linear drive comprises a base movably mounted on a slide, where the first drive is mounted on the base, and where the linear drive is configured to move the base on the slide in a direction perpendicular to the rotational axis of the rotary drive; anda heat transfer system comprising a first heat transfer member on the base and a second heat transfer member, where the heat transfer system is configured to transfer heat from the first drive to the first heat transfer member and then from the first heat transfer member to the second heat transfer member, where the first heat transfer member travels with the base, and where the first heat transfer member moves relative to the second heat transfer member as the base moves relative to the slide.2. An apparatus as in where the linear path is a straight path provided by at least two parallel claim ...

Подробнее
31-01-2019 дата публикации

Substrate transfer hand

Номер: US20190035670A1
Автор: Kentaro Okamoto
Принадлежит: Daihen Corp

A substrate transfer hand includes a longitudinal hand support 20a extending in a longitudinal direction, and a plurality of transverse hand supports 31a and 32a coupled to the longitudinal hand support 20a and extending transversely to the longitudinal direction of the longitudinal hand support 20a. The plurality of transverse hand supports 31a and 32a include a plurality of first transverse hand supports 31a and a plurality of second transverse hand supports 32a. Each of the first transverse hand supports 31a includes a plurality of first contact points 40A aligned in a direction in which the first transverse hand support 31a extends and capable of contacting the substrate SB. The maximum height position of the first contact points 40A is a first height. Each of the second transverse hand supports 32a includes a plurality of second contact points 40B aligned in a direction in which the second transverse hand support 32a extends and capable of contacting the substrate SB. The maximum height position of the second contact points 40B is a second height that is lower than the first height.

Подробнее
04-02-2021 дата публикации

SUBSTRATE POSITION DETECTING METHOD, SUBSTRATE POSITION ADJUSTING METHOD, AND SUBSTRATE POSITION DETECTING APPARATUS

Номер: US20210035831A1
Принадлежит:

A substrate position detecting method, includes loading a substrate into a processing chamber such that a clean surface of the substrate faces a mounting surface of a stage provided in the processing chamber, mounting the loaded substrate on the stage, fixing the substrate to the stage, releasing the fixing of the substrate to the stage, unloading the substrate out of the processing chamber, detecting a particle distribution of particles on the clean surface of the unloaded substrate, and calculating a positional relationship between the substrate and the stage when the substrate is mounted on the stage, based on the detected particle distribution. The particles include irregularities formed at the time of contact between the clean surface of the substrate and the mounting surface. 1. A substrate position detecting method , the method comprising:loading a substrate into a processing chamber such that a clean surface of the substrate faces a mounting surface of a stage provided in the processing chamber;mounting the loaded substrate on the stage;fixing the substrate to the stage;releasing the fixing of the substrate to the stage;unloading the substrate out of the processing chamber;detecting a particle distribution of particles on the clean surface of the unloaded substrate; andcalculating a positional relationship between the substrate and the stage when the substrate is mounted on the stage, based on the detected particle distribution,wherein the particles include irregularities formed at the time of contact between the clean surface of the substrate and the mounting surface.2. The method of claim 1 , wherein claim 1 , in the calculating the positional relationship claim 1 , a position where a protrusion of the mounting surface makes contact with the clean surface is calculated based on the particle distribution.3. The method of claim 2 , wherein claim 2 , in the fixing the substrate claim 2 , the substrate is fixed to the stage by an electrostatic force.4. The ...

Подробнее
04-02-2021 дата публикации

METHOD AND APPARATUS FOR MEASURING PROCESS KIT CENTERING

Номер: US20210035832A1
Автор: Mor Eli, Potter Charles
Принадлежит:

Embodiments disclosed herein include a sensor wafer. In an embodiment, the sensor wafer comprises a substrate, wherein the substrate comprises a first surface, a second surface opposite the first surface, and an edge surface between the first surface and the second surface. In an embodiment, the sensor wafer further comprises a plurality of sensor regions formed along the edge surface, wherein each sensor region comprises a self-referencing capacitive sensor. 1. A sensor wafer , comprising:a substrate, wherein the substrate comprises a first surface, a second surface opposite the first surface, and an edge surface between the first surface and the second surface; anda plurality of sensors positioned along the edge surface, wherein each sensor is a capacitive sensor.2. The sensor wafer of claim 1 , wherein the plurality of sensors comprises at least three sensors.3. The sensor wafer of claim 1 , wherein each sensor is a self-referencing capacitive sensor claim 1 , and wherein each sensors comprises a first probe and a second probe claim 1 , wherein an output phase of the first probe is 180 degrees offset from an output phase of the second probe.4. The sensor wafer of claim 1 , further comprising:a recess into the first surface of the substrate proximate to each sensor.5. The sensor wafer of claim 4 , wherein the recess extends back from the edge surface at least 1.0 mm.6. The sensor wafer of claim 1 , further comprising:a plurality of second sensors on the second surface of the substrate.7. The sensor wafer of claim 6 , wherein the second sensors are recessed from the second surface.8. The sensor wafer of claim 1 , further comprising an electric field guard below each of the plurality of sensors.9. The sensor wafer of claim 1 , further comprising:a computing module, wherein the computing module is communicatively coupled to the plurality of sensors.10. The sensor wafer of claim 9 , wherein the computing module comprises one or more of a power source claim 9 , a ...

Подробнее
04-02-2021 дата публикации

Bridge apparatus and method for semiconductor die transfer

Номер: US20210035837A1
Автор: Andrew Huska
Принадлежит: Rohinni LLC

An apparatus for transferring a semiconductor die (“die”) from the first substrate to the second substrate. The apparatus includes a stage configured to hold a product substrate. A first bridge holds a transfer mechanism assembly. A second bridge holds a die substrate holder configured to hold the first substrate. A controller is configured to cause the first bridge and the second bridge to move to align the transfer mechanism assembly with the die on the first substrate with a transfer position on the second substrate where the die is to be transferred.

Подробнее
04-02-2021 дата публикации

Wafer Table with Dynamic Support Pins

Номер: US20210035848A1
Принадлежит:

A method for fabricating a wafer includes providing a wafer table, wherein the wafer table includes support pins that are movable with respect to each other; identifying features of a layer to be formed on a wafer, wherein the features have a tolerance for overlay errors below a threshold; moving one or more support pins based on the features; after the moving of the one or more support pins, mounting the wafer on the wafer table; and after the mounting of the wafer on the wafer table, forming the layer on the wafer. 1. A method for fabricating a wafer , comprising:providing a wafer table, wherein the wafer table includes support pins that are movable with respect to each other;identifying features of a layer to be formed on a wafer, wherein the features have a tolerance for overlay errors below a threshold;moving one or more support pins based on the features;after the moving of the one or more support pins, mounting the wafer on the wafer table; andafter the mounting of the wafer on the wafer table, forming the layer on the wafer.2. The method of claim 1 , wherein the identifying of the features includes:accessing data regarding the layer to be formed on the wafer; andidentifying, from the data, the features in the layer that have a tolerance for overlay errors below the threshold.3. The method of claim 1 , further comprising:determining a pin movement scheme for moving the support pins such that the features in the layer are to be directly supported by a subset of the support pins, wherein the moving of the one or more support pins follows the pin movement scheme.4. The method of claim 1 , wherein the features include vertically oriented metal features.5. The method of claim 1 , wherein the features include overlay marks.6. The method of claim 1 , wherein the features include circuit features that are heavier than other features in the layer.7. The method of claim 1 , wherein the moving of the one or more support pins includes raising a subset of the support pins ...

Подробнее
07-02-2019 дата публикации

Robot Arm And Transfer Robot

Номер: US20190039253A1
Принадлежит:

A robot arm and a transfer robot are disclosed. In one embodiment, a robot arm includes an arm body and a plurality of adjustment units. The arm body has a first carrying surface and defines a length direction along which a length of the arm body is in and a width direction along which a width of the arm body is in. The adjustment units is disposed on at least one side of the arm body in the width direction. Each adjustment unit includes: a connection member fixed to the arm body, and an adjustment member movably connected to the connection member and having a second carrying surface that is parallel to the first carrying surface. The adjustment member is movable relative to the connection member in the width direction so that the second carrying surface is adjustable in the width direction. 1. A robot arm , comprising:an arm body having a first carrying surface and defining a length direction along which a length of the arm body is in and a width direction along which a width of the arm body is in; anda plurality of adjustment units disposed on at least one side of the arm body in the width direction;wherein, each of the plurality of adjustment units comprises: a connection member fixed to the arm body, and an adjustment member movably connected to the connection member and having a second carrying surface that is parallel to the first carrying surface; andwherein, the adjustment member is movable relative to the connection member in the width direction so that the second carrying surface is adjustable in the width direction.2. The robot arm of claim 1 , wherein claim 1 , the adjustment member is movable relative to the connection member such that claim 1 , the second carrying surface of the adjustment member is adjustable in the width direction between a series of positions from a position where the second carrying surface is within the arm body to a position where the second carrying surface is protruded out of the arm body.3. The robot arm of claim 1 , wherein ...

Подробнее
09-02-2017 дата публикации

OLED DISPLAY MOTHERBOARD, PACKAGING METHOD THEREOF AND PACKAGING SYSTEM

Номер: US20170040568A1
Принадлежит:

The present invention provides an OLED display motherboard, a packaging method thereof and a packaging system, belongs to the field of organic light-emitting display technology, and can solve the problem of poor sealing performance in the existing OLED display motherboard. The OLED display motherboard of the present invention comprises a package cover plate and an OLED substrate, which are assembled with each other in an aligned manner, a sealant is provided between the package cover plate and the OLED substrate, and the sealant is disposed in a peripheral region of the OLED display motherboard, and includes a hot melt adhesive. 1. An OLED display motherboard , comprising a package cover plate and an OLED substrate assembled with each other in an aligned manner , wherein , a sealant is provided between the package cover plate and the OLED substrate , and the sealant is disposed in a peripheral region of the OLED display motherboard , and includes a hot melt adhesive.2. The OLED display motherboard according to claim 1 , wherein claim 1 , the hot melt adhesive is any one of EVA hot melt adhesive claim 1 , polyester-based hot melt adhesive claim 1 , and hot melt sealing adhesive.3. The OLED display motherboard according to claim 1 , wherein claim 1 , middle region of the OLED display motherboard is provided with a plurality of display regions and package regions around the display regions claim 1 , and a frame-sealant is provided at positions claim 1 , corresponding to the package regions claim 1 , between the package cover plate and the OLED substrate.4. The OLED display motherboard according to claim 3 , wherein claim 3 , the material of the frame-sealant includes frit.5. A packaging system claim 3 , comprising a sealant coating device which comprises:a first bearing platform, configured for bearing a package cover plate; anda coating mechanism, configured for coating a sealant in a peripheral region of the package cover plate, the sealant including a hot melt ...

Подробнее
24-02-2022 дата публикации

CONVEYANCE VEHICLE

Номер: US20220059380A1
Автор: WADA Eiji
Принадлежит:

A conveyance vehicle includes a traveling driver, a main body, an up-and-down stage including a gripper to hold an article and capable of moving up and down with respect to the main body, an up-and-down driver to cause the up-and-down stage to move up and down by drawing out and winding up of belts, a lateral mover to cause the up-and-down driver while being cantilevered to protrude laterally of the main body, and an adjuster. In accordance with a laterally moved amount of the up-and-down driver by the lateral mover and a lowered amount of the up-and-down stage by the up-and-down driver, the adjuster performs one or both of adjustment of the laterally moved amount and adjustment of an orientation of a sensor included in the up-and-down driver to deliver the article to the transfer destination and to receive the article from the transfer destination. 16-. (canceled)7: A conveyance vehicle comprising:a traveler to travel along a track;a main body coupled to the traveler to move by traveling of the traveler;an up-and-down stage including a holder to hold an article and capable of moving up and down with respect to the main body;an up-and-down driver to cause the up-and-down stage to move up and down by drawing out and winding up of a flexible hanging-and-holding structure;a lateral mover to cause the up-and-down driver being cantilevered to protrude laterally of the main body; andan adjuster to perform either on or both of adjustment, in accordance with a laterally moved amount of the up-and-down driver by the lateral mover and a lowered amount of the up-and-down stage by the up-and-down driver, of the laterally moved amount and adjustment of an orientation of a sensor included in the up-and-down driver to deliver the article to a transfer destination or to receive the article from the transfer destination.8: The conveyance vehicle according to claim 7 , whereinthe sensor is configured to apply a detection wave having directivity toward a predetermined position; andthe ...

Подробнее
24-02-2022 дата публикации

Allignment and transport of substrate and focus ring

Номер: US20220059384A1
Принадлежит: Yaskawa Electric Corp

An alignment apparatus includes a rotational support configured to rotate around a central axis, a rotation actuator, an edge sensor, and control circuitry. The rotational support includes substrate supports configured to concurrently support a substrate, and ring supports configured to concurrently support a focus ring. The rotation actuator is configured to rotate the rotational support around the central axis. The edge sensor is configured to generate an edge signal that changes in accordance with each of an edge position of the substrate and an edge position of the focus ring. The control circuitry is configured to control the rotation actuator to adjust a posture of the substrate to a first target posture based on the edge signal, and to control the rotation actuator to adjust a posture of the focus ring to a second target posture based on the edge signal.

Подробнее
06-02-2020 дата публикации

A pressure control valve, a fluid handling structure for lithographic apparatus and a lithographic apparatus

Номер: US20200041895A1
Принадлежит: ASML Netherlands BV

A pressure control valve has: a passageway having a flow opening; an member displaceable relative to the opening for obstructing the opening by differing amounts; a piezo actuator; and a linkage mechanism adapted to amplify a dimensional change in the piezo actuator and to use the amplified dimensional change to displace the member relative to the opening, wherein the linkage mechanism comprises a frame attached to a wall and fixed at a first end in relation to the passageway, a portion of the frame moveable in a first direction while being substantially restrained in a second direction orthogonal to the first direction, the piezo actuator extending between the wall and the movable portion such that an expansion of the piezo actuator results in movement of the movable portion in the first direction by an amount greater than the expansion of the piezo actuator, the moveable portion connected to the member.

Подробнее
07-02-2019 дата публикации

SUBSTRATE PROCESSING SYSTEM

Номер: US20190043742A1
Принадлежит:

A measurement processing process S of measuring a cut width of a film based on an image obtained by imaging, with an imaging unit , a peripheral portion of a substrate which is processed based on a substrate processing recipe; a creation process S of creating a management list in which a set value of the cut width of the film, a measurement value of the cut width of the film measured through the measurement processing process and time information at which the measurement result is obtained are correlated; an analysis process S (S) of analyzing a state of the processed substrate based on the created management list; and a notification process S (S, S) of making a preset notification to a user based on an analysis result obtained through the analysis process are provided. 1. A substrate processing system including a substrate processing apparatus configured to perform a processing of removing a film on a peripheral portion of a substrate; a measurement processing device configured to perform a measurement processing based on an image; an information processing device configured to manage information upon the measurement processing; and a control device configured to control the substrate processing apparatus ,wherein the substrate processing apparatus comprises:a rotating/holding unit configured to hold and rotate the substrate;a processing liquid supply unit configured to supply a processing liquid for removing the film onto the peripheral portion of the substrate; andan imaging unit configured to image the peripheral portion of the substrate,wherein the measurement processing device comprises:a first controller configured to measure a cut width of the film based on the image obtained by imaging the peripheral portion of the substrate which is processed based on a substrate processing recipe including a set value of the cut width of the film,wherein the information processing device comprises:a second controller configured to create a management list in which ...

Подробнее
07-02-2019 дата публикации

ACTIVE MONITORING SYSTEM FOR SUBSTRATE BREAKAGE PREVENTION

Номер: US20190043744A1
Принадлежит:

A method and apparatus for monitoring substrate lift pin operation is disclosed and includes a support pedestal for a vacuum chamber, the support pedestal comprising a body having a plurality of openings formed between two major sides of the body, and a substrate support device disposed in each of the plurality of openings, each of the support devices comprising a housing disposed in the body, the housing having a bore formed therethrough, and a support pin disposed in the bore, wherein the body includes a monitoring device positioned proximal to the support pins of each of the substrate support devices. 1. A support pedestal for a vacuum chamber , comprising;a body having a plurality of openings formed between two major sides of the body; and a housing disposed in the body, the housing having a bore formed therethrough; and', 'a support pin disposed in the bore, wherein the body includes a plurality of monitoring devices, each monitoring device positioned proximal to the support pin of each of the substrate support devices., 'a substrate support device disposed in each of the plurality of openings, each of the support devices comprising2. The support pedestal of claim 1 , wherein the monitoring device further comprises one or more movement indicators coupled to a shaft of the support pin.3. The support pedestal of claim 2 , wherein each of the one or more movement indicators comprise a magnet.4. The support pedestal of claim 1 , wherein the monitoring device further comprises a switch.5. The support pedestal of claim 4 , wherein the switch is in selective communication with a magnet disposed in or on a shaft of the support pin.6. A vacuum chamber claim 4 , comprising:a susceptor movably disposed in a processing volume enclosed by a bottom and a sidewall, the susceptor comprising a body having a plurality of openings formed between two major sides of the body; and a housing disposed in the body, the housing having a bore formed therethrough; and', 'a support pin ...

Подробнее
07-02-2019 дата публикации

Flux-free solder ball mount arrangement

Номер: US20190043745A1
Автор: Zhang Jian
Принадлежит:

A system for the flux free processing of a plurality of solder balls on a wafer, comprising: an articulable vacuum support chuck for maintaining support of a plurality of solder balls on a wafer being processed. An articulable flux-free binder applicator arranged in binder depositing relationship with the wafer within the treatment chamber. An articulable fluid dispenser is arranged in a binder-applied minimization-treatment with respect to the flux free binder applied to the wafer within the treatment chamber. 1. A process to enable the flux free assembly of a plurality of solder balls onto an array of pads arranged on a wafer to comprise a wafer product , to enable the wafer product's use in the electronics industry , the process comprising:depositing a flux free binder on the wafer;blowing compressed dry air over the flux free binder on the wafer to control the depth of the flux free binder thereon;arranging a displaceable hole-laden stencil over the wafer;aligning a pad-laden wafer to the hole-laden stencil;verifying that the aligned pad-laden wafer and the hole-laden stencil are aligned through a computerized optical vision system;depositing an array of solder balls onto the flux free binder on the wafer through an array of holes in the hole-laden stencil arranged over the wafer;lowering an array of looped wires attached to a bottom side of the ball mount head into the array of solder balls on the stencil;vibrating the array of looped wires in the array of solder balls on the stencil to further effect ball placement through the holes in the stencil;blowing compressed dry air over the array of solder balls on the stencil to displace any stray excess solder balls remaining on the stencil; andvacuuming up and collecting any stray excess solder balls displaced by the blown compressed dry air thereover.2. The process as recited in claim 1 , including the step of:depositing the array of solder balls onto the wafer by dropping a number of solder balls from the ball ...

Подробнее
07-02-2019 дата публикации

ROBOT DIAGNOSING METHOD

Номер: US20190043750A1
Принадлежит:

A robot diagnosing method detects a deviation amount caused by a lost motion and includes: a first step of preparing a robot including a robot arm having at least one joint portion, a work conveyed by the robot, and a prealigner including a processing portion configured to detect a center position of the work; and after the first to fifth steps, a sixth step of detecting the deviation amount caused by the lost motion at the one joint portion based on (i) the center position of the work based on the center position of the work detected in the second step and a command value from a robot control portion in the fourth step and (ii) the center position of the work detected in the fifth step. 1. A robot diagnosing method of detecting a deviation amount caused by a lost motion ,the robot diagnosing method comprising: a robot including a robot arm having at least one joint portion, an end effector attached to the robot arm, and a robot control portion configured to control the robot arm and the end effector,', 'a work conveyed by the robot, and', 'a prealigner including a turn table on which the work is placed, a driving portion configured to rotate the turn table, a sensor configured to detect an outer edge portion of the work that is being rotated by the driving portion, and a processing portion configured to detect a center position of the work based on the outer edge portion detected by the sensor;, 'a first step of preparing'}a second step of placing the work on the turn table by the end effector and detecting the center position of the work by the prealigner;a third step of, after at least the first and second steps are performed, holding by the end effector the work placed on the turn table;a fourth step of, after at least the first and second steps are performed, rotating one of the at least one joint portion in a first direction by a predetermined angle based on a command value from the robot control portion;a fifth step of, after the first to fourth steps are ...

Подробнее
06-02-2020 дата публикации

Substrate holding apparatus, substrate suction determination method, substrate polishing apparatus, substrate polishing method, method of removing liquid from upper suface of wafer to be polished, elastic film for pressing wafer against polishing pad, substrate release method, and constant amount gas supply apparatus

Номер: US20200043773A1
Принадлежит: Ebara Corp

A substrate holding apparatus is provided, which includes a top ring main body to which an elastic film having a surface that can suck a substrate can be attached, a first line communicating with a first area of the plurality of areas, a second line communicating with a second area different from the first area of the plurality of areas, a pressure adjuster that can pressurize the first area by feeding fluid into the first area through the first line and can generate negative pressure in the second area through the second line, and a determiner that performs determination of whether or not the substrate is sucked to the elastic film based on a volume of the fluid fed into the first area or a measurement value corresponding to pressure in the first area.

Подробнее
06-02-2020 дата публикации

LOW PROFILE WAFER MANIPULATOR

Номер: US20200043774A1
Принадлежит:

A wafer manipulator includes a first arm connected to a base, a second arm connected to the base, a first pad connected to the first arm, a second pad connected to the second arm, a light transmitter connected to the wafer manipulator, and a light sensor connected to the wafer manipulator. The light sensor is configured to receive light transmitted from the light transmitter when the wafer is not properly in contact with the wafer. The light sensor is configured to not receive light transmitted from the light transmitter when the wafer is properly in contact with the wafer manipulator. The first pad has a horizontal friction and a vertical friction. The horizontal friction is at least ten times greater than the vertical friction. Multiple pads can be attached to the first arm and multiple pads can be attached to the second arm. 1. A wafer manipulator , comprising:a first arm connected to a base;a second arm connected to the base;a first pad connected to the first arm;a second pad connected to the second arm;a light transmitter that transmits light; anda light sensor that is configured to receive light transmitted from the light transmitter, wherein light transmitted from the light transmitter is not received by the light sensor when a wafer is properly in contact with the wafer manipulator.2. The wafer manipulator of claim 1 , wherein the first pad has a horizontal friction and a vertical friction claim 1 , wherein the horizontal friction is at least ten times greater than the vertical friction.3. The wafer manipulator of claim 1 , wherein the first pad is connected to the first arm using adhesive.4. The wafer manipulator of claim 1 , wherein the first pad is connected to the second arm using a bolt claim 1 , a screw claim 1 , a nail claim 1 , a pin claim 1 , a lock claim 1 , or a rivet.5. The wafer manipulator of claim 1 , wherein the light transmitter includes a Light Emitting Diode (LED) claim 1 , wherein multiple pads are attached to the first arm claim 1 , and ...

Подробнее
18-02-2021 дата публикации

Inspection system

Номер: US20210050237A1
Автор: Lutz Rebstock
Принадлежит: Brooks Automation Germany GmbH

The invention relates to an inspection system adapted for determining a state and/or content of a wafer or reticle container or at least a part of a wafer or reticle container, comprising a detection device or a multitude of detection devices (102, 104, 152, 154, 156, 158, 160, 164) adapted to receive detection data from a surface and/or interior of the wafer or reticle container or the part of a wafer or reticle container indicative of the state and/or content of the wafer or reticle container or the part of a wafer or reticle container.

Подробнее
18-02-2021 дата публикации

TRANSFER METHOD AND TRANSFER APPARATUS FOR SUBSTRATE PROCESSING SYSTEM

Номер: US20210050240A1
Принадлежит: TOKYO ELECTRON LIMITED

A semiconductor substrate is transferred accurately with respect to an edge ring. A transfer apparatus uses a transfer method for a substrate processing system, where the method includes tray loading, measuring, positioning, substrate placement, and tray removing. The tray loading includes loading a tray on which a semiconductor substrate and an edge ring are placeable into a mounting chamber including a support. The measurement includes measuring a position of the edge ring placed on the tray and obtaining position information about the edge ring. The positioning includes positioning the semiconductor substrate based on the position information. The substrate placement includes placing the positioned semiconductor substrate onto the tray. The tray removing includes removing the tray on which the semiconductor substrate and the edge ring are placed from the mounting chamber. 1. A transfer method for a substrate processing system , the transfer method comprising:loading a tray on which a semiconductor substrate and an edge ring are placeable into a mounting chamber that includes a support;measuring a position of the edge ring placed on the tray and obtaining position information about the edge ring;positioning the semiconductor substrate based on the position information about the edge ring;after the positioning, placing the semiconductor substrate onto the tray andremoving the tray on which the semiconductor substrate and the edge ring are placed from the mounting chamber.2. The transfer method according to claim 1 , whereinthe tray includes a conductive tray body and a dielectric film disposed on at least an upper surface of the conductive tray body, andthe transfer method further comprises, between the placing and the removing, electrostatically attracting the semiconductor substrate to the tray by applying a voltage to the conductive tray body.3. The transfer method according to claim 2 , whereinin the electrostatically attracting, the applying includes applying ...

Подробнее
18-02-2021 дата публикации

BONDING SYSTEM AND BONDING METHOD

Номер: US20210050243A1
Автор: Otsuka Yoshitaka
Принадлежит:

A bonding system includes a first holder and a second holder arranged to be spaced apart from each other in a vertical direction; a position adjuster configured to move the first holder and the second holder relatively to perform a position adjustment in a horizontal direction between a first substrate held by the first holder and a second substrate held by the second holder; a pressing unit configured to press the first substrate and the second substrate against each other; a measuring unit configured to measure a position deviation between an alignment mark on the first substrate and an alignment mark on the second substrate, the first substrate and the second substrate being bonded by the pressing unit; and a position adjustment controller configured to control the position adjustment in the horizontal direction in a currently-performed bonding processing based on the position deviation generated in a previously-performed bonding processing. 1. A bonding system , comprising:a first holder and a second holder arranged to be spaced apart from each other in a vertical direction, the first holder having, on a surface thereof facing the second holder, an attraction surface configured to attract and hold a first substrate, and the second holder having, on a surface thereof facing the first holder, an attraction surface configured to attract and hold a second substrate;a position adjuster configured to move the first holder and the second holder relatively to perform a position adjustment in a horizontal direction between the first substrate held by the first holder and the second substrate held by the second holder;a pressing unit configured to press the first substrate held by the first holder and the second substrate held by the second holder against each other;a measuring unit configured to measure a position deviation between an alignment mark formed on the first substrate and an alignment mark formed on the second substrate, the first substrate and the second ...

Подробнее
19-02-2015 дата публикации

Rapid Thermal Processing Chamber with Micro-Positioning System

Номер: US20150050118A1
Принадлежит:

Methods and apparatus for rapid thermal processing of a planar substrate including axially aligning the substrate with a substrate support or with an empirically determined position are described. The methods and apparatus include a sensor system that determines the relative orientations of the substrate and the substrate support. 1. A method of processing a substrate , comprising:transferring a planar substrate having an edge into a processing chamber onto an intermediate substrate support;transmitting a reflective light beam from one sensor through a space between the substrate and a second substrate support;determining a theta adjustment value for axially aligning the substrate relative to the second substrate support;adjusting a position of the substrate relative to the second substrate support using the theta adjustment value so that the substrate and the second substrate support are substantially in axial alignment; andtransferring the substrate to the second substrate support.2. The method of claim 1 , wherein determining the determining the theta adjustment value comprises measuring a distance from at least two positions X and Y between the second substrate support and the substrate and determining the distance from the at least two positions X and Y.3. The method of claim 1 , wherein the position of the substrate relative to the second substrate support is adjusted prior to transferring the substrate onto the intermediate substrate support.4. The method of claim 1 , wherein the position of the substrate relative to the second substrate support is adjusted after transferring the substrate onto the intermediate substrate support.5. The method of claim 1 , wherein adjusting the position of the substrate relative to the second substrate support comprises moving one or more of the substrate claim 1 , the intermediate substrate support or the second substrate support.6. The method of claim 5 , wherein adjusting the position of the substrate relative to the second ...

Подробнее
15-02-2018 дата публикации

TRANSFER DEVICE AND TRANSFER METHOD

Номер: US20180047601A1
Принадлежит:

The disclosure provides a transfer device and a transfer method using the same. The transfer device comprises a first conveyance roller, a transfer roller and a second conveyance roller. The transfer roller includes a roller body and stamp contacts formed on and protruded from the roller body. The stamp contacts can absorb elements, axes of the first conveyance roller. The transfer roller and the second conveyance roller are arranged in parallel with each other. The transfer roller is interposed between the first and second conveyance rollers. A first roll gap is formed between the first conveyance roller and the transfer roller to convey a medium substrate, and a second roll gap is formed between the second conveyance roller and the transfer roller to convey a target substrate. The transfer device and method can be used to transfer a great amount of elements to the substrate having large size. 1. A transfer device , comprising a first conveyance roller , a transfer roller and a second conveyance roller , whereinthe transfer roller includes a roller body and a plurality of first stamp contacts formed on and protruded from a peripheral surface of the roller body,the first stamp contacts are able to absorb elements to be transferred,an axis of the first conveyance roller, an axis of the transfer roller and an axis of the second conveyance roller are arranged in parallel with each other,the transfer roller is interposed between the first conveyance roller and the second conveyance roller, anda first roll gap is formed between the first conveyance roller and the transfer roller to convey a medium substrate, and a second roll gap is formed between the second conveyance roller and the transfer roller to convey a target substrate.2. The transfer device according to claim 1 , whereinthe first stamp contacts are made of dimethyl silicone polymer.3. The transfer device according to claim 1 , whereinthe transfer device further comprises a panel stamp including a panel base and ...

Подробнее
25-02-2021 дата публикации

SYSTEMS AND METHODS FOR A LIFT AND ROTATE WAFER HANDLING PROCESS

Номер: US20210054497A1
Принадлежит: OEM Group, LLC

Various embodiments of a mechanical assembly for linear and rotational handling of electronic wafer substrates under high vacuum are disclosed herein. 1. A wafer handling apparatus , comprising:a feedthrough plate in operative association with a first end of a vertical rod, wherein the feedthrough plate is operable to be lifted in an axial direction A to a wafer loading position and wherein the feedthrough plate is operable to be lowered in an opposite axial direction B to a wafer processing position;a main plate defining a lower face and a main plate aperture, wherein the feedthrough plate is positioned above the main plate such that the vertical rod extends through the main plate aperture;a wafer chuck coupled with a second end of the vertical rod and positioned below the main plate; anda plurality of pin assemblies defined annularly around the wafer chuck, wherein each pin assembly of the plurality of pin assemblies comprises a lateral leg;wherein when the feedthrough plate is lifted to the wafer loading position, a transfer gap between the wafer chuck and each lateral leg of each pin assembly of the plurality of pin assemblies is maximized such that an electronic wafer may be inserted between the wafer chuck and each lateral leg of each pin assembly of the plurality of pin assemblies; andwherein when the feedthrough plate is lowered to the wafer processing position, a transfer gap between the wafer chuck and each lateral leg of each pin assembly of the plurality of pin assemblies is minimized such that the electronic wafer is secured to the wafer chuck by each lateral leg of each pin assembly of the plurality of pin assemblies.2. The apparatus of claim 1 , further comprising: [ 'wherein each elongated screw of the plurality of elongated screws is coupled with a respective lift pulley of a plurality of lift pulleys such that when each lift pulley is driven in a clockwise or counterclockwise direction, each elongated screw of the plurality of elongated screws is ...

Подробнее
03-03-2022 дата публикации

APPARATUS AND METHOD FOR PLANARIZING A SUBSTRATE

Номер: US20220068655A1
Автор: SIM Jae Hee
Принадлежит:

An apparatus for planarizing a substrate may include a supporting plate, an injection mechanism and a controller. The supporting plate may be configured to receive the substrate including a coating layer formed on the substrate before a hardening process. The supporting plate may have at least one of a function for controlling a temperature of the substrate, a function for rotating the substrate and a function for vibrating the substrate. The injection mechanism may inject a gas having a set temperature and a set pressure to the coating layer of the substrate to horizontally planarize the coating layer. The controller may control a movement, a temperature and a pressure of the injection mechanism, and the temperature control, the rotation control and the vibration control of the supporting plate.

Подробнее
23-02-2017 дата публикации

Apparatus for inspecting robot hands

Номер: US20170052019A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

An inspection apparatus includes a supporting substrate. A first sensor unit is provided on the supporting substrate, the first sensor unit comprising a first light-emitting device and a first light-receiving device which are spaced apart from each other and face each other. A second sensor unit is provided on the supporting substrate, the second sensor unit comprising a second light-emitting device and a second light-receiving device which are spaced apart from each other and face each other. The first and second light-emitting devices and the first and second light-receiving devices are disposed at a same distance from a top surface of the supporting substrate. The first and second sensor units are configured to detect whether a robot hand moves in a first direction perpendicular to the top surface of the supporting substrate.

Подробнее
14-02-2019 дата публикации

Cutting apparatus and wafer processing method

Номер: US20190051532A1
Принадлежит: Disco Corp

A cutting apparatus includes a line sensor unit that applies a laser beam in a band shape elongated in a radial direction of a wafer to a region inclusive of a peripheral portion of the wafer held on a chuck table, and detects reflected light, and an information calculation section that calculates the position of the wafer and the height of the front surface of the wafer from the reflected light of the laser beam detected by the line sensor unit in a state in which the chuck table is rotated before the wafer is cut to form a stepped portion, and that calculates the width and the height of the stepped portion from the reflected light of the laser beam detected by the line sensor unit after the wafer is cut to form the stepped portion.

Подробнее