Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 10007. Отображено 200.
01-10-2020 дата публикации

TRANSPORTSYSTEM

Номер: DE102020203931A1
Принадлежит:

Ein Transportsystem zum Transportieren eines Werkstücks zu jeder von mehreren Bearbeitungsvorrichtungen beinhaltet einen Transportdurchgang, ein automatisiertes Transportfahrzeug zum Bewegen auf dem Transportdurchgang, wobei das automatisierte Transportfahrzeug ein Aufnahmeelement für ein Werkstück, einen Bewegungsmechanismus und einen Empfänger beinhaltet, eine Speichereinheit, die eine Haltebasis für ein Aufnahmeelement und einen Empfänger beinhaltet, und eine Transporteinheit für ein Aufnahmeelement zum Transportieren des Aufnahmeelements für ein Werkstück zwischen einem Bereich des Transportdurchgangs oberhalb der Speichereinheit und der Haltebasis für ein Speicherelement oder zwischen einem Bereich des Transportdurchgangs oberhalb der Bearbeitungsvorrichtung und dem Inneren der Bearbeitungsvorrichtung.

Подробнее
15-12-2010 дата публикации

A/FROM LOAD OPENING PROMOTIONAL SYSTEM

Номер: AT0000490203T
Принадлежит:

Подробнее
31-12-2013 дата публикации

Transportund �bergabevorrichtung f�r scheibenf�rmige substrates, vacuum treatment plant and procedure for the production of treated substrates.

Номер: CH0000706662A1
Принадлежит:

Die Erfindung betrifft eine Transport- und Übergabevorrichtung für scheibenförmige Substrate, umfassend einen Träger (3) und eine Übernahmeanordnung (15). Beide sind relativ zueinander beweglich. Ein relativ schwerer Substratträger (7) aus magnetisierbarem Material wird durch Abstands-Steuerung vom Permanent-Magneten (17) an der Übernahme-Anordnung (15) von Letzterer übernommen, bzw. von Letzterer an einen Träger (3) rückübergeben. Der gesteuerte Antrieb der Permanent-Magnete (17) in der Übernahme-Anordnung (15) erfolgt mittels pneumatischer Kolben-/Zylinder-Anordnungen (19).

Подробнее
30-04-2019 дата публикации

Storage system with conveyor elements.

Номер: CH0000714282B1
Принадлежит: MURATA MACHINERY LTD, MURATA MACHINERY, LTD.

Die Erfindung betrifft ein Lagersystem (12) für eine Transportanlage für Behälter – wie z.B. Kassetten, die als FOUP-Pods (8) oder SMIF-Boxes zum Aufbewahren von Wafern (9) und dergleichen ausgebildet sein können – welches eine Vielzahl von Förderelementen (1) umfasst, die entlang einer Förderlinie (13) angeordnet sind, und ist dadurch gekennzeichnet, dass die Förderelemente (1) in zumindest einer sich im Wesentlichen horizontal ausdehnenden Lagerlinie (14) – die sich neben, über oder unter der Förderlinie (13) der Transportanlage erstreckt – unter Einhalten einer Transferposition gegenüber den nächstgelegenen Förderelementen (1) angeordnet sind.

Подробнее
11-08-2017 дата публикации

HIGH CAPACITY OVERHEAD TRANSPORT (OHT) RAIL SYSTEM WITH MULTIPLE LEVELS

Номер: CN0107039321A
Принадлежит:

Подробнее
23-07-2019 дата публикации

Substrate processing apparatus, semiconductor device manufacturing method and recording medium

Номер: CN0110047791A
Автор:
Принадлежит:

Подробнее
20-06-2018 дата публикации

기판 처리 장치

Номер: KR0101853373B1
Автор: 정영헌, 서경진, 조수현
Принадлежит: 세메스 주식회사

... 본 발명은 기판 처리 장치에 관한 것이다. 본 발명의 일 실시 예에 따른 기판 처리 장치는 카세트가 놓여지는 재치대를 갖는 로드 포트; 공정 처리를 수행하는 도포 및 현상 모듈; 상기 도포 및 현상 모듈에서 처리된 기판을 상기 카세트에서 반출하거나, 상기 도포 및 현상 모듈에서 처리된 기판을 상기 카세트로 반입하는 인덱스 모듈; 및 제어기를 포함하되, 상기 로드 포트, 상기 도포 및 현상 모듈 및 상기 인덱스 모듈은 각각은, 케이싱; 상기 케이싱의 내측 공간으로 가스를 공급하는 팬 필터 유닛; 상기 내측 공간의 가스를 배출하는 배기 부재; 및 상기 내측 공간에 위치되는 센서를 포함하고, 상기 제어기는 상기 센서의 측정값에 따라 상기 배기 부재 및 상기 팬 필터 유닛을 제어한다.

Подробнее
04-09-2018 дата публикации

반송대차 얼라인 스테이션

Номер: KR0101894287B1
Автор: 곽호민
Принадлежит: 주식회사 에스에프에이

... 반송대차 얼라인 스테이션이 개시된다. 본 발명의 일 실시예에 따른 반송대차 얼라인 스테이션은, 종류가 서로 다른 마스크 타입(Mask type) 반송대차 또는 글라스 타입(Glass Type) 반송대차에 대한 부품 세팅작업을 위해 반송대차들이 공용으로 안착되는 장소를 형성하는 세팅용 공용 지그; 세팅용 공용 지그의 일측에 착탈 가능하게 결합되며, 마스크 타입 반송대차에 대한 세팅작업을 위해 마스크 타입 반송대차가 안착되는 마스크 타입 반송대차 세팅용 지그유닛; 및 마스크 타입 반송대차 세팅용 지그유닛과는 다른 위치에서 세팅용 공용 지그의 타측에 착탈 가능하게 결합되며, 글라스 타입 반송대차에 대한 세팅작업을 위해 글라스 타입 반송대차가 안착되는 글라스 타입 반송대차 세팅용 지그유닛을 포함한다.

Подробнее
17-06-2014 дата публикации

LOADPORT BRIDGE FOR SEMICONDUCTOR FABRICATION TOOLS

Номер: KR0101407789B1
Автор:
Принадлежит:

Подробнее
09-04-2019 дата публикации

Номер: KR0101967272B1
Автор:
Принадлежит:

Подробнее
06-02-2017 дата публикации

실리콘 전극을 갖는 유연성 바이폴라 마이크로 디바이스 이송 헤드

Номер: KR0101702789B1
Принадлежит: 애플 인크.

... 유연성 바이폴라 마이크로 디바이스 이송 헤드 어레이, 및 SOI 기판으로부터 유연성 바이폴라 마이크로 디바이스 이송 어레이를 형성하는 방법이 기술된다. 일 실시예에서, 유연성 바이폴라 마이크로 디바이스 이송 헤드 어레이는 베이스 기판 및 베이스 기판 위의 패턴화된 실리콘 층을 포함한다. 패턴화된 실리콘 층은 제1 및 제2 실리콘 배선, 및 제1 및 제2 실리콘 배선과 전기적으로 접속하며 베이스 기판과 실리콘 전극들 사이의 하나 이상의 공동 안으로 편향가능한 실리콘 전극들의 제1 및 제2 어레이를 포함할 수 있다.

Подробнее
29-10-2019 дата публикации

Wafer supply module and die bonding apparatus including the same

Номер: KR0102037950B1
Автор:
Принадлежит:

Подробнее
24-09-2019 дата публикации

Номер: KR0101990242B1
Автор:
Принадлежит:

Подробнее
30-01-2013 дата публикации

OVERHEAD CONVEYING VEHICLE

Номер: KR0101227918B1
Автор:
Принадлежит:

Подробнее
09-08-2017 дата публикации

TRANSPORTING SYSTEM AND METHOD, DRIVING CONTROLLING DEVICE FOR PERFORMING SAME, AND INTERSECTION CONTROLLING DEVICE

Номер: KR1020170091435A
Принадлежит:

The present invention provides a transporting system and method, a driving controlling device for performing the same, and an intersection controlling device. According to an embodiment of the present invention, the transporting system includes: a driving controlling device installed in a transporting device, generating a steering pattern corresponding to steering information of the transporting device at an intersection, and outputting the steering pattern; and a controlling device installed at the intersection, recognizing the steering pattern output from each of one or more driving controlling devices, generating a driving pattern of the transporting device at the intersection based on the recognized steering pattern, and outputting the driving pattern. The driving controlling device is configured to recognize the driving pattern to obtain driving information, and control driving of the transporting device at the intersection according to the driving information. Accordingly, the present ...

Подробнее
19-12-2018 дата публикации

퍼지 장치 및 퍼지 방법

Номер: KR1020180135090A
Автор: 이와사키 쥰지
Принадлежит:

... 천장 주행차와 용기 전달처와의 사이에서 용기를 퍼지 가스로 퍼지한다. 천장 주행차의 주행 레일의 하방이며 또한 용기 전달처의 상방에 로컬 대차의 주행 레일을 마련하여, 용기를 승강시키는 호이스트를 구비하는 로컬 대차를 주행시킨다. 로컬 대차의 주행 레일의 하방이며 또한 용기 전달처의 직상부를 폐색하지 않도록 용기를 재치 가능한 퍼지대를 마련하고, 퍼지대에 재치되어 있는 용기로 퍼지 가스 공급 장치에 의해 퍼지 가스를 공급한다.

Подробнее
15-01-2020 дата публикации

APPARATUS FOR MANUFACTURING DISPLAY DEVICE USING LIGHT EMITTING DEVICE AND MANUFACTURING METHOD FOR SAME

Номер: KR1020200005516A
Автор:
Принадлежит:

Подробнее
14-01-2009 дата публикации

TRANSPORT SYSTEM INCLUDING VERTICAL ROLLERS

Номер: KR1020090006052A
Принадлежит:

A transport system for conveying articles along conveyance paths including straight, curvilinear, horizontal, inclined and declined conveyance sections. The articles are conveyed between a pair of vertical belts while being supported by protrusions extending from the vertical belts. The vertical belts are guided using a multiplicity of vertical rollers that are configurable into straight, curvilinear and dynamically changing conveyance sections. Multiple conveyance sections can be joined end to end to transport articles over complex paths and over long distances. The articles conveyed may include semiconductor wafers. © KIPO & WIPO 2009 ...

Подробнее
05-03-2018 дата публикации

WAFER RINGS TRANSFER APPARATUS

Номер: KR1020180021492A
Принадлежит:

Disclosed is a wafer ring transfer apparatus for transferring a wafer ring between a cassette for accommodating a plurality of wafer rings having a plurality of dies individualized by a dicing process in a duplex structure and a die bonding apparatus for bonding the dies to the substrate. The wafer ring transfer apparatus comprises: a loading/unloading unit including a grip portion for gripping a wafer ring, unloading a wafer ring waiting on the cassette to be supplied to the die bonding apparatus wafer ring to transfer the wafer ring to the die bonding apparatus, and loading a wafer ring used in the die bonding apparatus on the cassette; and a stopper unit disposed on the front side of the cassette, through which a wafer ring goes in and out, and preventing forward movement of a wafer ring located adjacent to the wafer ring gripped by the grip portion in the cassette. Accordingly, the wafer ring transfer apparatus is able to prevent the used wafer ring from coming out of the cassette together ...

Подробнее
13-05-2020 дата публикации

PALLET UNIT FOR TRANSFERRING SEMICONDUCTOR PACKAGES

Номер: KR1020200051325A
Автор:
Принадлежит:

Подробнее
26-10-2017 дата публикации

AUTOMATIC TEACHING SYSTEM OF TRANSFER ROBOT

Номер: KR1020170118987A
Принадлежит:

An automatic teaching system of a transfer robot is provided. The automatic teaching system of the transfer robot includes a sensing portion, a data processing portion, a control portion, and a communication portion. The sensing portion includes: a central sensor for aligning a cassette and a robot hand of a transfer robot; a height sensor for measuring the height of the robot hand; an interval sensor portion for measuring an interval of the robot hand; and a depth sensor for measuring the depth of the robot hand. Accordingly, the present invention aims to provide an automatic teaching system of a transfer robot capable of preventing damage to a glass substrate due to a collision with a cassette at the time of the operation of equipment and automating a process. COPYRIGHT KIPO 2017 ...

Подробнее
16-08-2018 дата публикации

APPARATUS FOR TRANSFERRING SUBSTRATE AND TRAY

Номер: KR1020180091573A
Принадлежит:

The present invention provides an apparatus for transferring a substrate without damaging a tray in which a first inclined portion is formed at the side surface of a base plate such that the tray can move above a moving member without being latched to the moving member, thereby preventing separation of the substrate laminated on the base plate. The apparatus for transferring a substrate according to the present invention comprises: the base plate laminated with the substrate; the first inclined portion formed on one surface of the side surface of the base plate; and a plurality of moving members transferring the base plate, thereby capable of preventing separation of the tray. COPYRIGHT KIPO 2018 ...

Подробнее
05-06-2020 дата публикации

Carrier buffer apparatus

Номер: KR1020200063659A
Автор:
Принадлежит:

Подробнее
21-10-2020 дата публикации

SITTER FOR HANDLING PROCESS OF ELECTRONIC COMPONENT AND STACKER SYSTEM THEROF

Номер: KR1020200120291A
Автор:
Принадлежит:

Подробнее
19-06-2019 дата публикации

Номер: KR1020190068786A
Автор:
Принадлежит:

Подробнее
20-09-2017 дата публикации

마스크 케이스, 보관 장치 및 방법, 반송 장치 및 방법, 그리고 노광 장치

Номер: KR1020170106463A
Принадлежит:

... 마스크를 지지하는 지지부가 형성되는 저면을 갖는 제 1 케이스부와, 제 1 케이스부에 대하여 착탈 가능하도록 형성되고, 저면에 대향 배치되는 상면을 갖는 제 2 케이스부를 구비하고, 보관 장치에 보관 가능한 마스크 케이스로서, 제 2 케이스부는, 보관 장치에 유지될 때에 사용되는 유지부를 갖고, 유지부는, 제 1 케이스부에 장착된 제 2 케이스부가 보관 장치에 보관되는 제 1 위치에 있을 때에, 광이 통과하는 제 1 광 통과부와, 제 1 케이스부로부터 떼어내어진 제 2 케이스부의 유지부가 보관 장치에 유지되는 제 2 위치에 있을 때에, 광이 통과하는, 제 1 광 통과부와는 상이한 제 2 광 통과부를 구비한다. 마스크를 효율적으로 반송할 수 있다.

Подробнее
01-01-2017 дата публикации

Substrate transporting device, substrate treating apparatus, and substrate transporting method

Номер: TW0201700926A
Принадлежит:

Disclosed is a substrate transporting device including a transport mechanism, a transport chamber, a first exhaust fan, and a controller. The transport mechanism is movable in parallel in a given direction. The transport chamber includes a first wall disposed on a first side of the given direction of the transport mechanism, and a plurality of transportation ports each used for moving the substrate between an exterior and an interior of the transport chamber. The first exhaust fan is disposed closer to the first wall than any of the transportation ports, and exhausts gas in the transport chamber outside the transport chamber. The controller performs control such that, when the transport mechanism moves toward the first wall in a first proximal area whose distance from the first wall is of a given value or less, an exhaust amount of the first exhaust fan is larger than that when the transport mechanism moves toward first wall out of the first proximal area.

Подробнее
16-09-2015 дата публикации

Plasma processing apparatus and wafer transfer tray

Номер: TW0201535565A
Принадлежит:

A plasma processing apparatus includes: a wafer transfer tray having a first surface and a second surface being opposed to the first surface, the wafer transfer tray holding a wafer on the first surface; a cooler cooling the wafer transfer tray; a conductive supporter supporting the second surface of the wafer transfer tray; and a double-surface electrostatic attractor electrostatically attracting the wafer to the first surface of the wafer transfer tray and electrostatically attracting the supporting body to the second surface of the wafer transfer tray.

Подробнее
01-08-2017 дата публикации

Article transport facility

Номер: TW0201726516A
Принадлежит:

A first guiding body M1 and a second guiding body M2 are arranged in a positional relationship according to which the position in the vertical direction of the upper end portion of a first movement path of a first moving body T1 and the position in the vertical direction of the lower end portion of a second movement path of a second moving body T2 are overlapped with each other. The first moving body T1 includes a first transfer apparatus TS1, and the second moving body T2 includes a second transfer apparatus TS2. Relay support platforms N that can support articles B are arranged at positions that are not overlapped with the first movement path or the second movement path, and that enable the articles B to be transferred from both the first transfer apparatus TS1 and the second transfer apparatus TS2.

Подробнее
16-08-2018 дата публикации

Grinding apparatus

Номер: TW0201829932A
Принадлежит:

Grinding apparatus includes plural chuck tables disposed on a turntable, a first grinding unit and a second grinding unit that execute infeed grinding of a wafer, and a first grinding feed unit and a second grinding feed unit that execute grinding feed of them. Each grinding feed unit has a pair of guides parallel to the grinding feed direction and a ball screw. The grinding area of each grinding unit has a circular arc shape in which one end exists at the outer circumference of the wafer and the other end exists at the center of the wafer.

Подробнее
11-07-2016 дата публикации

Номер: TWI541181B

Подробнее
21-11-2016 дата публикации

Transport system

Номер: TWI559436B
Принадлежит: DENSO CORP, DENSO CORPORATION

Подробнее
08-05-2014 дата публикации

SEMICONDUCTOR DEVICE MANUFACTURING LINE

Номер: WO2014070484A1
Принадлежит:

A semiconductor device manufacturing line includes a process system that includes a plurality of process units of a single wafer process type, and a carrier system that carries wafers to the plurality of process units. The carrier system includes a plurality of carrier units each carrying one wafer from one of the process units to another process unit of a next process.

Подробнее
11-01-2022 дата публикации

Multiple semiconductor die container load port

Номер: US0011222802B1

A multiple die container load port may include a housing with an opening, and an elevator to accommodate a plurality of different sized die containers. The multiple die container load port may include a stage supported by the housing and moveable within the opening of the housing by the elevator. The stage may include one or more positioning mechanisms to facilitate positioning of the plurality of different sized die containers on the stage, and may include different portions movable by the elevator to accommodate the plurality of different sized die containers. The multiple die container load port may include a position sensor to identify one of the plurality of different sized die containers positioned on the stage.

Подробнее
15-02-2022 дата публикации

Substrate treating apparatus, carrier transporting method, and carrier buffer device

Номер: US0011251060B2
Автор: Joji Kuwahara
Принадлежит: SCREEN Holdings Co., Ltd.

A substrate treating apparatus, a carrier transporting method, and a carrier buffer device. A carrier transport mechanism transports a carrier between platforms of two openers and carrier storage shelves. The carrier storage shelves and the carrier transport mechanism are each mounted on a first treating block. Accordingly, the carrier storage shelves and the carrier transport mechanism are not extended horizontally from the indexer block, achieving a compact footprint of a substrate treating apparatus.

Подробнее
22-10-2019 дата публикации

Apparatus for storing and handling article at ceiling

Номер: US0010453724B2
Принадлежит: DAIFUKU CO., LTD., DAIFUKU KK

Disclosed herein is an apparatus for storing and handling an article at a ceiling, including: an internal rail configured to hang on the ceiling; a storage system configured to hang on the ceiling and including a shelf of a first row and a shelf of a second row disposed on both sides of the internal rail to face each other and a transport in/out port connected to any one of the shelf of the first row and the shelf of the second row; and an internal transfer robot configured to be movably connected to the internal rail and convey the article between any one of the shelf of the first row and the shelf of the second row and the transport in/out port.

Подробнее
17-10-2019 дата публикации

TRAVELLING VEHICLE SYSTEM AND METHOD FOR CONTROLLING TRAVELLING VEHICLE

Номер: US20190318950A1
Принадлежит:

A travelling vehicle system includes travelling vehicles and a controller. The controller includes a storage that stores a last permitted travelling vehicle, to which a passage permission is transmitted lastly and the passage permission for which is not canceled, for each direction in a branching section or a merging section included in a blocking area, stores a last canceled travelling vehicle, the passage permission for which is canceled lastly, for each direction in the blocking area, and stores the travelling vehicle, to which the passage permission in a same direction in the blocking area is transmitted lastly, as a forward travelling vehicle of a travelling vehicle waiting for the permission to pass through the blocking area at the time of transmission of the passage permission to the passage-permission waiting travelling vehicle, and a determiner that determines whether to give passage permission to the travelling vehicle waiting for the permission to pass through the blocking area ...

Подробнее
07-09-2021 дата публикации

Methods for loading or unloading substrate with evaporator planet

Номер: US0011114329B2

Implementations of methods of loading an evaporator may include, using a robotic arm, removing a substrate from a cassette and centering the substrate on a substrate aligner. The method may include aligning the substrate using the substrate aligner. The substrate may also include removing the substrate from the substrate aligner using the robotic arm and loading the substrate into a first available pocket of a planet of an evaporator using the robotic arm. The method may also include rotating the planet to a second available pocket after detecting a presence of the substrate in the first available pocket.

Подробнее
17-11-2009 дата публикации

Tray transportation device

Номер: US0007617924B2
Автор: Hsin Hui Han, HAN HSIN HUI

A tray transportation device is disclosed in this invention. The tray transportation device includes a transfer stage, a loading handler, an operation handler and an unload stage. The transfer stage has a buffer area and an operation area. The loading handler is disposed on the buffer area to handle a tray in the buffer area. The operation handler is disposed on the operation area to handle a tray in the operation area. The unload stage is used to carry the tray transferred from the transfer stage. The loading handler and the operation handler move together, when the operation handler transfers the tray from the operation area to the unload stage; the loading handler transfers the tray from the buffer area to the operation area.

Подробнее
21-07-2009 дата публикации

Method and apparatus for minimizing hand-off time using mobile node information

Номер: US0007564811B2

A method and apparatus for minimizing hand-off time using mobile node information. In the method of minimizing hand-off time using mobile node information, identification information is received that includes information about a router and unique information about an access point. Information about a mobile node connected to a network, which is controlled by the access point, is combined with the identification information, thus generating a mobile IP address of the mobile node. The mobile IP address is then transmitted to the mobile node.

Подробнее
26-12-2019 дата публикации

APPARATUS FOR ROUTING A CARRIER IN A PROCESSING SYSTEM, A SYSTEM FOR PROCESSING A SUBSTRATE ON THE CARRIER, AND METHOD OF ROUTING A CARRIER IN A VACUUM CHAMBER

Номер: US20190393064A1
Принадлежит:

An apparatus for routing a carrier in a processing system is described. The apparatus includes a first holding assembly attached to a vacuum chamber for transportation of the carrier along a first direction, a second holding assembly attached to the vacuum chamber for transportation of the carrier along a second direction different from the first direction, and a rotatable support for rotating the carrier from the first direction to the second direction.

Подробнее
24-01-2008 дата публикации

OVERHEAD TRANSFER FLANGE AND SUPPORT FOR SUSPENDING A SUBSTRATE CARRIER

Номер: US2008019810A1
Принадлежит:

In a first aspect, a first apparatus is provided for use in supporting a substrate carrier. The first apparatus includes an overhead transfer flange adapted to couple to a substrate carrier body and an overhead carrier support. The overhead transfer flange has a first side and a second side opposite the first side that is wider than the first side. Numerous other aspects are provided.

Подробнее
20-04-2017 дата публикации

TRANSFER SYSTEM AND TRANSFER METHOD

Номер: US20170106533A1
Принадлежит: KABUSHIKI KAISHA YASKAWA DENKI

A transfer system includes a robot including a hand configured to transfer a substrate, a transfer chamber within which the robot is disposed, a plurality of substrate-storing cassettes disposed side by side on a sidewall of the transfer chamber in a plan view, and a robot control device configured to control an operation of the robot based on teaching information. The robot control device includes an operation controller configured to cause the robot to transfer the substrate to a storage position while slanting a hand centerline toward the robot away from a storage centerline with respect to a first cassette and while superimposing the hand centerline on the storage centerline with respect to a second cassette.

Подробнее
23-01-2018 дата публикации

Flexible purge management system

Номер: US0009875921B2

The subject matter of this invention is a device for flexible purge management, comprising a FOUP transport system, a purging gas distribution system, one or more FOUP nests for holding FOUPs and an electronic control system. The overhead FOUP transport system, the gas distribution system and the electrical system run together for the most part. Adapter plates that serve to hold the FOUPs are arranged in the FOUP nests. A computer-supported multi-tier control system controls the device and makes it possible to individually address each FOUP nest and to also operate the remaining FOUP nests when there is partial removal.

Подробнее
23-07-2019 дата публикации

Ambidextrous cassette and methods of using same

Номер: US0010361108B2
Принадлежит: SolarCity Corporation, SOLARCITY CORP

Devices and methods for transferring solar cells while maintaining a controlled environment are provided. Such devices include a solar cell carrying cassette adapted to support a stack of solar cells within a solar cell carrying pod that maintains a sealed micro-environment of inert gas and allows for automated transfer of solar cells between the pod and a fabrication line. The solar cell carrying cassette includes a pair of end plates and a plurality of rods extending therebetween that are configured to support a stack of solar cells. An identifier, such as an RFID chip, is included in each of the pair of end plates so as to allow for ready identification of the cassette from a single location relative the pod, while the cassette is coupled within the pod, regardless of the orientation of the cassette within the pod.

Подробнее
28-02-2023 дата публикации

Frame cassette for holding tape-frames

Номер: US0011594439B2
Принадлежит: Infineon Technologies AG

According to various embodiments, a frame cassette includes a housing and a mounting structure within the housing. The mounting structure includes a plurality of tape-frame slots, each tape-frame slot configured to receive a tape-frame. The housing includes an opening configured to introduce a tape-frame into a tape-frame slot of the plurality of tape-frame slots, or to remove the tape frame from the tape-frame slot of the plurality of tape-frame slots. The housing also includes an electrostatic discharge protection. A corresponding automatic transportation system and method of automatic transportation of semiconductor wafers is also provided.

Подробнее
27-06-1984 дата публикации

AUTOMATED CASSETTE TRANSPORT SYSTEM

Номер: GB0008412729D0
Автор:
Принадлежит:

Подробнее
10-02-2021 дата публикации

Material transport system, transport method and storage device

Номер: GB202020745D0
Автор:
Принадлежит:

Подробнее
15-09-2018 дата публикации

Apparatus for handling wafers aligned pairs

Номер: AT0000517258A3
Автор:
Принадлежит:

Eine für den industriellen Einsatz geeignete Vorrichtung und ein System zur Handhabung präzise aufeinander ausgerichteter und zentrierter Halbleiter-Waferpaare für Wafer-zu-Wafer- Ausrichtungs- und -Bondungsanwendungen weist einen Endeffektor mit einem Rahmenelement und einem schwimmenden Träger auf, der mit dem Rahmenelement, mit einem dazwischen ausgebildeten Spalt, verbunden ist, wobei der schwimmende Träger einen halbkreisförmigen Innenumfangsrand hat. Die zentrierten Halbleiter-Waferpaare sind unter Verwendung des Endeffektors unter robotischer Steuerung innerhalb eines Verarbeitungssystems positionierbar. Die zentrierten Halbleiter-Waferpaare werden ohne Gegenwart des Endeffektors in der Bondungsvorrichtung miteinander verbondet.

Подробнее
15-09-2018 дата публикации

Method for handling wafers pairs aligned on top

Номер: AT0000517254A3
Автор:
Принадлежит:

Ein für den industriellen Einsatz geeignetes Verfahren zur Handhabung präzise aufeinander ausgerichteter und zentrierter Halbleiter-Waferpaare für Wafer-zu-Wafer- Ausrichtungs- und -Bondungsanwendungen weist einen Endeffektor mit einem Rahmenelement und einem schwimmenden Träger auf, der mit dem Rahmenelement, mit einem dazwischen ausgebildeten Spalt, verbunden ist, wobei der schwimmende Träger einen halbkreisförmigen Innenumfangsrand hat. Die zentrierten Halbleiter-Waferpaare sind unter Verwendung des Endeffektors unter robotischer Steuerung innerhalb eines Verarbeitungssystems positionierbar. Die zentrierten Halbleiter-Waferpaare werden ohne Gegenwart des Endeffektors in der Bondungsvorrichtung miteinander verbondet.

Подробнее
15-12-2016 дата публикации

Vorrichtung zur Handhabung ausgerichteter Waferpaare

Номер: AT517258A2
Автор:
Принадлежит:

An industrial-scale apparatus, system, and method for handling precisely aligned and centered semiconductor wafer pairs for wafer-to-wafer aligning and bonding applications includes an end effector having a frame member and a floating carrier connected to the frame member with a gap formed therebetween, wherein the floating carrier has a semi-circular interior perimeter. The centered semiconductor wafer pairs are positionable within a processing system using the end effector under robotic control. The centered semiconductor wafer pairs are bonded together without the presence of the end effector in the bonding device.

Подробнее
15-02-2012 дата публикации

CHARGING EQUIPMENT FOR AN ASSEMBLY AUTOMAT FOR PHOTOVOLTAIKMODULE

Номер: AT0000543056T
Принадлежит:

Подробнее
12-07-2000 дата публикации

Integrated load port-conveyor transfer system

Номер: AU0002176000A
Принадлежит:

Подробнее
29-06-2000 дата публикации

INTEGRATED INTRA-BAY TRANSFER, STORAGE AND DELIVERY SYSTEM

Номер: CA0002355093A1
Принадлежит:

An integrated intra-bay transfer, storage and delivery system (18) is provided for moving an article between a conveyor and a station such as a work station. The system (18) includes a transfer assembly which includes a lift mechanism and a displacement mechanism. The transfer assembly transfers the article between the conveyor system and a buffer or storage station for storage of the article. A delivery robot transfers the article between the buffer or storage station and a work station for delivery to the station. The robot includes a vertical movement mechanism and a horizontal movement mechanism. The robot also includes an arm that is adapted to grip the article, particularly an article of a standard configuration having a mushroom-shaped handle on top. The arm engages the handle and lifts the article or transport pod (12) from the storage station to a load port of the work station. In one embodiment, the arm includes a C-shaped adaptation that passively engages the handle from a side ...

Подробнее
23-05-2012 дата публикации

Ceiling conveyor car

Номер: CN0102470985A
Принадлежит:

Подробнее
23-10-2018 дата публикации

Temporary storage system

Номер: CN0108698759A
Автор: SUZUKI TAKASHI
Принадлежит:

Подробнее
24-10-2019 дата публикации

Internal Contamination Monitoring Device for Front Open Unified Pod and Monitoring Method of the Same

Номер: KR0102036252B1
Автор:
Принадлежит:

Подробнее
13-11-2019 дата публикации

LOADING-UNLOADING APPARATUS OF SEMICONDUCTOR PACKAGE

Номер: KR0102044159B1
Автор:
Принадлежит:

Подробнее
16-04-2018 дата публикации

TRAY SUPPLYING AND DISCHARGING APPARATUS

Номер: KR101849352B1
Автор: YOO, WON JO, CHOI, JUNG HO
Принадлежит: SEEN BUSINESS AND TECHNOLOGY CO., LTD.

According to the present invention, a tray supplying and discharging apparatus comprises: loading and unloading lifters (840, 850) having first and second vertical support bodies (810, 830) arranged while leaving a distance on both sides of semiconductor equipment, and provided with at least one LM rail (815) and a storage member (825) arranged at one side of the first and second vertical support bodies (810, 830), and storing a plurality of trays (T); a base plate (860) arranged between the loading and unloading lifters (840, 850), wherein at least one LM rail (835) is arranged; and a shuttle (900) arranged at one side of the base plate (860), and supplying and discharging the trays (T) from the storage member (825) of the loading and unloading lifters (840, 850). COPYRIGHT KIPO 2018 ...

Подробнее
04-01-2019 дата публикации

반도체 패키지의 트레이 자동교체장치

Номер: KR0101910354B1
Автор: 신계철
Принадлежит: 에스에스오트론 주식회사

... 본 발명은 반도체 패키지의 제조 과정에서 다수의 패키지를 안착시키는 트레이를 생산공정에 따라 각각 적용되는 알맞은 타입의 트레이로 자동으로 연속 교체할 수 있도록 하는 반도체 패키지의 트레이 자동교체장치에 관한 것이다. 이와 같은 목적을 해결하기 위해 본 발명은; 본체 부재(100)와; 상기 본체 부재(100)의 일측으로 반도체 패키지가 수용된 트레이(10)를 적층시켜 보관하며, 적층된 트레이(10)를 연속 공급되게 하는 트레이 로딩 부재(200)와; 상기 트레이 로딩 부재(200)의 인접한 측에서 교체를 위한 빈트레이(10)를 보관하는데, 보관된 빈트레이(10)를 연속 공급되게 하고, 교체되는 트레이(10)는 다시 적층시켜 보관하는 트레이 스태커 부재(300)와; 상기 트레이 로딩 부재(200)로부터 공급되는 트레이(10)를 픽업 부재(500) 측으로 운반하는데, 상기 운반된 트레이(10)의 교체를 위한 대상 측을 픽업 부재(500)의 픽업 가능한 위치로 상하 회전 작동을 통해 위치시켜 트레이(10)를 교체 대기하는 로테이트 부재(400)와; 상기 로테이트 부재(400)를 통해 위치된 트레이(10)를 픽업 후 트레이 스태커 부재(300)의 빈트레이(10)와 상호 교체하며, 교체 후의 트레이(10)는 상기 트레이 스태커 부재(300)에 적층시키는 공정의 교체 작업을 수행하는 픽업 부재(500);를 포함하여 구성된다.

Подробнее
07-05-2020 дата публикации

System for vacuum processing a substrate, for transporting a carrier, and method for transporting a carrier in a vacuum chamber

Номер: KR0102107369B1
Автор:
Принадлежит:

Подробнее
18-04-2018 дата публикации

반송 시스템 및 반송 방법

Номер: KR0101850214B1

... 반송 시스템은, 기판을 반송하는 핸드를 갖는 로봇과, 로봇이 내부에 배치되는 반송실과, 평면에서 보아, 반송실의 측벽에 나란히 배치되는 기판 수납용의 복수의 카세트와, 교시 정보에 근거하여 로봇의 동작을 제어하는 로봇 콘트롤러를 구비한다. 로봇 콘트롤러는 제 1 카세트에 대해서는 핸드 중심선을 수납 중심선보다 로봇 측으로 기울인 상태로, 제 2 카세트에 대해서는 핸드 중심선을 수납 중심선에 겹친 상태로, 각각, 수납 위치까지 로봇으로 하여금 기판을 반송하게 하는 동작 제어부를 구비한다.

Подробнее
17-02-2016 дата публикации

디바이스 제조 시스템 및 방법

Номер: KR1020160018870A
Принадлежит:

... [과제] 디바이스 제조의 변종 변량 생산에 유연하게 대응하는 것이다. [해결 수단] 0.5인치 사이즈의 웨이퍼에 의한 단일 웨이퍼 처리 방식의 디바이스 제조 방법 및 장치로서, 운반 가능하고, 바람직하게는 규격화된 외형을 가지고, 제조 프로세스 중의 1개의 처리 프로세스를 처리하는 밀폐형의 단위 처리 장치(1)를 다수 배치하여 제조 라인을 형성하고, 상기 디바이스의 제조 단위 수가 단위 처리 장치의 수보다 많은 경우에는, 상기 디바이스의 처리 프로세스의 절차에 대응시켜 상기 단위 처리 장치를 플로 숍 방식에 의해 배치하고, 상기 디바이스의 제조 단위 수가 단위 처리 장치의 수와 동등한 경우에는, 상기 단위 처리 장치를 처리 프로세스의 절차의 대분류마다 클래스 분류 배치한 클래스 숍 방식에 의해 배치하고, 또한 제조 단위 수가 공정 수보다 적은 경우에는, 1종류의 프로세스에 1대 정도의 단위 제조 장치를 1개의 셀 내에 배치하고, 그 셀이 복수로 구성되는 멀티셀 숍 방식에 의해 배치한다.

Подробнее
28-04-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер: KR1020200043909A
Принадлежит:

Подробнее
06-04-2017 дата публикации

LASER MACHINING APPARATUS

Номер: KR1020170038146A
Принадлежит:

Provided is a laser machining apparatus capable of fully providing ability thereof even if a large output laser oscillator is applied as a laser oscillator of a laser beam at least forming the laser machining apparatus. According to the present invention, the laser machining apparatus comprises: a first laser device including first X- and Y-axis transfer means to process and transfer a first chuck table for holding an object to be processed in X and Y directions, respectively, and a first condenser to condense the laser beam on the object to be processed; a second laser device including second X- and Y-axis transfer means to process and transfer a second chuck table for holding the object to be processed in X and Y directions, respectively, and a second condenser to condense the laser beam on the object to be processed; an optical system to branch the laser beam oscillated by the laser oscillator to the first and second condensers; and first and second operation panels to set a processing ...

Подробнее
25-04-2017 дата публикации

TRANSFER SYSTEM AND TRANSFER METHOD

Номер: KR1020170044596A
Принадлежит:

The present invention relates to a transfer system and a transfer method, comprising a robot having a hand for carrying a substrate, a transfer chamber in which the robot is disposed, a plurality of cassettes for accommodating a substrate, which are arranged side by side on a side wall of the transfer chamber in a plane view, and a robot controller for controlling an operation of the robot based on teaching information. The robot controller includes an operation control unit for causing the robot to carry the substrate to a storage position in a state in which a hand center line is inclined toward the robot side with respect to a first cassette and the hand center line is overlapped with the storage center line with respect to a second cassette respectively. COPYRIGHT KIPO 2017 ...

Подробнее
17-06-2020 дата публикации

LED module sorting and classification system

Номер: KR0102124131B1
Автор:
Принадлежит:

Подробнее
07-10-2020 дата публикации

Apparatus for removing fume

Номер: KR1020200115418A
Автор:
Принадлежит:

Подробнее
21-09-2016 дата публикации

SEALED CART AND METHOD FOR TRANSFERRING WAFER USING SAME

Номер: KR1020160109373A
Принадлежит:

A sealed cart is docked in a stocker in which a storage tool storing a plurality of wafers is stored in a place where a process of manufacturing or inspecting semiconductor chips from the wafers is performed. Moreover, the sealed cart comprises: a sealed box having a sealed accommodating space in which the storage tool is accommodated; and a moving unit mounted in a lower portion of the sealed box to move the sealed box. COPYRIGHT KIPO 2016 ...

Подробнее
21-09-2016 дата публикации

IN-LINE SPUTTERING SYSTEM WITH MULTIPLE ROTARY TRAY HOLDERS AND METHOD FOR MANUFACTURING PACKAGE SHIELDING USING SAME

Номер: KR1020160109876A
Принадлежит:

The present invention relates to an in-line sputtering system with a plurality of rotary tray holders, and a manufacturing method of package shielding using the same. The in-line sputtering system with a plurality of rotary tray holders comprises: a docking station; a first transfer robot; a multi-functional chamber; a plasma pretreatment chamber; a second transfer robot; a shielding tunnel; a plurality of cathode units; a tray holder resonance apparatus; an individual tray holder rotation apparatus; and a cooling apparatus. Therefore, a time loss in loading and unloading a tray, and forming high resonance is reduced. COPYRIGHT KIPO 2016 ...

Подробнее
15-06-2018 дата публикации

WAFER TRANSFER APPARATUS

Номер: KR1020180064942A
Принадлежит:

The present invention relates to a wafer transfer apparatus with an improved structure for minimizing movement of a wafer during movement, which comprises: a first frame in which a wafer is stored; a second frame located in a lower portion of the first frame and facing the first frame; a support frame extending downward in the longitudinal direction to connect the first frame to the second frame; and at least one rotating member having a rotating unit located between the ground and the second frame and rotating around a rotating shaft and a first angle restricting unit located on one side of the rotating unit and disposed to have a first angle with a surface perpendicular to the rotating shaft. COPYRIGHT KIPO 2018 ...

Подробнее
08-08-2016 дата публикации

보다 작은 웨이퍼들 및 웨이퍼 피스들을 위한 웨이퍼 캐리어

Номер: KR1020160093711A
Принадлежит:

... 본원에서 설명되는 실시예들은 기판들을 고정시키고 이송하기 위한 장치 및 방법에 관한 것이다. 하나 또는 그 초과의 정전 척킹 전극(electrostatic chucking electrode)들이 내부에 배치되는 기판 캐리어는, 그러한 캐리어에 기판을 정전기적으로 커플링시킨다. 선택적으로, 마스크가 또한, 캐리어에 정전기적으로 커플링될 수 있으며, 기판에 의해 점유되지 않는, 캐리어의 영역 위에 배치될 수 있다. 일 실시예에서, 제 1 전극 어셈블리가 기판을 캐리어에 척킹하고 그리고 제 2 전극 어셈블리가 마스크를 캐리어에 척킹하도록, 다수의 전극 어셈블리들이 제공된다. 다른 실시예에서, 포켓(pocket)이 캐리어에 형성되며, 전극 어셈블리는 포켓 내에 척킹 능력(chucking capability)을 제공한다.

Подробнее
09-05-2016 дата публикации

TRANSMISSION SYSTEM AND TRANSMISSION METHOD WITH MAGNETICALLY DRIVEN TRANSMISSION ELEMENTS

Номер: KR1020160049489A
Принадлежит:

A transmission apparatus (1) includes a first transmission track (2), a first carrier (12) which is movable about the first transmission track (2), a second transmission track (4), and preferably a second carrier (14) which is movable about the second transmission track (4), to transmit a piece product. The apparatus further includes at least one coil device (22, 24, 26) for moving the first carrier (12) about the first transmission track (2) and at least one second coil device (42, 44, 46) for moving the second carrier (14) about the second transmission track (4). According to the present invention, at least one coil device (22, 42) is provided to move the first carrier (12) about the first transmission track (2) when needed and to move the second carrier (14) about the second transmission track when needed. COPYRIGHT KIPO 2016 (AA) Track 0 (BB) Track 1 (CC) Track 2 (DD) Track 3 ...

Подробнее
20-06-2014 дата публикации

Load station

Номер: KR1020140076576A
Автор:
Принадлежит:

Подробнее
10-12-2014 дата публикации

Номер: KR1020140141461A
Автор:
Принадлежит:

Подробнее
24-12-2018 дата публикации

디바이스 제조 방법

Номер: KR1020180136574A
Принадлежит:

... 가요성을 가지는 띠 모양의 기판을 처리 장치에 장전하여, 상기 기판 상에 디바이스를 형성하는 디바이스 제조 방법으로서, 상기 처리 장치에 공급해야 할 상기 기판이 장척(長尺) 방향으로 감겨진 공급 롤을 가지고, 상기 기판의 장척 방향과 단척(短尺) 방향을 포함하는 소정의 면 내에서 병진 운동과 회전 운동이 가능한 제1 유닛부와, 상기 처리 장치에서 처리된 상기 기판이 장척 방향으로 감겨지는 회수 롤를 가지고, 상기 소정의 면 내에서 병진 운동과 회전 운동이 가능한 제2 유닛부를, 상기 기판이 상기 공급 롤과 상기 회수 롤과의 사이에 걸쳐 놓여진 상태로 배치하는 것과, 상기 기판을 상기 처리 장치에 장전할 때, 상기 장척 방향에 관하여 상기 공급 롤과 상기 회수 롤과의 간격을 바꾸도록 상기 제1 유닛부와 상기 제2 유닛부 중 적어도 일방이 이동하는 제1 병진 운동과, 상기 단척 방향에 관하여 상기 제1 유닛부와 상기 제2 유닛부가 함께 이동하는 제2 병진 운동과, 상기 소정의 면 내에서 상기 제1 유닛부와 상기 제2 유닛부가 함께 선회하는 회전 운동 중 적어도 2개의 운동을 동반하도록 상기 제1 유닛부와 상기 제2 유닛부를 이동시키는 것을 포함한다.

Подробнее
01-06-2018 дата публикации

Article transport facility

Номер: TW0201819265A
Принадлежит:

An article transport facility comprises an article transport vehicle, a storage rack configured to store articles, and a for-rack transport device. The article transport vehicle is configured to travel along one or more rails suspended from, and supported by, a ceiling. The storage rack is suspended from, and supported by, the ceiling. The for-rack transport device is located on an opposite side from the one or more rails with respect to the storage rack. With at least one level of the plurality of levels in at least one of the one or more vertical rows of storage locations being each designated as a carrying-in-or -out level in a corresponding vertical row, and with at least one level of the plurality of levels in at least one of the one or more vertical rows that is not a carrying-in-or-out level being each designated as a storage level in a corresponding vertical row, the article transport vehicle is configured to be capable of transferring an article to any storage location at any carrying-in-or-out ...

Подробнее
01-12-2016 дата публикации

Supporting device and supporting method for articles

Номер: TW0201641231A
Автор: OGO HARUKI, OGO, HARUKI
Принадлежит:

The purpose of the present invention is to position a plurality of articles of different heights and prevent falling of the articles, and to prevent the article of high height from interfering with the prevention of falling of the article of low height. This supporting device is equipped with: a first fall prevention member which is located above a first article and prevents the release of positioning due to the rise of the first article; and a second fall prevention member which is located above a second article and prevents the release of positioning due to the rise of the second article. The end of the first article toward the first and second fall prevention members is positioned the same as the end of the second article or closer to the first and second fall prevention members than the end of the second article. With first positioning members positioning the first article, the second fall prevention member is retracted from the end of the first article.

Подробнее
01-03-2019 дата публикации

In-line system which can reduce the standby time of the device and achieve the continuous processing among a plurality of devices

Номер: TW0201909322A
Принадлежит:

An object of the invention is to reduce the standby time of the device and achieve the continuous processing among a plurality of devices. The in-line system (1) comprises a protection member attaching device (2), a grinding device (3) and a transfer means (4) of transferring a wafer between the protection member attaching device and the grinding device. The transfer means has a temporary placement cassette (6) in which a plurality of carriage plates can be placed for carrying the wafer are arranged in the height direction. The temporary placement cassette is configured by dividing a plurality of support frames into a plurality of fields in the Z direction and comprises a transfer field (D) capable of being commonly accessed by the protection member attaching device and the grinding device, and a first and second recycling fields (R1, R2) capable of being merely accessed by one of the protection member attaching device or the grinding device.

Подробнее
01-06-2019 дата публикации

Magnetic levitation system, carrier for a magnetic levitation system, and method of operating a magnetic levitation system

Номер: TW0201921563A
Принадлежит:

A magnetic levitation system is provided. The magnetic levitation system includes a base structure (110), a carrier (120) that is movable relative to the base structure (110), and at least one active magnetic bearing (112) configured to contactlessly hold the carrier (120) at the base structure (110). The carrier (120) includes a first carrier part (121) configured to magnetically interact with the base structure (110) and a second carrier part (122) configured to carry an object (10), wherein the first carrier part (121) and the second carrier part (122) are connected to each other via a flexible connection (125). Further, a carrier for a magnetic levitation system as well as a method of operating a magnetic levitation system are described.

Подробнее
16-02-2010 дата публикации

Overhead conveying vehicle

Номер: TW0201006751A
Принадлежит:

An overhead conveying vehicle which is less likely to cause an article which is being conveyed by the vehicle to collide with a lid drop preventing member. The overhead conveying vehicle for containing and conveying a suspended FOUP (4) having a lid (4a) removably mounted to a side face thereof is provided with a conveying vehicle body, a lifting mechanism, a lid drop preventing member (62), and a power transmitting mechanism (82). The lifting mechanism is mounted to the conveying vehicle body and can lift and lower the FOUP (4). The lid drop preventing member (62) is mounted in the proximity of the front face of the lid (4a). The power transmitting mechanism (82) causes the lid drop preventing member (62) to recede from the front face of the lid (4a) when the FOUP (4) is lifted and lowered.

Подробнее
29-11-2018 дата публикации

WAFER BOX CONVEYOR

Номер: SG11201809548YA
Принадлежит:

TITLE: WAFER BOX CONVEYOR Provided is a wafer box conveyor, comprising an intelligent vehicle, a bottom extension frame erected on the intelligent vehicle, and a wafer box bearing frame fixed onto the bottom extension frame. The wafer box bearing frame is divided into multiple layers, and each layer is equipped with a plurality of wafer box bearing plates. The wafer box bearing plate surface is provided with a first groove and a second groove disposed in the first groove. The first groove is used to bear a wafer box in a first gauge. The second groove is used to bear a wafer box in a second gauge. With the first groove and the second groove, the same wafer box bearing plate bearing the wafer boxes of two different gauges is achieved so that the wafer box conveyor is suitable for the transport of wafer boxes of two different gauges, thereby improving the yield of transport. In addition, by means of the transport of the intelligent vehicle, laying a rail system and manual work involved in ...

Подробнее
11-04-2007 дата публикации

System and method for conveying flat panel display

Номер: TWI278418B
Автор:
Принадлежит:

A panel conveying system includes a panel cassette for receiving a plurality of panels, and a conveying vehicle for conveying the panel cassette. The conveying vehicle includes a loading/unloading unit installed on the main body to load and/or unload the panel cassette on and/or from a panel processing unit, a panel conveying unit installed on the main body to feed and/or collect the panels to and/or from a panel processing area, and a lifting unit supporting the panel cassette in the main body.

Подробнее
01-02-2014 дата публикации

Article transport apparatus

Номер: TWI424948B
Принадлежит: DAIFUKU KK, DAIFUKU CO., LTD.

Подробнее
05-04-2007 дата публикации

DISCONTINUOUS CONVEYOR SYSTEM

Номер: WO000002007038096A2
Принадлежит:

The present invention generally comprises a transport system for transporting containers throughout a fabrication facility, and more specifically through a tool bay in the fabrication facility. The present invention generally includes a first container transport system for transporting a container from an interbay conveyor towards the first tool bay, a second container transport system for moving a container away from the first tool bay and a tool loading device to move containers between the first and second container transport systems and a load port. A merged return conveyor for transporting a container between the second container transport system and the interbay conveyor may also be included.

Подробнее
17-04-2008 дата публикации

METHODS AND APPARATUS FOR LOADING AND UNLOADING SUBSTRATE CARRIERS ON MOVING CONVEYORS USING FEEDBACK

Номер: WO2008045375A2
Принадлежит:

The present invention provides systems and methods for loading and unloading substrate carriers onto and off of a transport system. The invention includes a substrate carrier handler adapted to transfer a substrate carrier between a docking station and a transport system, the substrate carrier handler including an end effector adapted to support the substrate carrier; a controller coupled to the substrate carrier handler and operative to control the substrate carrier handler such that the end effector of the substrate carrier handler is operative to selectively engage and disengage the substrate carrier to and from the transport system while the substrate carrier is in motion; and a sensor coupled to the controller and operative to provide a signal to the controller indicative of information about the substrate carrier. The controller is operative to adjust operation of the substrate carrier handler based on the signal from the sensor if the adjustment may be performed within a load or ...

Подробнее
18-12-2003 дата публикации

CONTAINER CONVEYING SYSTEM

Номер: WO0003105216A1
Автор: OTAGURO, Tetsunori
Принадлежит:

A container conveying system for conveying containers (8) receiving substrates such as wafers in a clean room, comprising a conveyor (7) disposed substantially parallel with a plurality of processing devices (5-1, 5-2, 5-3···) for conveying containers (8), and a transfer device (9) capable of freely moving in a ceiling space in the upper region of the clean room, wherein the plurality of processing devices (5-1, 5-2, 5-3···) are disposed on at least one side of an aisle and respectively provided on their sides facing the aisle with interface devices (6-1, 6-2, 6-3···), the interface devices (6-1, 6-2, 6-3···) being adapted to temporally receive the containers (8) and move the substrates from within the containers (8) into the processing devices(5-1, 5-2, 5-3···) in an enclosed atmosphere and vice versa, and the transfer device (9) being adapted to transfer the containers (8) between the transfer device (7) and the plurality of processing devices (5-1, 5-2, 5-3···) or between the plurality ...

Подробнее
28-09-1999 дата публикации

Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers

Номер: US0005957648A1
Автор: Bachrach; Robert Z.
Принадлежит: Applied Materials, Inc.

An improved apparatus and method is provided for handling, moving and storing semiconductor wafer carriers. The apparatus comprises two physically separate load ports, each coupled to a vertical transfer mechanism. Coupled between the two vertical transfer mechanisms is a horizontal transfer mechanism which extends above the footprint of the fabrication tool to which wafers are to be supplied. In a preferred embodiment the horizontal transfer mechanism comprises a bi-level conveyor comprised of a series of dual compartment segments. The dual compartment segments are coupled such that they may shift between a neutral and a positive position while maintaining a continuous movement channel between the two vertical transfer mechanisms. In this manner a wafer carrier may be placed within a first compartment of a neutrally positioned segment for movement; to store the wafer carrier the segment is shifted to the positive position. Thus a continuous movement channel comprised of the first or second ...

Подробнее
28-08-2003 дата публикации

Storage and buffer system with transport elements

Номер: US20030161714A1
Принадлежит:

The invention relates to a storage and buffer system (12) for connection to transport units for containers, such as for example, cassettes (7), FOUP pods (8), or SMIF boxes for the storage of wafers (9) and similar, comprising a number of conveyor elements (1), arranged along a conveyor line (13), whereby the conveyor elements (1) are arranged in at least one storage line (14), running essentially horizontal, next to, under or over the transport line (13), whilst maintaining a transfer position relative to the adjacent conveyor elements (1). The system further comprise a means of rotation (17) with at least one conveyor element (1), by means of which the containers (7,8) can be transferred in a horizontal direction from the conveyor line (13) to a storage line (14)and a vice versa, or from one storage line to another.

Подробнее
01-05-2003 дата публикации

Work conveying system

Номер: US2003079957A1
Автор:
Принадлежит:

A work conveying system eliminates gravitational deflection of an extended articulated arm of a vertical mover. The conveying system includes a horizontal mover which carries the vertical mover and a work holder for delivering a workpiece to various apparatuses without dislocation. In conveying a workpiece horizontally, the vertical mover folds compactly to minimize air disturbance. Plural arm elements of the articulated arm overlap and are connected together in an alternate manner at their respective end portions, and the articulated arm is extended and contracted by pivotal movement of the arm elements, driven by a single drive unit. The horizontal mover is movable in an arbitrary horizontal plane within the upper ceiling space within the clean room. Shafts in the articulated arm and a rotary drive unit in the work holder have hollow interiors which are intercommunicated and at a negative pressure.

Подробнее
04-02-2021 дата публикации

VERTICAL BATCH FURNACE ASSEMBLY

Номер: US20210035841A1
Принадлежит:

A vertical batch furnace assembly for processing wafers comprising a cassette handling space, a wafer handling space, and an internal wall separating the cassette handling space and the wafer handling space. The cassette handling space is provided with a cassette storage configured to store a plurality of wafer cassettes. The cassette handling space is also provided with a cassette handler configured to transfer wafer cassettes between the cassette storage and a wafer transfer position. The wafer handling space is provided with a wafer handler configured to transfer wafers between a wafer cassette in the wafer transfer position and a wafer boat. The internal wall is provided with a wafer transfer opening adjacent the wafer transfer position for a wafer cassette from or to which wafers are to be transferred. The cassette storage comprises a cassette storage carousel with a diameter between 1.1 and 1.6 meter.

Подробнее
12-06-2012 дата публикации

Buffered storage and transport device for tool utilization

Номер: US0008196732B2

A transport mechanism is configured to transport a work piece carrier within a buffer in fabrication facility, comprising: a transporter configured to travel on two rails, wherein the transporter comprises (i) a flat belt hoist mechanism configured to lift and to lower one or more work piece carriers, and (ii) a gripper mechanism configured to capture and to release the one or more work piece carriers.

Подробнее
25-07-2019 дата публикации

MASK TRANSMISSION EQUIPMENT

Номер: US20190228999A1
Принадлежит:

A mask transmission equipment is provided. The mask transmission equipment includes a wafer transmission container and a supporting bracket. The wafer transmission container includes a plurality of first positioning grooves and a plurality of second positioning grooves. The first positioning grooves face the second positioning grooves. The first positioning grooves and the second positioning grooves are adapted to position a plurality of wafers. The supporting bracket is disposed in the wafer transmission container. The supporting bracket includes a first supporting unit, a second supporting unit, a first wing and a second wing. The first supporting unit is stacked on the second supporting unit. The first wing is disposed on a first side of the supporting bracket. The second wing is disposed on a second side of the supporting bracket. The first side is opposite to the second side.

Подробнее
02-06-2015 дата публикации

Article transport facility

Номер: US0009045147B2
Автор: Toru Kasuya, KASUYA TORU

A transporting space of an article transport facility is divided into a first space and a second space by a wall having an opening. A first movable body that travels along a first travel rail in the first space and a second movable body that travels along a second travel rail in the second space are provided. A relay portion which can support an article without interfering with the shutter that can open and close the opening is provided in the first space. The first travel rail is arranged to extend adjacent a first space side transfer position for transferring articles between the first mobile body and the relay portion, and the second travel rail is arranged to extend adjacent a second space side transfer position for transferring articles between the second mobile body and the relay portion through the opening.

Подробнее
19-01-2012 дата публикации

Vacuum processing apparatus

Номер: US20120014768A1
Принадлежит: Tokyo Electron Ltd

In a vacuum processing apparatus, a process station includes processing regions arranged in a row at intervals to perform vacuum processing on substrates, the substrates being sequentially transferred between the processing regions from upstream to downstream; a first transport unit for transferring the substrates in a first preliminary vacuum chamber to the processing region at an upstream end; a second transport unit arranged between the adjacent processing regions; and a third transport unit for transferring the substrates from the processing region at a downstream end to a second preliminary vacuum chamber. The control unit outputs a control signal such that in the transfer operations in which the substrates are respectively transferred to the subsequent downstream processing regions from the first preliminary vacuum chamber to the processing region at the downstream end, time periods of at least two transfer operations partially or totally overlap with each other.

Подробнее
26-07-2012 дата публикации

Dual Independent Transport Systems For IR Conveyor Furnaces and Methods of Firing Thin Work Pieces

Номер: US20120187105A1
Принадлежит: TP SOLAR Inc

Multi-lane, side-by-side, independently driven transport systems particularly useful for transfer on conveyor belts or finger/chains of thin work pieces, such as silicon wafers, through processing equipment for converting the wafers into solar cells, including UV pre-treaters, dopers, dryers, diffusion furnaces and metallization furnaces. The inventive multi-lane transport systems may employ wire mesh belts having a flying bridge wafer support system comprising longitudinally spaced carrier wire elements that support the wafers at their side edges at only point contacts, by means of opposed, inwardly inclined, downwardly slanted segments or wings. Alternately, finger drives comprising spaced-apart chains having inwardly projecting fingers may be used for transport of the wafers by side edge contact. Friction or sprocket drives having tensioner assemblies associa-ted therewith are used to move the transport belts or finger chains through the furnace zones. Each lane may be independently controlled to provide unique thermal profiles along their processing paths.

Подробнее
08-11-2012 дата публикации

Automatic handling system applied to many wafer processing devices

Номер: US20120279415A1
Автор: Tsan-I Chen
Принадлежит: Inotera Memories Inc

An automatic handling system applied to many wafer processing devices includes a handling rail unit and a transport vehicle unit. The handling rail unit includes at least one handling rail. The transport vehicle unit includes a plurality of OHT vehicles disposed under the handling rail and mated with the handling rail, wherein each OHT vehicle includes at least one sliding portion slidably disposed on the handling rail, at least one rotatable portion for clamping at least one wafer carrier device, and at least one suspended portion connected between the sliding portion and the rotatable portion, wherein the wafer carrier device has a wafer pick-and-place opening, and the wafer carrier device is rotated by the rotatable portion of the OHT vehicle according to the position of the wafer processing device for adjusting the direction of the wafer pick-and-place opening to face the wafer processing device.

Подробнее
06-12-2012 дата публикации

Substrate processing apparatus and method of controlling substrate processing apparatus

Номер: US20120308341A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus includes a conveying arm configured to convey a substrate and including an electrostatic chuck for attracting the substrate placed on the conveying arm; and a control unit configured to not apply a voltage for causing the electrostatic chuck to attract the substrate between electrodes of the electrostatic chuck when the substrate is placed on the conveying arm but the conveying arm is not moving, and to apply the voltage between the electrodes of the electrostatic chuck when the substrate is placed on the conveying arm and the conveying arm is moving.

Подробнее
20-12-2012 дата публикации

Dynamic Storage and Transfer System Integrated with Autonomous Guided/Roving Vehicle

Номер: US20120321423A1
Принадлежит: Crossing Automation Inc

A workpiece container storage and handling system includes a base, a number of wheels connected to the base, and a container handling system connected to the base. The wheels provide for movement of the base. The container handling system is defined to hold at least two containers in a vertically overlying orientation relative to each other. The container handling system is defined to provide for controlled vertical travel of the at least two containers in unison relative to the base. Also, the container handling system is defined to provide for controlled and independent horizontal travel of each of the at least two containers relative to the base.

Подробнее
13-06-2013 дата публикации

HIGH THROUGHPUT LOAD LOCK FOR SOLAR WAFERS

Номер: US20130149075A1
Принадлежит: INTEVAC, INC.

A system for transporting substrates from an atmospheric pressure to high vacuum pressure and comprising: a rough vacuum chamber having an entry valve and an exit opening; a high vacuum chamber having an entry opening, the high vacuum chamber coupled to the rough vacuum chamber such that the exit opening and the entry opening are aligned; a valve situated between the exit opening and the entry opening; a first conveyor belt provided in the rough vacuum chamber; a second conveyor provided in the high vacuum chamber; a sensing element provided in the high vacuum chamber to enable detection of broken substrates on the second conveyor; and, a mechanism provided on the second conveyor belt enabling dumping of broken substrates onto the bottom of the high vacuum chamber. 1. A loadlock chamber configured for transferring substrates from atmospheric environment into vacuum environment , comprising:a vacuum sealable chamber body;an entry valve provided on one side of the chamber body and sealing the chamber body from atmospheric environment;an exit valve provided on opposite side of the chamber body and sealing the chamber body from high vacuum environment;an evacuation pump coupled to the chamber body and operable to draw vacuum inside the chamber body;at least one conveyor comprising a perforated flexible belt riding over a base plate.2. The loadlock chamber of claim 1 , wherein the exit valve is coupled to a high vacuum chamber claim 1 , and wherein the perforated belt is configured to extend into the high vacuum chamber.3. The loadlock chamber of claim 2 , wherein the exit valve is configured to assume closed position by pressing on the perforated belt.4. The loadlock chamber of claim 1 , further comprising nitrogen supply valve operable to flow nitrogen into the chamber body.5. The loadlock chamber of claim 1 , wherein the base plate has vacuum channels and is coupled to a vacuum conduits; and claim 1 , at least one vacuum valve is attached to the vacuum conduits and is ...

Подробнее
27-06-2013 дата публикации

ROBOT ARM STRUCTURE AND ROBOT

Номер: US20130164101A1
Принадлежит: KABUSHIKI KAISHA YASKAWA DENKI

An arm structure of a robot installed in a vacuum chamber kept in a depressurized state includes a first arm, a second arm, and an end effector configured to hold a workpiece. The first arm is provided with a specified drive system arranged in an inside of the first arm, and the inside of the first arm is kept in an atmospheric pressure state. The second arm has no drive system therein. A partition wall is provided near a connecting portion of the first arm and the second arm to isolate the atmospheric pressure state maintained within the first arm from the depressurized state. An airtight terminal is provided in the partition wall to electrically interconnect an atmosphere side and a vacuum side in an airtight state. 1. An arm structure of a robot installed in a vacuum chamber kept in a depressurized state and configured to transfer a workpiece , comprising:a first arm having a base end portion rotatably connected to an arm base of the robot, the first arm including a specified drive system arranged in an inside of the first arm, the inside of the first arm being kept in an atmospheric pressure state;a second arm having a base end portion rotatably connected to a tip end portion of the first arm, the second arm including no drive system therein;an end effector rotatably connected to a tip end portion of the second arm through a movable base and configured to hold the workpiece;a partition wall provided near a connecting portion of the first arm and the second arm to isolate the atmospheric pressure state maintained within the first arm from the depressurized state; andan airtight terminal provided in the partition wall to electrically interconnect an atmosphere side and a vacuum side in an airtight state.2. The arm structure of claim 1 , wherein the first arm includes a speed reducer having a hollow drive shaft for driving the second arm claim 1 , the partition wall is provided in a hollow region of the hollow drive shaft of the speed reducer or in a closed space ...

Подробнее
18-07-2013 дата публикации

SUBSTRATE TRANSFER DEVICE AND SUBSTRATE PROCESSING SYSTEM

Номер: US20130180448A1
Принадлежит: TOKYO ELECTRON LIMITED

A substrate transfer device includes a pick which has positioning pins to position a substrate and holds a positioned substrate; a drive unit which drives the pick such that the substrate is loaded/unloaded to/from a vacuum processing unit by using a pick; and a transfer control unit which controls a transfer operation of the substrate using the pick. The transfer control unit obtains in advance information on a reference position of the substrate at room temperature when the substrate is loaded into the vacuum processing unit, calculates a positional deviation from the reference position of the substrate when the substrate is loaded into the vacuum processing unit in actual processing, and controls a drive unit such that the substrate is loaded into the vacuum processing unit by correcting the positional deviation. 1. A substrate transfer device , which is provided in a transfer chamber to perform loading/unloading of a substrate to/from a vacuum processing unit in a substrate processing system including the vacuum processing unit in which a vacuum process accompanied by heat is performed and the transfer chamber connected to the vacuum processing unit and maintained in vacuum , the substrate transfer device comprising:a pick which has one or more positioning pins to position the substrate and holds the positioned substrate;a drive unit which drives the pick such that the substrate is loaded/unloaded to/from the vacuum processing unit by using the pick; anda transfer control unit which controls a transfer operation of the substrate using the pick,wherein the transfer control unit obtains in advance information on a reference position of the substrate at room temperature when the substrate is loaded into the vacuum processing unit, calculates a positional deviation from the reference position of the substrate when the substrate is loaded into the vacuum processing unit in actual processing, and controls the drive unit such that the substrate is loaded into the ...

Подробнее
18-07-2013 дата публикации

WAFER TRANSPORT APPARATUS

Номер: US20130183122A1
Принадлежит: SINFONIA TECHNOLOGY CO., LTD.

The wafer transport apparatus prevents contaminant deposited on an unprocessed wafer from adhering to a processed wafer. Carrying-in load port A is loaded with a FOUP storing an unprocessed wafer W. Carrying-in chamber A has a transport robot A which takes out the unprocessed wafer W from the FOUP . Carrying-in load lock A is accessed by the transport robot A from the carrying-in chamber A side. Carrying-out load port B is loaded with the FOUP that can store a processed wafer W. Carrying-out chamber B has a transport robot B which passes the processed wafer W to the FOUP . Carrying-out load lock B is accessed by the transport robot B from the carrying-out chamber B side. The carrying-in chamber A and carrying-out chamber B are separated from each other. The carrying-in load lock A and carrying-out load lock B are arranged on different stages. 1. A wafer transport apparatus for carrying an unprocessed wafer into a semiconductor manufacturing processing apparatus and carrying out a processed wafer from the semiconductor manufacturing processing apparatus , comprisinga carrying-in load port, a carrying-in chamber, a carrying-in load lock, a carrying-out chamber, a carrying-out load port, and a carrying-out load lock,the carrying-in load port being capable of being loaded with a FOUP storing the unprocessed wafer,the carrying-in chamber placing the carrying-in load port arranged at a front surface and having an in-carrying-in-chamber wafer transport robot provided in an internal space which takes out the unprocessed wafer from the FOUP on the carrying-in load port,the carrying-in load lock being arranged between the carrying-in chamber and the semiconductor manufacturing processing apparatus and being accessible by the in-carrying-in-chamber wafer transport robot from the carrying-in chamber side,the carrying-out load port being capable of being loaded with a FOUP which can store the processed wafer,the carrying-out chamber placing the carrying-out load port at a front ...

Подробнее
18-07-2013 дата публикации

DUAL ARM VACUUM ROBOT

Номер: US20130183131A1
Принадлежит:

A robot for use in semiconductor vacuum chambers is disclosed. The robot may include two independently-driven arms configured for wafer handling. The robot may include three motors or drive systems and a tri-axial seal to realize independent extension/retraction of each arm and overall simultaneous rotation of the arm assembly. The robot may provide enhanced throughput efficiency over other robot designs. 1. A wafer-transport robot for use in semiconductor fabrication apparatus vacuum chambers , the robot comprising:a first arm, the first arm including a first end effector interface at one end,a second arm, the second arm including a second end effector interface at one end, a first motor;', 'a second motor; and', the base has a central axis,', 'activation of the first motor without activation of the second motor or the third motor causes the first arm to translate the first end effector interface in a direction perpendicular to the central axis without rotation of the first end effector interface about the central axis,', 'activation of the second motor without activation of the first motor or the third motor causes the second arm to translate the second end effector interface in a direction perpendicular to the central axis without rotation of the second end effector interface about the central axis, and', 'activation of the first motor, the second motor, and the third motor simultaneously causes the first end effector interface and the second end effector interface to rotate about the central axis without translation of the first end effector interface and the second end effector interface in directions perpendicular to the central axis., 'a third motor, wherein], 'a base, the base including2. The robot of claim 1 , wherein:activation of the first motor without activation of the second motor or the third motor does not cause the second arm to move, andactivation of the second motor without activation of the first motor or the third motor does not cause the first ...

Подробнее
01-08-2013 дата публикации

WAFER TRANSFER DEVICE

Номер: US20130195587A1
Принадлежит: TOKYO ELECTRON LIMITED

A wafer transfer device includes: a wafer compartment; a pre-alignment chamber, provided either above or below the wafer compartment; a first wafer transfer chamber, provided in a vertical direction along the wafer compartment and the pre-alignment chamber, for transferring the semiconductor wafer from the wafer compartment to the pre-alignment chamber; and an alignment chamber for aligning the semiconductor wafer, the alignment chamber being provided adjacent to the pre-alignment chamber. The wafer transfer device further includes a second wafer transfer chamber, disposed along an arrangement direction of the first wafer transfer chamber, the pre-alignment chamber and the alignment chamber, for transferring the semiconductor wafer among the pre-alignment chamber, the alignment chamber and the test chambers. 1. A wafer transfer device for transferring a semiconductor wafer accommodated in a housing to a plurality of test chambers for testing electrical characteristics of the semiconductor wafer , the wafer transfer device comprising:a wafer compartment for accommodating therein the housing;a pre-alignment chamber, provided either above or below the wafer compartment, for pre-aligning the semiconductor wafer prior to the electrical characteristics test;a first wafer transfer chamber, provided in a vertical direction along the wafer compartment and the pre-alignment chamber, for transferring the semiconductor wafer from the wafer compartment to the pre-alignment chamber;an alignment chamber for aligning the semiconductor wafer, the alignment chamber being provided adjacent to the pre-alignment chamber with the pre-alignment chamber disposed between the alignment chamber and the first wafer transfer chamber;a second wafer transfer chamber, disposed along an arrangement direction of the first wafer transfer chamber, the pre-alignment chamber and the alignment chamber, for transferring the semiconductor wafer among the pre-alignment chamber, the alignment chamber and the ...

Подробнее
01-08-2013 дата публикации

Transfer system

Номер: US20130197691A1
Принадлежит: Murata Machinery Ltd

For transferring an article to a desired position, even in a lateral transfer, a transfer system ( 100 ) allows transfer of an article ( 3 ) between a transport vehicle ( 2 ), which conveys the article ( 3 ) while travelling along a rail ( 1 ) provided on a ceiling, and a placement portion provided below the rail, in a lateral transfer manner. The transfer system includes a memory unit ( 101 ) and a controller ( 102 ). The memory unit stores (i) placement position information indicating a transfer position of the article when a transfer unit is to place the article onto the placement portion, and (ii) holding position information indicating a transfer position of the article when the transfer unit is to hold the article on the placement portion. The controller controls the transfer unit such that, when the transfer unit is to place the article, the article is transferred at a transfer position indicated in the placement position information and, when the transfer unit is to hold the article, the article is transferred to a transfer position indicated in the holding position information.

Подробнее
08-08-2013 дата публикации

Dynamic load lock with cellular structure for discrete substrates

Номер: US20130199891A1
Принадлежит: Individual

A dynamic load lock chamber that includes a plurality of actuators positioned along its length to achieve a desired pressure gradient from an atmospheric pressure side to a processing pressure side of the chamber is provided. The chamber includes a transport belt continuously running through the chamber to transport substrates from the atmospheric pressure side to the processing pressure side of the chamber, if situated on an inlet side of a production line, and from the processing pressure side to the atmospheric pressure side of the chamber, if positioned on an outlet side of the production line. Separation mechanisms may be attached to the belt to separate discrete regions within the chamber into a plurality of discrete volumes. Substrates may be disposed between the separation mechanisms, such that separation between adjacent pressure regions within the chamber is maintained as the substrates are transported through the chamber.

Подробнее
08-08-2013 дата публикации

Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element

Номер: US20130202387A1
Автор: Tsutomu Hiroki
Принадлежит: Tokyo Electron Ltd

A substrate processing system is provided with: a first transfer unit, which extends from a loader module to a first processing chamber for processing substrates, to transfer the substrates; and a second transfer unit, which is provided below or above the first transfer unit and extends from the loader module to a second processing chamber for processing substrates, to transfer the substrates. The first processing chamber and the second processing chamber do not overlap in the vertical direction, and are disposed at positions separated from each other in a plan view. At the same time, at least a part of the first transfer unit and at least a part of the second transfer unit overlap each other in the vertical direction.

Подробнее
15-08-2013 дата публикации

CARRIER DEVICE

Номер: US20130209200A1
Принадлежит: KABUSHIKI KAISHA YASKAWA DENKI

A carrier device according to an aspect of an embodiment includes a carrier chamber, a robot that is placed near one longitudinal-side wall in the carrier chamber, and a linear moving mechanism that has a track by which the robot is linearly moved in the longitudinal direction of the carrier chamber. The arm of the robot is defined to a length by which the arm does not interfere with the other longitudinal-side wall even if the arm is rotated around an arm spindle. The track of the linear moving mechanism has a length by which the leading end of the hand perpendicular to the track reaches a predetermined position in a connecting hole located at an end among connecting holes provided in the longitudinal-side wall. 1. A carrier device comprising:a carrier chamber that has a substantially rectangular-solid board carrying space surrounded by walls and has a plurality of connecting holes that are provided side-by-side in longitudinal-side walls of peripheral walls to be communicated with an outside;a robot that is placed near the one longitudinal-side wall in the carrier chamber and of which a bottom end is provided on a base to be rotatable horizontally via an arm spindle and a leading end is provided with an single arm on which a hand that holds a board to be carried in and out via the connecting hole is provided to be rotatable horizontally; anda linear moving mechanism that has a track along which the robot is linearly moved in a longitudinal direction of the carrier chamber, whereinthe arm of the robot is defined to a length by which the arm does not interfere with the other longitudinal-side wall even if the arm is rotated around the arm spindle, andthe track of the linear moving mechanism has a length by which a leading end of the hand perpendicular to the track reaches a predetermined position in the connecting hole located at an end among the plurality of connecting holes.2. The carrier device according to claim 1 , whereinat least one of the plurality of ...

Подробнее
29-08-2013 дата публикации

Storage shelf system for storing storage goods

Номер: US20130223961A1
Автор: Joachim Hanel
Принадлежит: Haenel and Co

The invention relates to a storage shelf system ( 10 ) for storing storage goods, comprising at least one service opening ( 18 ) for introducing and for removing storage goods, at least one revolving storage shelf unit ( 20, 30 ) having a plurality of storage locations and at least one storage shelf unit ( 40 ) which has at least one shelving column having storage locations disposed one above the other. The invention further relates to a transfer device ( 60 ) for transporting the storage goods ( 12 ) from a storage location in the storage shelf unit ( 40 ) to a storage location in the revolving storage shelf unit ( 20, 30 ) and vice versa.

Подробнее
05-09-2013 дата публикации

Automated material handling system and method for semiconductor manufacturing

Номер: US20130230375A1

A rail transport system and method for a semiconductor fabrication facility (FAB). In one embodiment, the system includes a network of stationary rails and a wheeled vehicle movable on the rails via rolling movement. The vehicle is operable to hold a wafer carrier that stores a plurality of wafers. A cross-floor transport system is provided that may include a vehicle lifter positioned near the network of rails that extends between a first elevation and a second elevation in the FAB. The lifter is configured and operable to receive the vehicle from rails at the first elevation and vertically transport the vehicle to rails at the second elevation without removing the wafer carrier from the wheeled vehicle. In one embodiment, the lifter is configured so that the vehicle may be rolled directly onto and off of the lifter for vertical transport.

Подробнее
05-09-2013 дата публикации

Method and Apparatus for Plasma Dicing a Semi-conductor Wafer

Номер: US20130230969A1
Принадлежит: Plasma Therm LLC

The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.

Подробнее
05-09-2013 дата публикации

Method and Apparatus for Plasma Dicing a Semi-conductor Wafer

Номер: US20130230971A1
Принадлежит: Plasma Therm LLC

The present invention provides a method for plasma processing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; loading a work piece onto the work piece support, the work piece having a support film, a frame and the substrate; providing a cover ring above the work piece, the cover ring having at least one perforated region, and at least one non-perforated region; generating a plasma using the plasma source; and processing the work piece using the generated plasma.

Подробнее
19-09-2013 дата публикации

Substrate Processing Apparatus, Method of Processing Substrate, Method of Manufacturing Semiconductor Device and Non Transitory Computer Readable Recording Medium on which Program for Performing Method of Manufacturing Semiconductor Device is Recorded

Номер: US20130243550A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC.

Provided are a substrate processing apparatus, a method of processing a substrate, a method of manufacturing a semiconductor device, and a non-transitory computer readable recording medium storing a program for performing the method of manufacturing the semiconductor device, that are capable of improving manufacturing throughput of the apparatus. The apparatus includes a substrate to be processed, a transfer chamber under a vacuum atmosphere, a substrate transfer unit installed at the transfer chamber and configured to transfer the substrate, at least two process chambers installed near the transfer chamber and configured to process the substrate, at least two gate valves installed between the transfer chamber and the at least two process chambers, and a control unit configured to control the substrate transfer unit and the at least two gate valves, wherein the control unit opens and closes the at least two gate valves while the substrate transfer unit transfers the substrate. 1. A substrate processing apparatus comprising:a transfer chamber under an inert atmosphere;a substrate transfer unit installed at the transfer chamber and configured to transfer a substrate;at least two process chambers installed near the transfer chamber and configured to process the substrate;at least two gate valves installed between the transfer chamber and the at least two process chambers; anda control unit configured to control the substrate transfer unit and the at least two gate valves,wherein the control unit opens and closes the at least two gate valves while the substrate transfer unit transfers the substrate.2. The substrate processing apparatus according to claim 1 , wherein the control unit sequentially opens and closes the at least two gate valves while the substrate transfer unit pivots with the substrate thereon.3. The substrate processing apparatus according to claim 1 , wherein the control unit controls the at least two gate valves such that periods of the at least two ...

Подробнее
17-10-2013 дата публикации

TRANSFER ROBOT

Номер: US20130272822A1
Принадлежит:

A transfer robot includes a first arm having a base end portion rotatably connected to an arm base, a second arm having a base end portion rotatably connected to a tip end portion of the first arm, and a hand having a hand base rotatably connected to a tip end portion of the second arm, the hand serving to hold a substrate. The first arm includes a specified drive system arranged therein, and the second arm is driven by the first arm. A reflector plate is arranged between the first arm and the second arm and configured to upwardly reflect heat coming from the substrate held on the hand. 1. A transfer robot , comprising:a first arm having a base end portion rotatably connected to an arm base, the first arm including a specified drive system arranged therein;a second arm having a base end portion rotatably connected to a tip end portion of the first arm, the second arm being driven by the first arm;a hand having a hand base rotatably connected to a tip end portion of the second arm, the hand serving to hold a substrate; anda reflector plate arranged between the first arm and the second arm and configured to upwardly reflect heat coming from the substrate held on the hand.2. The robot of claim 1 , further comprising:an intermediate link having a base end portion supported in a coaxial relationship with a connecting axis interconnecting the first arm and the second arm;a first link having a tip end portion rotatably connected to a tip end portion of the intermediate link and a base end portion rotatably connected to the arm base, the first link making up a first parallel link mechanism in cooperation with the arm base, the first arm and the intermediate link; anda second link having a base end portion rotatably connected to the tip end portion of the intermediate link and a tip end portion rotatably connected to a base end portion of the hand base, the second link making up a second parallel link mechanism in cooperation with the second arm, the intermediate link and ...

Подробнее
31-10-2013 дата публикации

Methods and apparatus for isolating a running beam conveyor from a semiconductor substrate cleaning environment

Номер: US20130284215A1
Автор: Andrew Liu, Hui Chen
Принадлежит: Applied Materials Inc

In one aspect, a substrate cleaning system is provided. The substrate cleaning system includes a plurality of cleaning modules; a conveyor for transporting a substrate between the cleaning modules; and a partition assembly that isolates the cleaning modules from the conveyor. Apparatus and methods for isolating CMP cleaning modules from a conveyor are provided, as are numerous other aspects.

Подробнее
31-10-2013 дата публикации

SYSTEM ARCHITECTURE FOR VACUUM PROCESSING

Номер: US20130287526A1
Принадлежит: INTEVAC, INC.

A system for processing substrates in plasma chambers, such that all substrates transport and loading/unloading operations are performed in atmospheric environment, but processing is performed in vacuum environment. The substrates are transported throughout the system on carriers. The system's chambers are arranged linearly, such that carriers move from one chamber directly to the next. A conveyor, placed above or below the system's chambers, returns the carriers to the system's entry area after processing is completed. Loading and unloading of substrates may be performed at one side of the system, or loading can be done at the entry side and unloading at the exit side. 1. A system for processing substrates in vacuum chamber , comprising:a plurality of carriers, each carrier configured for supporting and transporting substrates throughout the system;a loading station for loading substrates onto the carriers;a carrier transport system for transporting the carriers throughout the system and returning the carriers to the loading station;a loadlock chamber arrangement for introducing carries into vacuum environment; and,at least one vacuum processing chamber receiving a plurality of carriers from the loadlock arrangement, the vacuum processing chamber sized and configured for simultaneously housing the plurality of carriers and simultaneously processing substrates positioned on the plurality of carriers.21. The system of claim 1 , wherein each of the carries is configured for supporting a linear array of 1×n substrates claim 1 , wherein n is an integer larger than claim 1 , such that the vacuum processing chamber simultaneously houses and processes an array of m×n substrates claim 1 , wherein m is the number of carriers housed within the vacuum processing chamber and wherein m is an integer larger than 1.3. The system of claim 1 , further comprising a buffer station positioned between the loading station and the loadlock arrangement claim 1 , the buffer station ...

Подробнее
31-10-2013 дата публикации

VACUUM TREATMENT APPARATUS AND A METHOD FOR MANUFACTURING

Номер: US20130287527A1
Принадлежит: OC OERLIKON BALZERS AG

A vacuum treatment apparatus and method for manufacturing has a plurality of treatment chambers for treating workpieces, in particular silicon wafers, a transfer chamber attached to the treatment chambers communicating via respective openings and having handling zones located adjacent to each of the treatment chambers. A workpiece carrier is arranged within the transfer chamber and configured to transfer the workpieces between the handling zones, and one or more handlers for moving the workpieces between the handling zones and the treatment chambers. The transfer chamber is ring-shaped about an axis and the openings have opening substantially parallel thereto. This way, forces on the transfer chamber are redirected to a large support structure and thus, a cost-effective, light and still rigid mechanical construction can be achieved. 215. The vacuum treatment apparatus according to claim 1 , wherein the transfer chamber () surrounds an open space and/or has a substantially rectangular cross-section of at least the inner space of extending in radial direction.315. The vacuum treatment apparatus according to claim 1 , wherein the radial width of the inner space of said ring-shaped transfer chamber () is equal or larger than the height of said inner space.415. The apparatus of claim 1 , wherein said workpiece carrier comprises a controllably rotatable ring plate or segmented assembly about said axis (X) and in an inner space of said transfer chamber () claim 1 , the area (CA) of the radial cross section of the workpiece carrier being more than 70% of the area (CA) of radial the cross section of the inner space of the transfer chamber.52015. The vacuum treatment apparatus according to claim 1 , wherein the radial inner and outer side walls () of the ring-shaped transfer chamber () have an inner radius (Ri) and outer radius (Ra) respectively and the length of the inner radius (Ri) is at least 25% or at least 50% of the outer radius (Ra).6202015. The vacuum treatment ...

Подробнее
31-10-2013 дата публикации

METHOD AND APPARATUS FOR INDEPENDENT WAFER HANDLING

Номер: US20130287529A1
Принадлежит: Applied Materials, Inc.

A substrate processing system with independent substrate placement capability to two or more substrate support assemblies is provided. Two different sets of fixed-length lift pins are disposed on two or more substrate support lift pin assemblies of two or more process chambers, where the length of each lift pin in one process chamber is different from the length of each lift pin in another process chamber. The substrate processing system includes simplified mechanical substrate support lift pin mechanisms and minimum accessory parts cooperating with a substrate transfer mechanism (e.g., a transfer robot) for efficient and independent loading, unloading, and transfer of one or more substrates between two or more processing regions in a twin chamber or between two or more process chambers. A method for positioning one or more substrates to be loaded, unloaded, or processed independently or simultaneously in two or more processing regions or process chambers is provided. 1. A substrate processing system having two or more substrate processing regions , comprising:a first substrate support assembly disposed inside a first substrate processing region;{'b': '1', 'a first set of lift pins having a first length (L) and being disposed through the first substrate support assembly;'}a second substrate support assembly disposed inside a second substrate processing region;{'b': 2', '2', '1, 'a second set of lift pins having a second length (L) and being disposed through the second substrate support assembly, wherein the second length (L) is different from the first length (L).'}21. The substrate processing system of claim 1 , wherein the first set of lift pins are configured to support a substrate transferred thereon in a first stationary position (P) within the first processing region claim 1 , while the first substrate support assembly is lowered to a vertically lower substrate transfer position.32. The substrate processing system of claim 1 , wherein the second set of lift ...

Подробнее
07-11-2013 дата публикации

AUTO-SEQUENCING MULTI-DIRECTIONAL INLINE PROCESSING METHOD

Номер: US20130294678A1
Принадлежит: ORBOTECH LT SOLAR, LLC.

A method for auto-sequencing of plasma processing system for concurrent processing of several substrates. The method autonomously sequence processing and move substrates in different directions as necessary. The method moves two substrate trays together into the processing chamber for substrate exchange, and remove the trays from the chamber one at a time. When needed, the method moves one tray into the processing chamber for removal of the susceptor without exposing the chamber to atmospheric environment. 1. A method for auto-sequencing operation of a dual-load processing system having a controller , wherein the processing system comprises a vacuum processing chamber that has two loading ports on two opposing sides of the vacuum processing chamber , and two loadlock chambers , each loadlock chamber coupled to one of the two ports via a vacuum valve , comprising:when fresh substrates are introduced into one of the loadlock chambers, initiating vacuum at that loadlock chamber;when a desired vacuum level is achieved at one of the loadlock chambers, sending a ready signal to the controller, indicating that loadlock chamber is ready for substrates exchange;when processing is completed in the vacuum processing chamber, causing the controller to determine which loadlock chamber has sent a ready signal and initiating substrates exchange with the loadlock chamber that has sent a ready signal.2. The method of claim 1 , wherein initiating substrates exchange comprises:opening the vacuum valve corresponding to the loadlock chamber from which a ready signal has been received;transporting the processed substrates from within the vacuum processing chamber out to the loadlock from which a ready signal has been received;transporting the fresh substrates into the vacuum processing chamber.3. The method of claim 1 , further comprising maintaining top substrate hanger and a bottom substrate hanger claim 1 , one above the other claim 1 , inside each of the loadlock chamber.4. The ...

Подробнее
07-11-2013 дата публикации

EFFICIENT MATERIAL HANDLING IN SEMICONDUCTOR WAFER PROCESSING

Номер: US20130294871A1
Принадлежит: KLA -TENCOR CORPORATION,

An apparatus includes a dedicated material handling module having a dedicated automated material handling system (AMHS) defines a transport route between a first tool and a second tool selected from a plurality of tools in a fabrication facility. The dedicated ANHS is configured to transport wafer carriers between the first tool and the second tool or vice versa independent of a fabrication facility AMHS that is configured to transport wafer carriers among the plurality of tools. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.

Подробнее
21-11-2013 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20130309045A1
Автор: Kobayashi Sensho
Принадлежит: TOKYO ELECTRON LIMITED

Disclosed is a substrate processing apparatus including: a housing section configured to house a substrate; a transfer chamber that includes a plurality of airtight chambers connected to the periphery thereof, and a transfer mechanism provided therewithin, each of the plurality of airtight chambers being configured to process the substrate under an airtight state, and the transfer mechanism being configured to transfer the substrate to and from the airtight chambers; a carry-in section configured to carry the substrate into the transfer chamber via a first opening provided in the transfer chamber; and a carry-out section configured to carry out the substrate discharged from a second opening provided at a different position from that of the first opening of the transfer chamber, to the housing section, without returning the substrate to the transfer chamber. 1. A substrate processing apparatus comprising:a housing section configured to house a substrate;a transfer chamber that includes a plurality of airtight chambers connected to the periphery thereof, and a transfer mechanism provided therewithin, each of the plurality of airtight chambers being configured to process the substrate under an airtight state, and the transfer mechanism being configured to transfer the substrate to and from the airtight chambers;a carry-in section configured to carry the substrate into the transfer chamber via a first opening provided in the transfer chamber; anda carry-out section configured to carry out the substrate discharged from a second opening provided at a different position from that of the first opening of the transfer chamber, to the housing section, without returning the substrate to the transfer chamber.2. The substrate processing apparatus of claim 1 , further comprising a carry-out chamber that includes the carry-out section provided therewithin claim 1 ,wherein the carry-out chamber is provided with a pressure control section configured to control an inner pressure, ...

Подробнее
05-12-2013 дата публикации

Loadport bridge for semiconductor fabrication tools

Номер: US20130322990A1

A wafer handling system with apparatus for transporting wafers between semiconductor fabrication tools. In one embodiment, the apparatus is a loadport bridge mechanism including an enclosure having first and second mounting ends, a docking port at each end configured and dimensioned to interface with a loadport of a semiconductor tool, and at least one wafer transport robot operable to transport a wafer between the docking ports. The wafer transport robot hands off or receives a wafer to/from a tool robot at the loadports of a first and second tool. The bridge mechanism allows one or more wafers to be transferred between loadports of different tools on an individual basis without reliance on the FAB's automated material handling system (AMHS) for bulk wafer transport inside a wafer carrier such as a FOUP or others.

Подробнее
26-12-2013 дата публикации

SEMICONDUCTOR MANUFACTURING SYSTEMS

Номер: US20130343841A1
Автор: van der Meulen Peter
Принадлежит: Brooks Automation, Inc.

Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps. 1. An apparatus comprising:an equipment front end module;a four facet sealed transport chamber, each facet having a substrate transport opening configured for sealed communication with substrate holding modules;a load lock connected to a facet of the four facet sealed transport chamber for connecting the equipment front end module to the four facet sealed transport chamber; anda second sealed transport chamber having more than four facets and being communicably connected to another facet of the four facet sealed transport chamber, each facet of the second sealed transport chamber having a substrate transport opening configured for sealed communication with other substrate holding modules.2. The apparatus of claim 1 , wherein the equipment front end module is configured to physically handle substrates in an atmospheric environment.3. The apparatus of claim 1 , wherein the four facet sealed transport chamber includes a robotic transport arm disposed therein for transferring substrates to and from the four facet sealed transport chamber.4. The apparatus of claim 3 , wherein the robotic transport arm comprises unequal length arm links.5. The apparatus of claim 4 , wherein the robotic transport arm comprises at least three arm links.6. The apparatus of claim 1 , wherein the four facet sealed transport chamber and the second sealed transport ...

Подробнее
06-02-2014 дата публикации

IN-LINE FURNACE CONVEYORS WITH INTEGRATED WAFER RETAINERS

Номер: US20140034455A1
Принадлежит:

In one embodiment, an in-line furnace includes a continuous conveyor configured to hold wafers at an angle relative to ground. The conveyor may have fixedly integrated wafer retainers configured to hold the wafers in slots. The conveyor may be formed by several segments that are joined together. Each of the segments may include a base and a set of wafer retainers formed thereon. The conveyor may be driven to move the wafers through a chamber of the furnace, where the wafers are thermally processed. 1. A conveyor for an in-line furnace , the conveyor comprising:a plurality of segments that are joined together to form a continuous loop; anda plurality of wafer retainers fixedly formed on a base of each of the segments, the wafer retainers being configured to support a plurality of wafers at an angle greater than zero degree relative to the base as the wafers are moved through the chamber of the in-line furnace for thermal processing.2. The conveyor of wherein each of the wafers has a straight edge that is inserted in a slot formed by two of the wafer retainers.3. The conveyor of wherein the wafers comprise solar cell wafers.4. The conveyor of wherein each of the wafers has at least two straight edges claim 1 , each of the at least two straight edges being in a slot formed by at least two of the wafer retainers.5. The conveyor of wherein the segments comprise quartz or silicon carbide.6. The conveyor of wherein at least two of the wafer retainers support a front surface of one of the wafers.7. The conveyor of wherein only one of the wafer retainers support a front surface of one of the wafers.8. The conveyor of wherein each of the segments is configured to support several wafers side by side in a row.9. The conveyor of wherein the conveyor loops within the chamber.1020-. (canceled)21. A conveyor comprising:a base that moves along a plane of travel of the conveyor; anda plurality of wafer retainers that are integrated with the base, the plurality of wafer retainers ...

Подробнее
13-02-2014 дата публикации

LINKED VACUUM PROCESSING TOOLS AND METHODS OF USING THE SAME

Номер: US20140044503A1
Принадлежит:

In some embodiments, a linked processing tool system is provided that includes (1) a first processing tool having at least a first transfer chamber configured to couple to a plurality of processing chambers; (2) a second processing tool having at least a second transfer chamber configured to couple to a plurality of processing chambers; (3) a third transfer chamber coupled between the first and second processing tools and configured to transfer substrates between the first and second processing tools; and (4) a single sequencer that controls substrate transfer operations between the first processing tool, the second processing tool and the third transfer chamber of the linked processing tool system. Numerous other aspects are provided. 1. A linked processing tool system comprising:a first processing tool having at least a first transfer chamber configured to couple to a plurality of processing chambers;a second processing tool having at least a second transfer chamber configured to couple to a plurality of processing chambers;a third transfer chamber coupled between the first and second processing tools and configured to transfer substrates between the first and second processing tools; anda single sequencer that controls substrate transfer operations between the first processing tool, the second processing tool and the third transfer chamber of the linked processing tool system.2. The linked processing tool system of wherein the first and second processing tools each include two transfer chambers.3. The linked processing tool system of further comprising a third processing tool having two transfer chambers and wherein the third transfer chamber is one of the two transfer chambers of the third processing tool.4. The linked processing tool system of further comprising at least one of a degas chamber and a pre-clean chamber coupled to the third transfer chamber and wherein the third chamber serves as a buffer chamber between the first and second processing tools.5. ...

Подробнее
20-02-2014 дата публикации

Overhead Transport Vehicle

Номер: US20140047995A1
Автор: Makoto Kobayashi
Принадлежит: Murata Machinery Ltd

An overhead transport vehicle comprises a travelling unit that travels on a rail, a conveying unit that moves and conveys an article and a swing inhibiting member that moves between a pushing position and a retracting position. The swing inhibiting members includes a pushing member that pushes a side surface of the article, lever members that support the pushing member at a first end side and are pivotally supported by the conveying unit at a second end side, and a biasing member that biases the pushing member toward the article. The pushing member includes a main body member pivotally supported by the lever members, slide members slidably supported by a main body member, and elastic bodies arranged between the main body member and the slide member that slide elastically the slide member.

Подробнее
27-03-2014 дата публикации

Vacuum treatment apparatus

Номер: US20140086711A1
Принадлежит: OC OERLIKON BALZERS AG

To reduce pumping time of a vacuum treatment chamber served by a transport arrangement in a transport chamber the vacuum treatment chamber is split into a workpiece treatment compartment and a pumping compartment in mutual free flow communication and arranged opposite each other with respect to a movement path of the transport arrangement serving the vacuum treatment chamber. The pumping compartment allows a pumping port to have a flow cross-section area that is freely selectable independently from the geometry of the treatment compartment.

Подробнее
10-04-2014 дата публикации

VACUUM PROCESSING APPARATUS AND VACUUM PROCESSING METHOD

Номер: US20140099176A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

A semiconductor processing apparatus is provided, which includes processing chambers coupled together by transport mechanisms having transfer robots. After having completed wafer processing in each processing chamber, the allowable value of a time permitted for a processing-completed wafer to continue residing within the processing chamber is set up. Then, a time consumed up to the completion of transportation of a wafer scheduled to be next processed is estimated, thereby controlling a transfer robot in a way such that, when the estimated transfer time exceeds the allowable value of the waiting time, priority is given to an operation for unloading a processed wafer from the processing chamber insofar as the processed wafer's transfer destination is already in its state capable of accepting such wafer. 1. A vacuum processing apparatus comprising:a load lock for loading into a vacuum side an object to be processed which is put on an atmosphere side;a plurality of transport mechanism units, disposed on the vacuum side, each including a vacuum robot for performing delivery/receipt and transportation of the object to be processed;a plurality of processing chambers coupled to said plurality of transport mechanism units, for applying predetermined processing to the object to be processed;an intermediate chamber for coupling adjacent ones of said transport mechanism units and for relaying and mounting the object to be processed;a retention mechanism unit provided in said load lock and said intermediate chamber, for holding a plurality of objects to be processed; anda control unit for controlling delivery/receipt and transportation of the object to be processed, whereinsaid control unit determines a transfer chamber which transfers the object to be processed and an operation order of said transport mechanism units based on a time permitted for the to-be-processed object to wait within one of said processing chambers after completion of processing thereof.2. The vacuum ...

Подробнее
07-01-2021 дата публикации

TRANSPORT CONTROL APPARATUS AND TRANSPORT CONTROL SYSTEM INCLUDING THE SAME

Номер: US20210003988A1
Автор: Yu Su Jong
Принадлежит:

There is provided a transport control system assigning multiple jobs to an overhead hoist transport (OHT) based on the availability of linkage transporting and the likelihood of transport congestion. The transport control system includes: a plurality of transports, each of the plurality of transports transporting a carrier with a wafer loaded thereon; and a transport control apparatus controlling the plurality of transports and determining one of the plurality of transports as a target transport to which multiple jobs are to be assigned. The transport control apparatus determines one of the plurality of transports as the target transport based on a first element, which is the availability of linkage transporting, and a second element, which is the likelihood of transport congestion. 1. A transport control system comprising:a plurality of transports, each of the plurality of transports transporting a carrier with a wafer loaded thereon; anda transport control apparatus controlling the plurality of transports and determining one of the plurality of transports as a target transport to which multiple jobs are to be assigned,wherein the transport control apparatus determines one of the plurality of transports as the target transport based on at least one of a first element, which is the availability of linkage transporting, and a second element, which is the likelihood of transport congestion.2. The transport control system of claim 1 , wherein if the transport control apparatus determines one of the plurality of transports as the target transport based on the first element claim 1 , the transport control apparatus determines one of the plurality of transports as the target transport based on whether the multiple jobs are aligned in series on a single path.3. The transport control system of claim 2 , wherein if the transport control apparatus determines one of the plurality of transports as the target transport based on the first element and the second element claim 2 , ...

Подробнее
05-01-2017 дата публикации

SUBSTRATE TRANSFER APPARATUS AND SUBSTRATE TRANSFER METHOD

Номер: US20170004984A1
Принадлежит:

A substrate transfer apparatus includes a transfer chamber in which a substrate is transferred, and a process chamber configured to process a substrate therein. A contamination monitor is provided in the transfer chamber and configured to detect a contamination condition of the transfer chamber. 1. A substrate transfer apparatus comprising:a transfer chamber in which a substrate is transferred; anda process chamber configured to process the substrate therein; anda contamination monitor provided in the transfer chamber and configured to detect a contamination condition of the transfer chamber.2. The substrate transfer apparatus as claimed in claim 1 , wherein the contamination monitor is a crystal oscillator.3. The substrate transfer apparatus as claimed in claim 1 ,wherein the transfer chamber includes a first transfer chamber adjacent to the process chamber and a second transfer chamber that is separated from the process chamber by the first transfer chamber; andthe contamination monitor is disposed at least in the first transfer chamber.4. The substrate transfer apparatus as claimed in claim 1 ,wherein the transfer chamber includes a first transfer chamber adjacent to the process chamber and a second transfer chamber that is separated from the process chamber by the first transfer chamber; andthe contamination monitor is disposed in each of the first transfer chamber and the second transfer chamber.5. The substrate transfer apparatus as claimed in claim 1 , wherein the contamination monitor is disposed at at least one of a gate valve provided in the transfer chamber claim 1 , a ceiling part of the transfer chamber claim 1 , a movable part of a transfer device provided in the transfer chamber claim 1 , an exhaust port provided in the transfer chamber claim 1 , and a corner portion of the transfer chamber.6. The substrate transfer apparatus as claimed in claim 1 , further comprising:a transfer device configured to transfer the substrate provided in the transfer ...

Подробнее
05-01-2017 дата публикации

System and Method for Real Time Positioning of a Substrate in a Vacuum Processing System

Номер: US20170004987A1
Автор: Fairbairn Kevin P.
Принадлежит:

An improved position control means for robotic handling systems; particularly, a sensing system and method for precisely determining the center point of a substrate, such as a semiconductor wafer, relative to a destination point by using a set of multi pixel imaging sensors incorporated into the wafer carrying end effector of the robotic handling system. 1. A sensing system for accurately positioning a substrate at preselected locations inside a process system , and detecting and eliminating substrate position slippage relative to the substrate's carrier comprising:a substrate transfer robot including an end effector for carrying the substrate;a system controller for instructing the substrate transfer robot to follow prescribed trajectories and capable of accepting position correction data to modify robot's path and accepting motion profile modifications to avoid substrate slippage;at least one or more substrate edge facing multi-pixel sensors mounted to the end effector assembly and proximity focused onto a portion of the substrate edge;at least one or more accelerometer sensors mounted to the end effector assembly;data processing and control electronics, mounted on the substrate transfer robot or end effector assembly, for operating and processing the signals from the substrate edge facing multi-pixel imaging sensors and accelerometer sensors, and communicating signals to and from the system controller;analyzing the data obtained from the substrate facing multi-pixel sensors and accelerometer sensors, in the data processing and control electronics, to determine the offset dimensions between the center point of the substrate and reference point of the end effector assembly and the motion profile parameters of the end effector assembly;feeding the offset dimensions back to the system controller for corrective action by the substrate transfer robot to ensure accurate positioning;feeding the motion profile parameters of the end effector assembly back to the system ...

Подробнее
07-01-2016 дата публикации

HIGH EFFICIENCY BUFFER STOCKER

Номер: US20160005633A1
Принадлежит:

A high-efficiency buffer stocker is disclosed. The buffer stocker includes an overhead transport track for supporting overhead transport vehicles carrying wafer containers and at least one conveyor system or conveyor belt provided beneath the overhead transport track for receiving the wafer containers from the overhead transport vehicles on the overhead transport track. The buffer stocker is capable of absorbing the excessive flow of wafer containers between a processing tool and a stocker, for example, to facilitate the orderly and efficient flow of wafers between sequential process tools in a semiconductor fabrication facility, for example. 1. A buffer stocker system comprising:an overhead transport track supporting a plurality of movable overhead transport vehicles each configured for carrying a container, the overhead transport track comprising at least a first position and a second position;a first elongated conveyor belt at a first elevation having a first end and a second end, the first end corresponding to the first position of the overhead transport track; anda second elongated conveyor belt at a second elevation having a first end and a second end, the first end corresponding to the second position of the overhead transport track, wherein the second elongated conveyor belt is positioned vertically directly beneath the first elongated conveyor belt, wherein the first elongated conveyor belt and the second elongated conveyor belt are overlapping in plan view,wherein the first end of the second elongated conveyor belt extends longitudinally beyond the first end of the first elongated conveyor belt, andwherein the first elongated conveyor belt and the second elongated conveyor belt are each configured to receive a container from one of the plurality of moveable overhead transport vehicles at respective first or second positions.2. The buffer stocker of claim 1 , wherein the overhead transport track comprises at least a third position corresponding to the ...

Подробнее
02-01-2020 дата публикации

CONTAMINATION CONTROL IN SEMICONDUCTOR MANUFACTURING SYSTEMS

Номер: US20200006104A1

The present disclosure relates to a contamination controlled semiconductor processing system. The contamination controlled semiconductor processing system includes a processing chamber, a contamination detection system, and a contamination removal system. The processing chamber is configured to process a wafer. The contamination detection system is configured to determine whether a contamination level on a surface of the door is greater than a baseline level. The contamination removal system is configured to remove contaminants from the surface of the door in response to the contamination level being greater than the baseline level. 1. A semiconductor processing system , comprising:a processing chamber configured to process a wafer and comprising a door;a contamination detection system configured to determine whether a contamination level on a surface of the door is greater than a baseline level; anda contamination removal system configured to remove contaminants from the surface of the door in response to the contamination level being greater than the baseline level.2. The semiconductor processing system of claim 1 , wherein the contamination detection system is configured to determine the contamination level on a side surface of the door when the door is in a closed position.3. The semiconductor processing system of claim I claim 1 , wherein the contamination detection system is configured to determine the contamination level on a bottom surface of the door when the door is in an open position.4. The semiconductor processing system of claim 1 , wherein the contamination removal system is configured to remove the contaminants from a side surface of the door when the door is in a closed position.5. The semiconductor processing system of claim 1 , wherein the contamination removal system is configured to wipe the contaminants off a bottom surface of the door when the door is in an open position.6. The semiconductor processing system of claim 1 , wherein the ...

Подробнее
02-01-2020 дата публикации

TRANSPORT SYSTEM OF SEMICONDUCTOR FABRICATION FACILITY, ASSOCIATED MOVABLE CONTAINER AND METHOD

Номер: US20200006106A1
Принадлежит:

A transport system of a semiconductor fabrication facility, including: a rail for carrying vehicle, a sensor installed on the rail, a controller and a power panel. The sensor is arranged to determine a zone and send a quantity information in response to a quantity of vehicles in the zone. The controller is arranged to send an output signal in accordance with the quantity information. The power panel is arranged to adjust a current in accordance with the output signal, wherein the current is output to a cable extending along the rail. 1. A transport system of a semiconductor fabrication facility , comprising:a rail for carrying vehicle;a sensor installed on the rail, wherein the sensor determines a zone and sends a quantity information in response to a quantity of vehicles in the zone;a controller, arranged to send an output signal in accordance with the quantity information; anda power panel, arranged to adjust a current in accordance with the output signal, wherein the current is output to a cable extending along the rail.2. The transport system of claim 1 , wherein the power panel stops outputting or reduces the current when the output signal indicates that no vehicle is on the rail.3. The transport system of claim 1 , wherein the current and the quantity of vehicles in the zone are positive correlated4. The transport system of claim 1 , wherein communication between the sensor claim 1 , the controller and the power panel is done via Wireless Fidelity (Wi-Fi).5. A movable container of a semiconductor fabrication facility claim 1 , comprising:a driving mechanism, arranged to provide a momentum to the movable container for generating a displacement on a rail; anda back-up power mechanism, arranged to provide a back-up power at least to the driving mechanism.6. The movable container of claim 5 , wherein the back-up mechanism provides the back-up power when the movable container executes a loading/unloading operation.7. The movable container of claim 5 , wherein the ...

Подробнее
03-01-2019 дата публикации

SYSTEM FOR A SEMICONDUCTOR FABRICATION FACILITY AND METHOD FOR OPERATING THE SAME

Номер: US20190006214A1
Принадлежит:

An apparatus for a semiconductor fabrication facility (FAB) is provided. In one embodiment, the apparatus includes a maintenance tool and a transporting tool configured to transport at least one customized. The maintenance tool includes a first track at a first horizontal plane, at least one maintenance crane movably mounted on the first track, and a plurality of first sensors on the first track. The first sensors are configured to define at least a danger zone and to detect a location of the maintenance crane. The transporting tool includes a second track at a second horizontal plane, at least one overhead hoisting transporting (OHT) vehicle movably mounted on the second track, and at least one second sensor on the OHT vehicle. The second horizontal plane is different from the first horizontal planes. The first horizontal plane and the second horizontal plane at least partially overlap each other from a plane view. 1. An apparatus comprising: a first track at a first horizontal plane;', 'at least one maintenance crane movably mounted on the first track; and, 'a maintenance tool comprisinga plurality of first sensors on the first track, the first sensors being configured to define at least a danger zone and to detect a location of the maintenance crane; and a second track at a second horizontal plane, wherein the second horizontal plane is different from the first horizontal plane and wherein the first horizontal plane and the second horizontal plane at least partially overlap each other from a plane view;', 'at least one overhead hoisting transporting (OHT) vehicle movably mounted on the second track; and', 'at least one second sensor on the OHT vehicle., 'a transporting tool configured to transport at least one customized part, the transporting tool comprising2. The apparatus of claim 1 , wherein the maintenance crane is prohibited from entering the danger zone when the OHT vehicle is in the danger zone.3. The apparatus of claim 1 , wherein the OHT vehicle is ...

Подробнее
03-01-2019 дата публикации

CONVEYANCE SYSTEM

Номер: US20190006217A1
Автор: MOTOORI Yoichi
Принадлежит: MURATA MACHINERY, LTD.

A conveyance system includes: a first track and a second track arranged parallel in a vertical direction such that device ports are positioned therebelow and on one side thereof; a plurality of overhead conveyance vehicles configured to travel along each of the first track and the second track and each convey a FOUP, and storage shelves provided below and on the other side of the first track and the second track and each configured to have the FOUP placed thereon. Each of the overhead conveyance vehicles includes: a gripping unit capable of gripping the FOUP; a movement mechanism capable of moving the gripping unit to a position above the device ports or above the storage shelves; and a hoisting mechanism capable of raising and lowering the gripping unit, which has been moved to the position above each thereof by the movement mechanism. 1. A conveyance system , comprising:a first track provided such that a device port is positioned below and on one side of the first track;a second track provided along the first track and arranged below and parallel with the first track in a vertical direction, such that the device port is positioned below and en-the one side of the second track;a plurality of overhead conveyance vehicles configured to travel along each of the first track and the second track and to convey a conveyed object; anda storage section provided below and on the other side of the first track and opposite the one side of the second track, and configured such that the conveyed object is placed on the storage section, whereineach overhead conveyance vehicle comprises:a gripping unit capable of gripping the conveyed object;a movement mechanism capable of moving the gripping unit to above each of the device port and the storage section; anda hoisting mechanism capable of raising and lowering the gripping unit moved to above each of the device port and the storage section by the movement mechanism with respect to each of the device port and the storage section.2. ...

Подробнее
20-01-2022 дата публикации

Chip-transferring system and chip-transferring method

Номер: US20220020619A1
Принадлежит: Skiileux Electricity Inc

A chip-transferring system and a chip-transferring method are provided. The chip-transferring system includes a substrate-carrying module for carrying a chip-carrying structure, a chip-transferring module, and a system control module. The chip-carrying structure includes a circuit substrate for carrying a plurality of conductive materials, a plurality of micro heaters, and a micro heater control chip. The chip-transferring module is configured for transferring a chip onto two corresponding ones of the conductive materials, and the chip-transferring module includes a motion sensing chip. When chip movement information of the chip that is provided by the motion sensing chip is transmitted to the system control module, the micro heater control chip is configured to control a corresponding one of the micro heaters to start or stop heating the two corresponding conductive materials by control of the system control module according to the chip movement information of the chip.

Подробнее
20-01-2022 дата публикации

CEILING CONVEYANCE VEHICLE AND CEILING CONVEYANCE VEHICLE SYSTEM

Номер: US20220020621A1
Автор: Ito Yasuhisa, OGO Haruki
Принадлежит:

A ceiling conveyance vehicle includes a traveling wheel to roll on a track including a first track and a second track and a main body below the track and coupled to the traveling wheel. The ceiling conveyance vehicle includes a direction changer to change between a first state in which the traveling wheel rolls on the first track and a second state in which the traveling wheel rolls on the second track, with an orientation of the main body with respect to the track maintained, an article holder capable of holding an article, a hoisting-and-lowering driver to hoist and lower the article holder, a lateral slider to slidingly move the hoisting-and-lowering driver in a horizontal, linear direction, and a first rotational driver to rotationally drive the lateral slider around a first perpendicular axis with respect to the main body. 17-. (canceled)8. A ceiling conveyance vehicle comprising:a traveling wheel to roll on a track including a first track extending in a first direction and a second track extending in a second direction different from the first direction;a main body below the track and coupled to the traveling wheel;a direction changer to change between a first state in which the traveling wheel rolls on the first track and a second state in which the traveling wheel rolls on the second track, with an orientation of the main body with respect to the track maintained;an article holder capable of holding an article;a hoisting-and-lowering driver to hoist and lower the article holder;a lateral slider to slidingly move the hoisting-and-lowering driver in a horizontal, linear direction; anda first rotational driver to rotationally drive the lateral slider around a first perpendicular axis with respect to the main body.9. The ceiling conveyance vehicle according to claim 8 , further comprising a second rotationally driver to rotationally drive the article holder or the hoisting-and-lowering driver around a second perpendicular axis with respect to the lateral slider. ...

Подробнее
12-01-2017 дата публикации

Method and System for Providing an Improved Wafer Transport System

Номер: US20170010605A1
Автор: Chuang Yu Chen
Принадлежит:

A method of controlling a delivery to a working station comprises processing first carrier of wafers at a working station for a processing step, checking location of a second carrier at a predetermined checking time to obtain a checking result. The predetermined checking time is a predetermined period of time ahead of an end of the processing step. The method further comprises removing the first carrier from the working station after the processing step is completed, delivering a second carrier of wafers to the working station and processing the second carrier of wafers at the working station. 1. A method of controlling a delivery to a working station , comprising:processing a first carrier of wafers at a working station for a processing step of a semiconductor manufacturing process;checking a location of a second carrier at a predetermined checking time to obtain a checking result comprising the location of the second carrier, wherein the predetermined checking time is a predetermined period of time prior to an end of the processing step;determining whether to move the second carrier to a stocker within a defined distance of the working station based at least in part on the checking result;removing the first carrier from the working station after the processing step is completed; andprocessing the second carrier of wafers at the working station.2. The method of claim 2 , further comprising claim 2 , in response to determining that the location of the second carrier is not within the defined distance of the working station claim 2 , transporting the second carrier of wafers to at least one of the working station or a stocker within the defined distance of the working station.3. The method of claim 1 , further comprising identifying the second carrier according to a carrier identifier and determining a current stocker in which the second carrier is located.4. The method of claim 3 , further comprising claim 3 , in response to determining that the location of the ...

Подробнее
09-01-2020 дата публикации

Article Transport Device

Номер: US20200010280A1
Автор: Abe Takeshi
Принадлежит:

The article transport device includes a transport vehicle configured to move back and forth between a receiving location and a delivery location, and a load receiving base that is provided at at least the receiving location. The transport vehicle includes a transport placing base on which an article is to be placed, and a lift device configured to raise and lower the transport placing base to a first height and to a second height that is lower than the first height. The load receiving base is a base on which an article is to be placed, and is fixed at a third height that is between the first height and the second height. When the transport vehicle performs restoration movement to move from the delivery location to the receiving location, the lift device performs a restoration operation that differs in accordance with whether or not an article is present on the load receiving base at the receiving location. 1. An article transport device for transporting an article from a receiving location to a delivery location comprising:a transport vehicle configured to move back and forth between the receiving location and the delivery location;a path forming portion configured to connect the receiving location to the delivery location and to form a path through which the transport vehicle moves; anda load receiving base provided at at least the receiving location,wherein:the transport vehicle includes a transport placing base on which an article is to be placed, and a lift device configured to raise and lower the transport placing base to a first height and to a second height that is lower than the first height,the load receiving base is a base on which an article is to be placed, and is fixed at a third height that is between the first height and the second height,the transport vehicle receives an article at the receiving location as a result of the article being placed onto the transport placing base positioned at the first height, or as a result of the transport placing base ...

Подробнее
12-01-2017 дата публикации

ATTITUDE CHANGING APPARATUS, ALIGNING APPARATUS, ATTITUDE CHANGING METHOD AND ALIGNING METHOD

Номер: US20170011943A1
Принадлежит: MURATA MANUFACTURING CO., LTD.

An attitude changing apparatus which can change an attitude of a component with certainty without damaging the component. The component has a rectangular parallelepiped shape where a pair of rectangular end surfaces opposite to each other are connected to each other by four side surfaces. The component is stored in a cavity which penetrates between main surfaces of a conveyance member, the conveyance member is moved relative to a base, and the component is made to pass through an engaging groove formed on a reference surface of the base. 1. An attitude changing apparatus used for a component having a rectangular parallelepiped shape where the component includes a pair of rectangular end surfaces which are opposite to each other and four side surfaces which connect the end surfaces to each other , the attitude changing apparatus comprising:a base having a reference surface and a first engaging groove formed in a groove shape with respect to the reference surface; anda conveyance member disposed along the reference surface of the base and having first and second main surfaces which are opposite to each other and a cavity which penetrates between the first and second main surfaces, the cavity being formed such that the component is stored in the cavity either in a state where the end surface of the component is brought into contact with the reference surface or in a state where any one of side surfaces of the component is brought into contact with the reference surface,the conveyance member being configured to move relative to the base such that the cavity moves while facing the first engaging groove from a first end to a second end of the first engaging groove, whereinthe first engaging groove includes: a first groove bottom portion in a linear shape which connects the first end and the second end of the first engaging groove to each other; and a first inclined surface which is disposed adjacently to the first groove bottom portion and extends to one side of the first ...

Подробнее
09-01-2020 дата публикации

Substrate processing system, substrate processing method, and control program

Номер: US20200012254A1
Принадлежит: Tokyo Electron Ltd

A substrate processing system includes a processing unit including processing modules and a first transfer device, a loading/unloading unit including a load port holding a substrate accommodating container and a second transfer device, and a control unit. The control unit controls the substrates to be sequentially transferred. When an error has occurred in a certain processing module, the control unit executes: collecting a substrate that has been unloaded from the substrate accommodating container but has not been processed in the substrate accommodating container; continuing processing of a preceding substrate in a processing module sequentially following the processing module in which the error has occurred; retreating an error substrate processed in the process module in which the error has occurred from the processing module to a retreat position; and continuing processing of a subsequent substrate processed in a processing module sequentially preceding the processing module in which the error has occurred.

Подробнее
10-01-2019 дата публикации

EFEM AND METHOD OF INTRODUCING DRY AIR THEREINTO

Номер: US20190013227A1
Автор: OKABE Tsutomu
Принадлежит: TDK Corporation

An EFEM includes first and second chambers, an airflow formation unit, and a gas discharge port. The first chamber includes a dry air introduction port. The second chamber is connected with a lower part of the first chamber and includes an openable door. The airflow formation unit produces a circulating airflow between the first and second chambers. The gas discharge port discharges a gas of the second chamber therefrom. The first and second chambers are connected via first and second communication sections. In the first communication section, a filter is disposed, and an airflow from the first chamber to the second chamber is generated. In the second communication section, a ventilation state is changed by a ventilation state switchable unit, and an airflow from the second chamber to the first chamber is generated. 1. An EFEM comprising:a first chamber including a dry air introduction port configured to introduce a dry air;a second chamber connected with a lower part of the first chamber and including an openable door allowing a person to go in and out;an airflow formation unit configured to produce a circulating airflow between the first chamber and the second chamber; anda gas discharge port configured to discharge a gas of the second chamber therefrom, 'a first communication section where a filter is disposed, and an airflow from the first chamber to the second chamber is generated at the time of formation of the circulating airflow; and', 'wherein the first chamber and the second chamber are connected viaa second communication section where a ventilation state is changed by a ventilation state switchable unit, and an airflow from the second chamber to the first chamber is generated at the time of formation of the circulating airflow.2. The EFEM according to claim 1 , whereinthe gas discharge port is connected with the second chamber at a position that is closer to the second communication section than the first communication section, andthe ventilation state ...

Подробнее
10-01-2019 дата публикации

METHOD AND APPARATUS FOR PLASMA DICING A SEMI-CONDUCTOR WAFER

Номер: US20190013243A1
Принадлежит: Plasma-Therm LLC

The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma. 1. A method for plasma dicing a substrate , the method comprising:providing a transfer arm;providing a process chamber having a wall;providing a plasma source adjacent to the wall of the process chamber;providing a work piece support within the process chamber;providing a lifting mechanism within the work piece support;placing the substrate onto a support film on a frame to form the work piece, the work piece having an underside;placing the work piece onto the transfer arm;transferring the work piece into the process chamber onto the lifting mechanism using the transfer arm, the lifting mechanism contacting the underside of the work piece;generating a plasma through the plasma source; andetching the work piece through the generated plasma.2. The method according to claim 1 , wherein the transfer arm maintaining the frame coplanar to the substrate during the transferring step.3. The method according to wherein the lifting mechanism does not make point contact with the substrate of the work piece.4. The method according to wherein the lifting mechanism lifting the work piece by only engaging the frame of the work piece.5. The method according to wherein the transfer arm does not support the substrate of the work piece alone.6. The method according to wherein the transfer arm supports the frame of the work piece alone.7. The method according to wherein the transfer arm supports both the tape and the frame of the work ...

Подробнее
14-01-2021 дата публикации

LASER STRIPPING MASS-TRANSFER DEVICE AND METHOD FOR MICRODEVICES BASED ON WINDING PROCESS

Номер: US20210013066A1

A laser stripping mass-transfer device includes a microdevice laser stripping transfer module, an auxiliary conveyor module, a transition conveyor module, a transfer conveyor module, a substrate carrier module, a microdevice filling module, a curing module, an encapsulation module and a substrate transportation module. The microdevice laser stripping transfer module is configured to implement detection and stripping of the microdevices. The auxiliary conveyor module is configured to adhere the stripped microdevices. The transition conveyor module is configured to pick up and transfer the microdevices to the transfer conveyor module. The transfer conveyor module is configured to pick up and transfer the microdevices to the substrate carrier module. The substrate carrier module is configured to feed the microdevices into the microdevice filling module, the curing module, the encapsulation module, and the substrate transportation module for filling, curing, encapsulating, loading and unloading. 1. A laser stripping mass-transfer device for microdevices based on a winding process , the laser stripping mass-transfer device comprising a microdevice stripping transfer module , an auxiliary conveyor module , a transition conveyor module , a transfer conveyor module , a substrate carrier module , a microdevice filling module , a curing module , an encapsulation module , and a substrate transportation module , wherein:the microdevice stripping transfer module is located above a left side of the auxiliary conveyor module and is configured to implement detection and stripping of the microdevices;the auxiliary conveyor module is configured to adhere the stripped microdevices, evenly arrange the stripped microdevices, and then transfer the stripped microdevices onto the transition conveyor module;the transition conveyor module is located above a right side of the auxiliary conveyor module and is configured to continuously pick up the microdevices from the auxiliary conveyor ...

Подробнее
14-01-2021 дата публикации

EFEM

Номер: US20210013078A1
Принадлежит: SINFONIA TECHNOLOGY CO., LTD.

In the present disclosure, when a supply flow rate of an inert gas is changed, a pressure fluctuation in a circulation path is suppressed. An EFEM includes a supply valve configured to be capable of changing a supply flow rate of the inert gas supplied to the circulation path, a discharge valve configured to be capable of changing a discharge flow rate of a gas discharged from the circulation path, a concentration detection part configured to detect a change in an atmosphere inside the circulation path, a pressure detection part configured to detect a pressure in the circulation path, and a control part configured to control the supply valve and the discharge valve. The control part is configured to determine an opening degree of the discharge valve to a predetermined value based on a detection result obtained by the concentration detection part. 1. An EFEM including a circulation path that circulates an inert gas , comprising:a supply valve configured to be capable of changing a supply flow rate of the inert gas supplied to the circulation path;a discharge valve configured to be capable of changing a discharge flow rate of a gas discharged from the circulation path;a concentration detection part configured to detect a change in an atmosphere inside the circulation path;a pressure detection part configured to detect a pressure in the circulation path; anda control part configured to control the supply valve and the discharge valve,wherein the control part is configured to determine an opening degree of the discharge valve to a predetermined value based on a detection result of the concentration detection part.2. The EFEM of claim 1 , wherein the control part is configured to switch a control mode between a feed-back mode in which the opening degree of the discharge valve is feed-back-controlled based on a detection result of the pressure detection part so that the pressure in the circulation path is maintained at a target pressure and a feed-forward mode in which ...

Подробнее
09-01-2020 дата публикации

SILICIDE FILM NUCLEATION

Номер: US20200013624A1
Принадлежит:

Embodiments disclosed herein are directed to forming MOSFET devices. In particular, one or more pre-silicide treatments are performed on a substrate prior to the deposition of the metal-silicide layer to improve the density and performance of the metal-silicide layer in the MOSFETs. The metal-silicide formation formed with the pre-silicide treatment(s) can occur before or after the formation of metal gates during MOSFET fabrication. 1. A system for fabricating a MOSFET device , comprising:a transfer chamber defining a transfer space;a plurality of process chambers, wherein each process chamber of the plurality of process chambers is coupled to the transfer chamber;a transfer robot in the transfer space configured to access the transfer chamber and the plurality of process chambers;a controller comprising instructions for device fabrication, wherein, when executed by a processor, the instructions:retrieve a substrate from the transfer chamber;dispose the substrate in a first process chamber of the plurality of process chambers;perform at least one pre-silicide treatment on the substrate in the first process chamber to increase a concentration of a dopant within the substrate or to increase a surface roughness of the substrate; andsubsequently, form, by deposition, in a second process chamber of the plurality of process chambers, a metal-silicide on the substrate.2. The system of claim 1 , wherein the plurality of process chambers comprises an NMOS chamber claim 1 , a PMOS chamber claim 1 , a pre-clean chamber claim 1 , a metal-silicide deposition chamber claim 1 , or a plasma chamber.3. The system of claim 2 , wherein the at least one pre-silicide treatment is performed in the NMOS chamber claim 2 , the PMOS chamber claim 2 , the metal-silicide deposition chamber claim 2 , or the plasma chamber.4. The system of claim 1 , wherein the metal-silicide deposition is performed in the first process chamber that comprises a metal-silicide deposition chamber.5. The system of ...

Подробнее
09-01-2020 дата публикации

CEILING CARRIER VEHICLE

Номер: US20200013652A1
Автор: KOBAYASHI Makoto
Принадлежит:

An overhead transport vehicle includes a traveler to travel along a traveling rail, an elevator provided to the traveler, a holder to be raised and lowered by the elevator and to hold a flange included in a container, a positioner to ascend and descend with respect to the holder and to engage with a recess in the flange, a detector to detect a relative ascending operation of the positioner with respect to the holder, and a controller to recognize that the container is disposed on a mounting surface of a transfer destination when the detector detects the ascending operation in a case where the holder is lowered by the elevator. 14-. (canceled)5. An overhead transport vehicle comprising:a traveler to travel along a traveling rail;an elevator provided to the traveler;a holder to be raised and lowered by the elevator and to hold a flange included in a container;a positioner to ascend and descend with respect to the holder and to engage with a recess formed in the flange;a detector to detect a relative ascending operation of the positioner with respect to the holder; anda controller to recognize that the container is disposed on a mounting surface of a transfer destination when the detector detects the ascending operation in a case where the holder is lowered by the elevator.6. The overhead transport vehicle according to claim 5 , wherein the controller recognizes that the holder reaches a holding position when the detector detects an ascending operation in a case where the holder is lowered by the elevator.7. The overhead transport vehicle according to claim 5 , further comprising:a dog to ascend and descend in association with ascending and descending operations of the positioner with respect to the holder; whereinthe detector detects a position of the dog.8. The overhead transport vehicle according to claim 7 , whereinthe dog includes a first screen including a first upper end and a first lower end, and a second screen including a second upper end and a second lower ...

Подробнее
09-01-2020 дата публикации

SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE TRANSFER METHOD

Номер: US20200013654A1
Принадлежит:

A substrate processing system includes a processing section that includes a plurality of process modules each of which performs a predetermined processing; a carrying-in/out section that holds the plurality of substrates, and carries the substrates into/out of the processing section; a transfer unit that transfers the substrates; and a controller that controls the processing section, the carrying-in/out section, and the transfer unit. The controller performs a control such that the plurality of substrates is sequentially transferred from the carrying-in/out section to the processing section, and the transferred substrates are sequentially and serially transferred to the plurality of process modules, sets an interval until a next substrate is unloaded after a substrate is unloaded from a predetermined module of the carrying-in/out section, and performs a control such that the plurality of substrates is sequentially unloaded from the predetermined module with a setting value of the interval. 1. A substrate processing system that performs a processing on a plurality of substrates , the substrate processing system comprising:a processing enclosure that includes a plurality of process modules each of which performs a predetermined processing;a carrying-in/out unit including a substrate holder that holds the plurality of substrates and carries the substrates into/out of the processing enclosure;a transfer unit including a transfer arm that transfers the substrates to each of the plurality of process modules and transfers the substrates within the carrying-in/out unit and between the carrying-in/out unit and the processing enclosure; anda controller that controls the processing enclosure, the carrying-in/out unit, and the transfer unit,wherein the controller performs a control such that the plurality of substrates is sequentially transferred from the carrying-in/out unit to the processing enclosure, and the transferred substrates are sequentially and serially transferred ...

Подробнее
03-02-2022 дата публикации

Silicide film nucleation

Номер: US20220033970A1
Принадлежит: Applied Materials Inc

Embodiments disclosed herein are directed to forming MOSFET devices. In particular, one or more pre-silicide treatments are performed on a substrate prior to the deposition of the metal-silicide layer to improve the density and performance of the metal-silicide layer in the MOSFETs. The metal-silicide formation formed with the pre-silicide treatment(s) can occur before or after the formation of metal gates during MOSFET fabrication.

Подробнее
18-01-2018 дата публикации

ROBOT SYSTEM AND METHOD OF DETECTING DEFORMATION OF END EFFECTOR

Номер: US20180015620A1
Принадлежит: KAWASAKI JUKOGYO KABUSHIKI KAISHA

A robot system includes a robotic arm having a wrist in a tip-end part thereof, the wrist being rotatable on a rotational axis extending in a given direction, an end effector attached to the wrist, and a deformation detecting device configured to detect deformation of the end effector by using a target pin having a target part where a given detection part of the end effector reaches. The target part has an indicate function to indicate that the detection part reaches the target part. The deformation detecting device includes a search part configured to control the robotic arm so that the detection part touches the target pin to search for the target part, and detect that the detection part reaches the target part based on the indicate function, and a deformation detecting part configured to compare an assumed position of the detection part when the detection part reaches the target part with a given reference position, and detect the deformation of the end effector. 1. A robot system , comprising:a robotic arm having a wrist in a tip-end part thereof, the wrist being rotatable on a rotational axis extending in a given direction;an end effector attached to the wrist; anda deformation detecting device configured to detect deformation of the end effector by using a target pin having a target part where a given detection part of the end effector reaches, the target part having an indicate function to indicate that the detection part reaches the target part, and a search part configured to control the robotic arm so that the detection part touches the target pin to search for the target part, and detect that the detection part reaches the target part based on the indicate function; and', 'a deformation detecting part configured to compare an assumed position of the detection part when the detection part reaches the target part with a given reference position, and detect the deformation of the end effector., 'the deformation detecting device including2. The robot system ...

Подробнее
03-02-2022 дата публикации

TRANSFER DETECTION METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20220037176A1
Принадлежит:

Provided is a transfer detection method for use in a substrate processing apparatus including a transfer arm, which has a plurality of substrate holders and is configured to transfer a plurality of substrates to a plurality of stages between a first chamber and a second chamber adjacent to the first chamber by using the plurality of substrate holders, and an optical sensor provided in a vicinity of an opening via which the first and second chambers are in communication with each other, the method including: projecting a light beam having a horizontal optical axis parallel to the opening to a position through which the substrates held by the plurality of substrate holders pass; and determining at least one of a state of the substrates on the substrate holders and a state of the transfer arm, in response to a detection result of the light beam projected from the optical sensor. 18-. (canceled)9. A transfer detection method for use in a substrate processing apparatus including a transfer arm , which has a plurality of substrate holders and is configured to transfer a plurality of substrates to a plurality of stages between a first chamber and a second chamber adjacent to the first chamber by using the plurality of substrate holders , and an optical sensor provided in a vicinity of an opening via which the first and second chambers are in communication with each other , the method comprising:projecting a light beam having a horizontal optical axis parallel to the opening to a position through which the substrates held by the plurality of substrate holders pass; anddetermining at least one of a state of the substrates on the substrate holders and a state of the transfer arm, in response to a detection result of the light beam projected from the optical sensor.10. The transfer detection method of claim 9 , wherein the projecting the light beam includes forming claim 9 , correspondingly to the number of substrate holders claim 9 , light beams claim 9 , each of which has ...

Подробнее
03-02-2022 дата публикации

SEMICONDUCTOR STORAGE APPARATUS WITH INTEGRATED SORTER

Номер: US20220037178A1
Принадлежит:

An apparatus, system and method for storing die carriers and transferring a semiconductor die between the die carriers. A die stocker includes a rack enclosure with an integrated sorting system. The rack enclosure includes storage cells configured to receive and store die carriers having different physical configurations. A transport system transports first and second die carriers between a first plurality of storage cells and a first sorter load port, where the transport system introduces the first and second die carriers to a first sorter. The transport system transports third and fourth die carriers between a second plurality of storage cells and a second sorter load port, where the transport system introduces the third and fourth die carriers to a second sorter. The first and second die carriers have a first physical configuration, and the third and fourth die carriers have a second physical configuration, different than the first physical configuration. 1. A die stocker comprising: a first plurality of storage cells configured to receive and store a first die carrier and a second die carrier having a first physical configuration,', 'a second plurality of storage cells configured to receive and store a third die carrier and a fourth die carrier having a second physical configuration, wherein the first physical configuration of the first die carrier and the second die carrier is different from the second physical configuration of the third die carrier and the fourth die carrier,', 'a load port through which the first die carrier, the second die carrier, the third die carrier and the fourth die carrier are introduced to an interior of the rack enclosure, and', 'a transport system for transporting the first die carrier and the second die carrier between the load port and the first plurality of storage cells within the rack enclosure, and for transporting the third die carrier and the fourth die carrier between the load port and the second plurality of storage cells ...

Подробнее
03-02-2022 дата публикации

TRANSFER SYSTEM AND TRANSFER METHOD

Номер: US20220037183A1
Автор: Zhang Wen
Принадлежит:

A transfer system and a transfer method are provided. The transfer system includes trolley member and a control device. The trolley member moves on a transport rail. The control device is configured to send a first control signal to the trolley member when the trolley member moves to a first preset site. The trolley member grabs a first-type carrier according to the first control signal. The control device is further configured to send a second control signal to the trolley member when the trolley member moves to a second preset site. The trolley member grabs a second-type carrier according to the second control signal. The first-type carrier is configured to carry a semiconductor material, and the second-type carrier is configured to carry a semiconductor material different from the semiconductor material carried by the first-type carrier. 1. A transfer system , comprising: trolley member , a transport rail , and a control device , whereinthe trolley member is configured to move on the transport rail;the control device is configured to send a first control signal to the trolley member when the trolley member moves to a first preset site;a first-type carrier is grabbed by the trolley member according to the first control signal;the control device is further configured to send a second control signal to the trolley member when the trolley member moves to a second preset site;a second-type carrier is grabbed by the trolley member according to the second control signal; andthe first-type carrier is configured to carry a semiconductor material, and the second-type carrier is configured to carry a semiconductor material different from the semiconductor material carried by the first-type carrier.2. The transfer system of claim 1 , wherein the trolley member comprises:a trolley body, provided with a communication interface for receiving the first control signal or the second control signal, the trolley body moving on the transport rail based on the first control signal or ...

Подробнее
18-01-2018 дата публикации

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20180019125A1
Принадлежит:

A method of manufacturing a semiconductor device, the method including supplying a first reactant to inside a processing chamber into which a substrate has been introduced; controlling a flow of a first purge gas and storing the first purge gas, of which flow has been controlled, in a first storage for a given time period; supplying the first purge gas from the first storage to the inside of the processing chamber after supplying the first reactant; and supplying a second reactant to the inside of the processing chamber after supplying the first purge gas. 1. A method of manufacturing a semiconductor device , the method comprising:supplying a first reactant to inside a processing chamber into which a substrate has been introduced;controlling a flow of a first purge gas and storing the first purge gas, of which flow has been controlled, in a first storage for a given time period;supplying the first purge gas from the first storage to the inside of the processing chamber after supplying the first reactant; andsupplying a second reactant to the inside of the processing chamber after supplying the first purge gas.2. The method as claimed in claim 1 , further comprising:controlling a flow of a second purge gas and storing the second purge gas, of which flow has been controlled, in a second storage for a given time period; andsupplying the second purge gas from the second storage to the inside of the processing chamber after supplying the second reactant.3. The method as claimed in claim 2 , further comprising supplying the second purge gas from the second storage prior to supplying the first reactant.4. The method as claimed in claim 2 , wherein the first purge gas and the second purge gas include a same material and are supplied to the inside of the processing chamber after being stored in a same storage.5. The method as claimed in claim 1 , wherein the first purge gas is supplied for a shorter time period than each of the supplying of the first reactant and the ...

Подробнее
17-01-2019 дата публикации

APPARATUS FOR PURGING SEMICONDUCTOR PROCESS CHAMBER SLIT VALVE OPENING

Номер: US20190019696A1
Принадлежит:

A semiconductor processing chamber is provided and may include a wafer transfer passage that extends through a chamber wall and has an inner passage surface defining an opening, an insert including an insert inner surface defining an insert opening, and a gas inlet. A first recessed surface of the wafer transfer passage extending at least partially around and outwardly offset from the inner passage surface, a first insert outer surface extending at least partially around and outwardly offset from the insert inner surface, and a first wall surface extending between the inner passage surface and the first recessed surface, at least partially define a gas distribution channel fluidically connected to the gas inlet, the first recessed surface is separated from the first insert outer surface by a first distance and an insert front surface faces and is separated from the first wall surface by a first gap distance. 1. A semiconductor processing chamber comprising:a chamber wall that at least partially bounds the semiconductor processing chamber;a gas inlet; an inner passage surface that defines an opening perpendicular to the first axis,', 'a first recessed surface that extends at least partially around and is outwardly offset from the inner passage surface when viewed along the first axis, and', 'a first wall surface that extends between the inner passage surface and the first recessed surface; and, 'a wafer transfer passage that extends through the chamber wall along a first axis and that includes an insert inner surface that defines an insert opening perpendicular to the first axis,', 'a first insert outer surface that extends at least partially around and is outwardly offset from the insert inner surface when viewed along the first axis, and', 'an insert front surface that extends between the insert inner surface and the first insert outer surface,, 'an insert that includes the first recessed surface, the first insert outer surface, and the first wall surface at least ...

Подробнее
17-01-2019 дата публикации

CONVEYANCE SYSTEM

Номер: US20190019707A1
Автор: Suzuki Takashi
Принадлежит:

A conveyance system includes a travel rail; and a plurality of vehicles each having a travel part configured to travel on the travel rail in a first direction and a second direction and a transfer part including a holder configured to hold the carrier and an elevator configured to elevate and lower the holder, in which the travel rail is disposed such that each of the vehicles is accessible to a first stop position in which the transfer part is arranged immediately above each of the transfer ports of a first processing device group performing processing of a certain process and such that the vehicle is accessible to a second stop position in which the transfer part is arranged immediately above each of the transfer ports of a second processing device group performing processing of a process different from the certain process from the first stop position. 113-. (canceled)14. A conveyance system conveying a carrier housing a substrate between transfer ports provided respectively to a plurality of processing devices performing processing on the substrate , the conveyance system comprising:a travel rail having a plurality of first rails extending linearly in a first direction and a plurality of second rails extending in a second direction orthogonal to the first direction, the first rails and the second rails being disposed in a grid pattern substantially on a same plane; anda plurality of vehicles each having a travel part configured to travel on the travel rail in the first direction and the second direction and a transfer part including a holder configured to hold the carrier and an elevator configured to elevate and lower the holder, whereinthe travel rail is disposed such that each of the vehicles is accessible to a first stop position in which the transfer part is arranged immediately above each of the transfer ports of a first processing device group performing processing of a selected process and such that the vehicle is accessible to a second stop position in ...

Подробнее
17-04-2014 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20140105709A1
Принадлежит: iZA CORPORATION

Disclosed is a substrate processing apparatus including first and second chambers stacked one above the other; a first opening that is provided in a wall of the first chamber that faces the second chamber, and that allows a substrate to pass through the first opening; a second opening that is provided in a wall of the second chamber that is in communication with the first opening and that allows the substrate to pass through the second opening; an opening and closing member that is provided inside the first chamber so as to move up and down and that opens and closes the first opening; a substrate mounting member that is provided closer to the second chamber than the opening and closing member, and that moves the substrate between the first and second chambers; and a substrate processing member provided in the second chamber. 1. A substrate processing apparatus comprising:a first chamber and a second chamber that are provided stacked one above the other;a first opening that is provided in a first wall of the first chamber that faces the second chamber, and that allows a substrate to pass through the first opening;a second opening that is provided in a wall of the second chamber that faces the first chamber, that is in communication with the first opening, and that allows the substrate to pass through the second opening;an opening and closing member that is provided inside the first chamber so as to move up and down and that opens and closes the first opening;a substrate mounting member that is provided closer to the second chamber than the opening and closing member, that mounts the substrate and that moves the substrate between within the first chamber and within the second chamber; anda substrate processing member that is provided in the second chamber and that processes the substrate.2. The substrate processing apparatus of claim 1 , further comprising:a third opening that is provided in a second wall of the first chamber that faces the first wall of the first ...

Подробнее
16-01-2020 дата публикации

CEILING TRANSPORT VEHICLE SYSTEM AND TEACHING UNIT

Номер: US20200020559A1
Автор: KOBAYASHI Makoto
Принадлежит:

An overhead transport vehicle system includes an overhead transport vehicle to convey an object, and a teaching unit to teach transfer of the object by the overhead transport vehicle to a load port on which the object is to be placed. The teaching unit includes a body including a detector to be brought into contact with a positioning pin on the load port to detect a position of the positioning pin, and a flange movable up and down with respect to the body and to be held by a holder to be raised and lowered by an elevator of the overhead transport vehicle. 16-. (canceled)7. An overhead transport vehicle system comprising:an overhead transport vehicle to convey an object; anda teaching unit to teach transfer of the object by the overhead transport vehicle to a load port on which the object is to be placed; wherein a body including a detector to be brought into contact with a positioning pin disposed on the load port to detect a position of the positioning pin; and', 'a flange movable up and down with respect to the body and to be held by a holder to be raised and lowered by an elevator of the overhead transport vehicle., 'the teaching unit includes8. The overhead transport vehicle system according to claim 7 , further comprising:an operation detector to detect a lifting operation of the body relative to the holder in a state in which the flange is held by the holder; anda controller to recognize, based on a detection result of the operation detector, that the teaching unit has been placed on the positioning pin and the positioning pin is receiving the weight of the teaching unit.9. The overhead transport vehicle system according to claim 8 , wherein the operation detector includes:a detected structure provided to the holder to be movable up and down and to be in contact with the body and ascend relative to the holder in conjunction with an ascent of the body; anda sensor to detect an ascent of the detected structure relative to the holder.10. The overhead transport ...

Подробнее
23-01-2020 дата публикации

AUTOMATED WORKPIECE CONVEYING VEHICLE

Номер: US20200023484A1
Автор: SEKIYA Kazuma
Принадлежит:

An automated workpiece conveying vehicle included in a conveyance system that conveys a workpiece to each of a plurality of processing apparatuses is provided. The automated workpiece conveying vehicle includes: a workpiece support part that supports the workpiece; a traveling mechanism provided on the workpiece support part; a vibration detection unit that detects vibration of the workpiece support part and records the vibration as vibration data; and a receiver that receives a control signal transmitted from a control unit included in the conveyance system, the control signal instructing conveyance of the workpiece to the processing apparatus. 1. An automated workpiece conveying vehicle included in a conveyance system that conveys a workpiece to each of a plurality of processing apparatuses , the automated workpiece conveying vehicle comprising:a workpiece support part that supports the workpiece;a traveling mechanism that is provided on the workpiece support part;a vibration detection unit that detects vibration of the workpiece support part and records the vibration as vibration data; anda receiver that receives a control signal transmitted from a control unit included in the conveyance system, the control signal instructing conveyance of the workpiece to the processing apparatus.2. The automated workpiece conveying vehicle according to claim 1 , whereinthe conveyance system includes a conveyance passage set in a space directly above the processing apparatus across a plurality of the processing apparatuses, and the automated workpiece conveying vehicle detects vibration when traveling on the conveyance passage and records the vibration as the vibration data by the vibration detection unit.3. The automated workpiece conveying vehicle according to claim 2 , further comprising:a camera unit that images an ambient environment or the conveyance passage and records an image picked up.4. The automated workpiece conveying vehicle according to claim 3 , further ...

Подробнее
10-02-2022 дата публикации

Valve for varying flow conductance under vacuum

Номер: US20220042617A1
Принадлежит: Applied Materials Inc

Embodiments described herein relate to a valve for semiconductor processing. The valve includes a valve body having an inlet conduit and an outlet conduit separated by a diaphragm body. The diaphragm body includes a motor, a transmission link coupled to the motor, a rotatable ring surrounding a fixed plate and separated by a dynamic seal, the rotatable ring coupled to the transmission link, and one or more shutter plates movably coupled to the rotatable ring by a respective pivotable fastener, wherein the fixed plate includes an opening and the one or more shutter plates are movable relative to the opening.

Подробнее
26-01-2017 дата публикации

SYSTEM ARCHITECTURE FOR VACUUM PROCESSING

Номер: US20170025300A1
Принадлежит:

A system for processing substrates in plasma chambers, such that all substrates transport and loading/unloading operations are performed in atmospheric environment, but processing is performed in vacuum environment. The substrates are transported throughout the system on carriers. The system's chambers are arranged linearly, such that carriers move from one chamber directly to the next. A conveyor, placed above or below the system's chambers, returns the carriers to the system's entry area after processing is completed. Loading and unloading of substrates may be performed at one side of the system, or loading can be done at the entry side and unloading at the exit side. 1. A system for processing wafers in a vacuum processing chamber , comprising:a plurality of carriers, each carrier comprising a frame having a plurality of openings, each opening configured to accommodate a single wafer;a transport mechanism configured to transport the plurality of carriers through a loading station, to the vacuum processing chamber, and to an unloading station;a return mechanism configured to return empty carriers from the unloading station to the loading station in an atmospheric environment, the return mechanism comprising a first carrier elevator positioned in the unloading station, a second carrier elevator positioned in the loading station, and a conveyor between the first and second carrier elevators;a plurality of susceptors, each susceptor configured for supporting a single wafer;an attachment mechanism for attaching a plurality of susceptors to each of the carriers, wherein each of the susceptors is attached to a corresponding position at an underside of a corresponding carrier, such that a wafer positioned on one of the susceptors is situated within one of the plurality of opening in the carrier;a plurality of masks, each mask attached over front side of one of the plurality of opening in the carrier;an alignment mechanism, configured to align the wafers to the masks;a ...

Подробнее
28-01-2016 дата публикации

Processing systems and methods for halide scavenging

Номер: US20160027673A1
Принадлежит: Applied Materials Inc

Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.

Подробнее
28-01-2016 дата публикации

Substrate Carrier System for Moving Substrates in a Vertical Oven and Method for Processing Substrates

Номер: US20160027677A1
Принадлежит: INFINEON TECHNOLOGIES AG

A substrate carrier system for moving substrates in a vertical oven and a method for processing substrates are disclosed. In some embodiments, a method for oxidizing material or depositing material includes carrying a plurality of substrates by a substrate carrier and inserting the substrate carrier into a vertical oven, wherein the plurality of substrates are held by the substrate carrier in predefined positions, wherein an angle measured between a main surface of a substrate of the plurality of substrates at one of the predefined positions and a vertical direction is less than 20 degrees. The method further includes oxidizing a material on the plurality of substrates or depositing a material onto the plurality of substrates.

Подробнее
25-01-2018 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: US20180025926A1
Принадлежит:

A substrate processing apparatus includes an outer tube including an open bottom portion and a closed top portion, and an inner tube disposed in the outer tube and spaced apart from the outer tube. The inner tube includes a first opening at a top portion of the inner tube, a second opening at a bottom portion of the inner tube, and an inner sidewall including a plurality of exhaust holes on one side of the inner sidewall, the inner sidewall defining the first and second openings. 1. A substrate processing apparatus , the apparatus comprising:an outer tube including an open bottom portion and a closed top portion; andan inner tube disposed in the outer tube and spaced apart from the outer tube, a first opening at a top portion of the inner tube;', 'a second opening at a bottom portion of the inner tube; and', 'an inner sidewall including a plurality of exhaust holes on one side of the inner sidewall, the inner sidewall defining the first and second openings of the inner tube., 'wherein the inner tube comprises2. The apparatus of claim 1 , wherein the exhaust holes are arranged between the second opening and the first opening of the inner tube.3. The apparatus of claim 2 , wherein lowermost ones of the exhaust holes that are adjacent the second opening of the inner tube have a diameter that is greater than a diameter of uppermost ones of the exhaust holes that are adjacent the first opening of the inner tube.4. The apparatus of claim 2 , wherein lowermost ones of the exhaust holes that are adjacent the second opening of the inner tube are spaced apart at an interval that is less than an interval between uppermost ones of the exhaust holes that are adjacent the first opening of the inner tube.5. The apparatus of claim 1 , further comprising a gas exhaust member that is configured to produce a pressure in the outer tube and exhausts a gas from the inner tube through the first opening and the exhaust holes of the inner tube.6. The apparatus of claim 5 , wherein the ...

Подробнее
10-02-2022 дата публикации

CONTAINER AND SUBSTRATE TREATING APPARATUS

Номер: US20220044951A1
Автор: KIM Byung Kyu, SON DUKHYUN
Принадлежит:

Disclosed is a container. The container includes a housing having an interior space, and a support part that supports an expendable component in the interior space, and the support part includes an alignment pin that aligns the expendable component. 1. A container comprising:a housing having an interior space; anda support part configured to support an expendable component in the interior space,wherein the support part includes:an alignment pin configured to align the expendable component.2. The container of claim 1 , wherein the support part further includes:at least one support slot; andan alignment block installed in the support slot to be detachable, and having the alignment pin.3. The container of claim 2 , wherein the support part further includes:at least one guide pin configured to guide a location of the alignment block inserted into the support slot.4. The container of claim 3 , wherein the alignment block has a protrusion or a guide groove that guides insertion of the alignment block at at least any one of surfaces claim 3 , which contacts the support slot.5. The container of claim 4 , wherein at least one of surfaces of the support slot claim 4 , which contacts the alignment block claim 4 , has a shape corresponding to the protrusion or the guide groove.6. The container of claim 2 , wherein any one selected from a first alignment block claim 2 , and a second alignment block claim 2 , of which a location of the alignment pin is different from that of the first alignment block claim 2 , is installed in the support slot.7. The container of claim 1 , wherein the alignment pin is inserted into a groove formed in the expendable component.8. The container of claim 7 , wherein the expendable component has a ring shape.9. The container of claim 1 , wherein the support part supports a substrate type sensor having a notch claim 1 , in the interior space claim 1 , andwherein the container further includes a notch aligning member inserted into the notch and ...

Подробнее
10-02-2022 дата публикации

SUBSTRATE TREATMENT APPARATUS AND TRANSFER SCHEDULE CREATION METHOD

Номер: US20220044953A1
Принадлежит:

A substrate treatment apparatus includes: treatment parts each of which performs a predetermined treatment; and a transfer mechanism which transfers a transfer object. Transfer objects are transferred in a predetermined transfer-in order into the substrate treatment apparatus. The substrate treatment apparatus includes a controller which acquires a process job. The controller determines before starting transfer of one transfer object to the treatment part, when the process job is different between the one transfer object and a preceding transfer object transferred into the substrate treatment apparatus prior to the one transfer object and a same kind of treatment is included in the respective process jobs thereof, a possibility of performing preceding execution of executing the same kind of treatment on the one transfer object previous to completion of the same kind of treatment on the preceding transfer object. 1. A substrate treatment apparatus for treating a substrate , comprising:a plurality of treatment parts each configured to perform a predetermined treatment;a transfer mechanism configured to transfer a transfer object, a plurality of transfer objects being transferred in a predetermined transfer-in order into the substrate treatment apparatus; anda controller configured to acquire a process job for transferring the transfer object by the transfer mechanism to at least one of the treatment parts and performing the treatment on the transfer object, whereinthe controller is configured to determine before starting transfer of one transfer object to the treatment part, when the process job is different between the one transfer object and a preceding transfer object transferred into the substrate treatment apparatus prior to the one transfer object and a same kind of treatment is included in the respective process jobs thereof a possibility of performing preceding execution of executing the same kind of treatment on the one transfer object previous to completion ...

Подробнее
10-02-2022 дата публикации

Integrated tool lift

Номер: US20220044958A1
Принадлежит: Lam Research Corp

Semiconductor processing tools are provided that include an upper support framework, a plurality of semiconductor processing chambers arranged along a first axis, a linear guide system fixedly supported by the upper support framework and extending along a second axis substantially parallel to the first axis, and a carriage. Each chamber has a base portion fixedly mounted relative to the upper support framework and a removable top cover with one or more hoisting features. The carriage includes a hoist arm configured to pivot about a vertical axis that is substantially perpendicular to the second axis, the carriage is configured to movably engage with the linear guide system and translate along the second axis relative to the linear guide system. The carriage and hoist arm are movable such that a hoist feature engagement interface of the hoist arm can be moved engage with hoisting features of any of the removable top covers.

Подробнее
10-02-2022 дата публикации

MAINFRAME-LESS WAFER TRANSFER PLATFORM FOR WAFER PROCESSING MODULES

Номер: US20220044968A1
Принадлежит:

In an embodiment, a semiconductor processing tool for implementing hybrid laser and plasma dicing of a substrate is provided. The semiconductor processing tool comprises a transfer module, where the transfer module comprises a track robot for handling the substrate, and a loadlock attached to the transfer module. In an embodiment, the loadlock comprises a linear transfer system for handling the substrate. In an embodiment, the processing tool further comprises a processing chamber attached to the loadlock, wherein the linear transfer system of the loadlock is configured to insert and remove the substrate from the processing chamber. 1. A semiconductor processing tool for implementing hybrid laser and plasma dicing of a substrate , comprising:a transfer module, wherein the transfer module comprises a track robot for handling the substrate;a loadlock attached to the transfer module, wherein the loadlock comprises a linear transfer system for handling the substrate; anda processing chamber attached to the loadlock, wherein the linear transfer system of the loadlock is configured to insert and remove the substrate from the processing chamber.2. The semiconductor processing tool of claim 1 , wherein the track robot is configured to remove the substrate from a cassette and transfer the substrate to the loadlock.3. The semiconductor processing tool claim 1 , wherein the processing chamber is a plasma etching chamber.4. The semiconductor processing tool of claim 3 , further comprising:a laser module attached to the transfer module, wherein the track robot is configured to transfer the substrate from the laser module to the loadlock.5. The semiconductor processing tool of claim 1 , wherein the substrate is attached to a tape frame.6. The semiconductor processing tool of claim 1 , wherein the linear transfer system is at least partially surrounded by a cover.7. The semiconductor processing tool of claim 1 , wherein the loadlock is capable of supporting a vacuum pressure that ...

Подробнее
23-01-2020 дата публикации

Apparatus and method for treating substrate

Номер: US20200026258A1
Принадлежит: Semes Co Ltd

A substrate treating apparatus includes a process module including a plurality of process units that perform a plurality of steps included in a substrate treating process and that perform the substrate treating process on substrates sequentially placed in the process units based on process recipes for the substrates, a scheduler that controls operations of the process module and the process units included in the process module, a storage that stores transfer paths information of the substrates, and a selection module that selects a process unit to proceed, by a result of feeding back the transfer paths information stored in the storage to the scheduler. The substrate treating apparatus may further include a measuring instrument that measures defect values of the transfer paths information along which the substrates are transferred. The storage may store the defect values measured by the measuring instrument according to the transfer paths information of the substrates.

Подробнее
24-04-2014 дата публикации

Overhead buffer device and wafer transport system

Номер: US20140112740A1
Принадлежит: Inotera Memories Inc

An overhead buffer device used for disposing in a semi-conductor factory includes a strut module and a plurality of buffer modules. The strut module disposed on the top wall of the factory has a horizontal beam and a plurality of overhead strut. The overhead strut is set on the horizontal beam and spaced arranged along the horizontal beam. The buffer modules are installed on the overhead strut respectively. Each buffer module has a plurality of buffers arranged in sequence and along a vertical direction. Each buffer is used for receiving one front opening unified pod (FOUP). Thus, the instant disclosure can be used for using the space of the factory efficiently. Besides, the instant disclosure also provides a wafer transport system having the overhead buffer device.

Подробнее
28-01-2021 дата публикации

WALKING BEAM CHAMBER

Номер: US20210028040A1
Автор: Wirth Paul
Принадлежит:

Disclosed is a device fabrication system comprising a wafer input loadlock, a wafer output loadlock, one or more wafer processing regions, and one or more walking beams for transporting one or more wafers from the wafer input loadlock through the wafer processing regions, and onto the wafer output loadlock. Also disclosed are methods for transporting one or more wafers through the device fabrication system described herein. 1. A device fabrication system comprising: a wafer input loadlock in line with an input row comprising one or more wafer processing regions;', 'a wafer output loadlock in line with an output row comprising one or more wafer processing regions; and', 'one or more walking beams for transporting one or more wafers from the wafer input loadlock, through the wafer processing regions, and onto the wafer output loadlock., 'two or more adjacent rows, wherein the two or more adjacent rows comprise2. (canceled)3. The device fabrication system of claim 1 , wherein the one or more walking beams comprise a first walking beam for transporting one or more wafers through the wafer processing regions in the input row claim 1 , a second walking beam for transporting a wafer from the input row to the output row claim 1 , and a third walking beam for transporting one or more wafers through the wafer processing regions in the output row.4. The device fabrication system of claim 1 , wherein the wafer input loadlock and the wafer output loadlock are positioned laterally on the same plane.5. The device fabrication system of claim 1 , wherein the one or more wafer processing regions are enclosed in a common elongated chamber under a vacuum.6. The device fabrication system of claim 5 , wherein the common elongated chamber is separated from the wafer input loadlock and from the wafer output loadlock with an input slit valve and an output slit valve claim 5 , respectively.7. The device fabrication system of claim 5 , wherein the one or more walking beams are inside the ...

Подробнее
28-01-2021 дата публикации

Micro device transfer apparatus and method

Номер: US20210028047A1
Принадлежит: Samsung Display Co Ltd

A micro device transfer apparatus and a micro device transfer method are provided. The micro device transfer apparatus comprises a stage unit including a stage where a target substrate is to be disposed, a plurality of transfer head units disposed above the stage, and a transfer head unit moving part configured to move the plurality of transfer head units, wherein, the transfer head unit comprises a carrier substrate fastening part configured to fasten a carrier substrate where a plurality of micro devices are disposed, a mask unit disposed above the carrier substrate fastening part, the mask unit comprising a mask including an opening part and a shielding part, a light emitting part disposed on the mask unit, and a housing formed around the carrier substrate fastening part, the mask unit, and the light emitting part.

Подробнее
28-01-2021 дата публикации

ARTICLE TRANSPORTER IN SEMICONDUCTOR FABRICATION

Номер: US20210028049A1

A transporter for transporting an article used in semiconductor fabrication is provided. The transporter includes a robotic arm. The transporter further includes two platens connected to the robotic arm. Each of the two platens an inner surface facing the other, and a number of gas holes are formed on each of the inner surfaces of the two platens. The transporter also includes a gas supplier placed in communication with the gas holes. The gas supplier is used to control the flow of gas through the gas holes. 1. A transporter used for transporting an article in semiconductor fabrication , comprising:a robotic arm;two platens connected to the robotic arm and each having an inner surface facing the other, wherein a plurality of gas holes are formed on each of the inner surfaces of the two platens; anda gas supplier placed in communication with the gas holes and configured to control the flow of gas through the gas holes.2. The transporter as claimed in claim 1 , wherein a first portion of the gas holes extends in a direction that is perpendicular to the inner surface on which they are located claim 1 , and a second portion of the gas holes extends askew relative to the inner surface on which they are located.3. The transporter as claimed in claim 2 , wherein each of the inner surfaces has a first ring-shape region and a second ring-shape region concentrically arranged;wherein the first portion of the gas holes are located in the first ring-shape region, and the second portion of the gas holes are located in the second ring-shape region.4. The transporter as claimed in claim 3 , wherein each of the inner surfaces further has a central region inside the first ring-shape region and the second ring-shape region claim 3 , a third portion of the gas holes are located in the central region claim 3 , and the third portion of the gas holes are controlled independently from the second portion of the gas holes.5. The transporter as claimed in claim 4 , wherein an area of the ...

Подробнее
02-02-2017 дата публикации

SUBSTRATE CARRIER, A METHOD AND A PROCESSING DEVICE

Номер: US20170032992A1
Автор: Hoechbauer Tobias
Принадлежит:

A substrate carrier may include: a carrier plate including a plurality of substrate receiving regions; each substrate receiving region may include at least one first recess portion having a first depth and at least one second recess portion having a second depth, the second depth being greater than the first depth; and a carrier plate mounting structure configured to support the carrier plate. 1. A substrate carrier comprising:a carrier plate comprising a plurality of substrate receiving regions;each substrate receiving region comprising at least one first recess portion having a first depth and at least one second recess portion having a second depth, the second depth being greater than the first depth; anda carrier plate mounting structure configured to support the carrier plate.2. The substrate carrier of claim 1 ,wherein the at least one second recess portion at least partially surrounds the at least one first recess portion.3. The substrate carrier of claim 1 ,wherein the at least one first recess portion at least partially surrounds the at least one second recess portion.4. The substrate carrier of claim 1 ,wherein a difference between the first depth and the second depth is greater than about 50 μm.5. The substrate carrier of claim 1 ,wherein a perimeter shape of each substrate receiving region comprises a curved portion and a non-curved portion.6. The substrate carrier of claim 1 ,wherein a perimeter shape of each substrate receiving region is circular.7. The substrate carrier of claim 1 ,wherein the least one first recess portion comprises a tapered shape.8. The substrate carrier of claim 1 ,wherein the carrier plate comprises a solid material up to a temperature of greater than or equal to 1450° C.9. The substrate carrier of claim 1 ,wherein a surface property of the carrier plate in each substrate receiving region differs from a surface property of the carrier plate outside each substrate receiving region.10. The substrate carrier of claim 1 ,wherein a ...

Подробнее
04-02-2016 дата публикации

INTEGRATED OXIDE RECESS AND FLOATING GATE FIN TRIMMING

Номер: US20160035586A1
Принадлежит:

Methods of etching back shallow trench isolation (STI) dielectric and trimming the exposed floating gate without breaking vacuum are described. The methods include recessing silicon oxide dielectric gapfill to expose vertical sidewalls of polysilicon floating gates. The exposed vertical sidewalls are then isotropically etched to evenly thin the polysilicon floating gates on the same substrate processing mainframe. Both recessing silicon oxide and isotropically etching polysilicon use remotely excited fluorine-containing apparatuses attached to the same mainframe to facilitate performing both operations without an intervening atmospheric exposure. An inter-poly dielectric may then be conformally deposited either on the same mainframe or outside the mainframe. 1. A method of forming a floating gate , the method comprising:transferring a patterned substrate into a substrate processing mainframe, wherein the patterned substrate comprises two polysilicon floating gates separated by shallow trench isolation silicon oxide gapfill dielectric, wherein the two polysilicon floating gates each have vertical sidewalls;transferring the patterned substrate into a first substrate processing chamber mounted on the substrate processing mainframe;flowing a fluorine-containing precursor and a hydrogen-containing precursor into a first remote plasma region within the first substrate processing chamber while striking a plasma to form first plasma effluents from a combination of the fluorine-containing precursor and the hydrogen-containing precursor;flowing the first plasma effluents into a first substrate processing region within the first substrate processing chamber; wherein the first substrate processing region houses the patterned substrate;reacting the first plasma effluents with the shallow trench isolation silicon oxide gapfill dielectric to form solid residue and sublimating the solid residue;transferring the patterned substrate from the first substrate processing chamber to a ...

Подробнее
04-02-2016 дата публикации

Apparatus And Method For Transporting Wafers Between Wafer Carrier And Process Tool Under Vacuum

Номер: US20160035596A1
Автор: Kamiya Tatsuo
Принадлежит:

An integrated transport device for a wafer carrier includes: an evacuatable chamber for accommodating therein a wafer carrier having a front opening with a cover; a rotatable platform for placing the wafer carrier thereon in the chamber; and an opening/closing device for opening and closing the cover of the wafer carrier placed on the platform at a first position, wherein the platform rotates to set the wafer carrier at the first position and a second position for transporting a wafer to a wafer-handling chamber. 1. An integrated transport device for a wafer carrier , comprising:an evacuatable chamber for accommodating therein a wafer carrier having a front opening with a cover, said chamber having a rear opening with a gate valve, said rear opening with the gate valve being adapted to communicate gas-tightly with the interior of a wafer-handling chamber;a platform for placing the wafer carrier thereon in the chamber, said platform being rotatable so as to set the wafer carrier at a first position for opening and closing the cover and at a second position for transporting wafers between the wafer carrier and the wafer-handling chamber; andan opening/closing device for opening and closing the cover of the wafer carrier placed on the platform at the first position, said opening/closing device comprising an internal part provided inside the chamber and an external part provided outside the chamber, said internal part being extendable and retractable for opening and closing the cover, said external part actuating movement of the internal part.2. The integrated transport device according to claim 1 , wherein the wafer carrier is a FOUP (front opening unified pod).3. The integrated transport device according to claim 1 , wherein the evacuatable chamber has a top opening with a lid claim 1 , for loading and unloading the wafer carrier to and from the chamber.4. The integrated transport device according to claim 3 , wherein the lid is operable to open from a closed position ...

Подробнее
04-02-2016 дата публикации

BAKE UNIT, SUBSTRATE TREATING APPARATUS INCLUDING THE UNIT, AND SUBSTRATE TREATING METHOD

Номер: US20160035601A1
Автор: Eum Ki Sang, SEO Jong Seok
Принадлежит:

The inventive concepts relate to a bake unit and a substrate treating apparatus including the same. The bake unit includes a housing, a heating unit located in the housing and including a heating plate heating a substrate, a transfer unit located in the housing and transferring a substrate, and a cooling unit cooling the heating plate or a heated substrate. The transfer unit includes a transfer plate on which a substrate is laid, and the cooling unit is provided to the transfer plate. 1. A bake unit comprising:a housing;a heating unit located in the housing, the heating unit comprising a heating plate heating a substrate;a transfer unit located in the housing, the transfer unit transferring a substrate; anda cooling unit cooling the heating plate or a heated substrate,wherein the transfer unit comprises: a transfer plate on which a substrate is laid, andwherein the cooling unit is provided to the transfer plate.2. The bake unit of claim 1 , wherein the cooling unit includes a cooling fluid path provided within the transfer plate.3. The bake unit of claim 2 , wherein the housing comprises:a first sidewall through which an entrance is formed, a substrate transferred through the entrance; anda second sidewall opposite to the first sidewall,wherein the heating unit is located to be more adjacent to the second sidewall than to the first sidewall.4. The bake unit of claim 3 , wherein the heating unit further comprises:a cover disposed on the heating plate, the cover providing a heating space including the heating plate; andan actuator moving the cover in up and down directions.5. The bake unit of claim 4 , wherein the heating unit further comprises:a lift pin movable through a pin hole, formed in the heating plate, along up and down directions, the lift pin transferring a substrate to the transfer unit.6. The bake unit of claim 5 , wherein the transfer unit further comprises: a driving member transferring the transfer plate to a first position and a second position claim ...

Подробнее
04-02-2016 дата публикации

SEMICONDUCTOR WAFER STOCKER APPARATUS AND WAFER TRANSFERRING METHODS USING THE SAME

Номер: US20160035607A1
Принадлежит:

A semiconductor wafer stocker apparatus includes a body frame, an inlet port to load a wafer shipping box into the body frame, an outlet port to unload the wafer shipping box from the body frame, an automated transfer robot operable to convey the wafer shipping box between the inlet port and the outlet port, and a shelf module within the body frame. The shelf module includes a shelf plate configured to support the wafer shipping box. The shelf plate includes first, second, and third protruding support pins arranged to align with respective grooves in an underside of the wafer shipping box and orient the wafer shipping box with a door thereof facing away from the body frame. The first and second support pins may be closer to the body frame than the third support pin. Related apparatus and methods of operation are also discussed. 1. A semiconductor wafer stocker apparatus , comprising:a body frame;an inlet port to load a wafer shipping box into the body frame;an outlet port to unload the wafer shipping box from the body frame;an automated transfer robot operable to convey the wafer shipping box between the inlet port and the outlet port; anda shelf module within the body frame comprising a shelf plate that is configured to support the wafer shipping box, the shelf plate comprising first, second, and third protruding support pins, wherein the first and second support pins are closer to the body frame than the third support pin.2. The apparatus of claim 1 , wherein the first claim 1 , second claim 1 , and third support pins are arranged to align with respective grooves in an underside of the wafer shipping box and orient the wafer shipping box with a door thereof facing away from the body frame.3. The apparatus of claim 2 , wherein the first claim 2 , second claim 2 , and third support pins are arranged in a triangular configuration in which the first and second support pins are equidistant from the body frame.4. The apparatus of claim 2 , wherein the shelf plate ...

Подробнее
01-02-2018 дата публикации

CARRIER TRANSPORT DEVICE AND CARRIER TRANSPORT METHOD

Номер: US20180033663A1
Принадлежит:

A carrier transport device capable of delivering a carrier to and from an external transport device which transports the carrier is provided. The carrier transport device includes a housing on which the carrier is mountable, first ports provided in the housing and configured to deliver the carrier to and from the external transport device, second ports provided in the housing and provided with a lid opening/closing mechanism, and a transfer machine provided in the housing and configured to transfer the carrier. The first ports, the transfer machine and the second ports are disposed under a transport path of the external transport device. The first ports and the second ports are disposed on both sides of the transfer machine. The second ports are configured at multiple stages. 1. A carrier transport device capable of delivering a carrier to and from an external transport device which transports the carrier , comprising:a housing on which the carrier is mountable;first ports provided in the housing and configured to deliver e carrier to and from the external transport device;second ports provided in the housing and provided with a lid opening/closing mechanism; anda transfer machine provided in the housing and configured to transfer the carrier,wherein the first ports, the transfer machine and the second ports are disposed directly under a transport path of the external transport device,wherein the first ports and the second ports are disposed on both sides of the transfer machine, andwherein the second ports are configured at multiple stages.2. The device of claim 1 , wherein the second ports are disposed to overlap with the first ports in a height direction of the housing in a plan view.3. The device of claim 1 , wherein one of the first ports receives the carrier from the external transport device and the other of the first ports transfers the carrier to the external transport device.4. The device of claim 1 , wherein the transfer machine includes:an opening formed ...

Подробнее
01-02-2018 дата публикации

Atmosphere formation apparatus and floatation conveyance method

Номер: US20180033664A1
Автор: Ryo Shimizu, Takahiro Fuji
Принадлежит: Japan Steel Works Ltd

The invention is an atmosphere formation apparatus that is provided in a floatation conveyance apparatus, the floatation conveyance apparatus conveying a workpiece while performing floating support of the workpiece by gas injection, the atmosphere formation apparatus including a small-range atmosphere formation device that forms a small-range atmosphere B in a large-range atmosphere A, the large-range atmosphere A being an atmosphere in a large-range region containing a conveyance path along which the conveyance is performed, the small-range atmosphere B being an atmosphere in a small-range region containing the conveyance path, the small-range atmosphere B being different from the large-range atmosphere A.

Подробнее
01-02-2018 дата публикации

WAFER BOX, METHOD FOR ARRANGING WAFERS IN A WAFER BOX, WAFER PROTECTION PLATE AND METHOD FOR PROTECTING A WAFER

Номер: US20180033665A1
Принадлежит:

Various embodiments provide a wafer box. The wafer box may include a housing with a receiving space for receiving at least one wafer arranged above a housing base, at least one fixing structure which is connected to the housing base and which extends from the housing base, and at least one fixing device which is fastenable to the at least one fixing structure at a variable distance from the housing base. The fixing device and the fixing structure are designed such that the at least one wafer for arrangement in the receiving space can be fixed in a position by means of the at least one fixing device fastened to the fixing structure. 1. A wafer box , comprising:a housing with a receiving space for receiving at least one wafer arranged above a housing base;at least one fixing structure which is connected to the housing base and which extends from the housing base; andat least one fixing device which is fastenable to the at least one fixing structure at a variable distance from the housing base,wherein the fixing device and the fixing structure are designed such that the at least one wafer for arrangement in the receiving space can be fixed in a position by means of the at least one fixing device fastened to the fixing structure.2. The wafer box of claim 1 ,wherein the at least one fixing device is detachably fastenable to the at least one fixing structure.3. The wafer box of claim 1 ,wherein the at least one fixing device has a clamping device or a screw device.4. The wafer box of claim 1 ,wherein the at least one fixing device is arranged within the receiving space.5. The wafer box of claim 1 ,wherein the at least one fixing device is arranged outside the receiving space.6. The wafer box of claim 1 , further comprising:a closure element with at least one guide opening for receiving the at least one fixing structure, wherein the at least one wafer is fixable in the position indirectly by means of the at least one fixing device and directly by means of the closure ...

Подробнее
05-02-2015 дата публикации

Station arrangement for processing and/or measuring semiconductor wafers, and also processing method

Номер: US20150037122A1

The present invention relates to a station arrangement for processing and/or measuring semiconductor wafers which comprises, as individual modules, at least one loading module, at least one process station for processing the semiconductor wafers and/or at least one measuring station for measuring a variable of the semiconductor wafers, at least one adjustment-/cooling station and also at least one transport robot which is disposed in a transport housing. The transport robot enables the transport of the semiconductor wafers to be processed between the loading module and the respective process station for processing the semiconductor wafers and/or the at least one measuring station for measuring the semiconductor wafers. The invention relates to the particular arrangement of the adjustment-/cooling station within the station arrangement so that as low a spatial requirement of the individual modules within the station arrangement as possible and hence a space-saving construction of the station arrangement results in total.

Подробнее
01-05-2014 дата публикации

Automated Material Handling System for Semiconductor Manufacturing Based on a Combination of Vertical Carousels and Overhead Hoists

Номер: US20140119857A1
Принадлежит: Murata Machinery Ltd

A highly efficient Automated Material Handling System (AMHS) that allows an overhead hoist transport vehicle to load and unload Work-In-Process (WIP) parts directly to/from one or more WIP storage units included in the system. The AMHS includes an overhead hoist transport subsystem and at least one vertical carousel stocker having a plurality of storage bins. The overhead hoist transport subsystem includes an overhead hoist transport vehicle traveling along a suspended track defining a predetermined route, which runs adjacent to the carousel stocker, thereby allowing the overhead hoist transport vehicle to access a WIP part directly from one of the storage bins. At least one of the storage bins includes a movable shelf operative to move laterally from a first position along the carousel path to a second position near the overhead hoist transport vehicle.

Подробнее
05-02-2015 дата публикации

Method And A Device For Depositing A Film Of Material Or Otherwise Processing Or Inspecting, A Substrate As It Passes Through A Vacuum Environment Guided By A Plurality Of Opposing And Balanced Air Bearing Lands And Sealed By Differentially Pumped Grooves And Sealing Lands In A Non-Contact Manner

Номер: US20150037514A1
Автор: Devitt Andrew J.
Принадлежит: NEW WAY MACHINE COMPONENTS, INC.

A method and apparatus for coating and baking and deposition of surfaces on glass substrate or flexible substrate, such as films and thin glass sheets or other similar work pieces as it transitions thru and between small gaps of aero-static or hydro-static porous media bearings and differentially pumped vacuum grooves, in a non-contact manner, in order to process within a vacuum environment. The process is also intended to incorporate simultaneous and immediately sequential ordering of various processes. 1. A method for containing a rigid or flexible substrate while passing the substrate , the method comprising:(a) providing a plurality of vacuum chambers employing differentially pumped grooves, the plurality of vacuum chambers defining a passageway for the substrate; and(b) passing the substrate through the passageway.2. A method for containing a substrate while passing the substrate , the method comprising:(a) providing opposing hydrostatic bearings for constraining the substrate, the bearings providing successive areas of lower pressure via successive grooves and/or chambers by a plurality of holes or orifices, equally disposed upon both sides of said bearings, so that an equal force is applied to the substrate so much so that fragile substrates will not break, the bearings culminating in a substantially lower pressure chamber allowing vacuum processing of the substrate;(b) providing a mirror array in said bearings as the substrate leaves said lower pressure chamber through a series of grooves, or chambers equal is size and number to the first series, so that they are then arrayed to bring the substrate back to ambient temperature and pressure, while also sealing said lower pressure chamber from ingress of air, particles, dirt and or temperature fluctuations; and(c) passing the substrate between the bearings and said grooves and/or chambers.3. The method of claim 2 , wherein step (a) comprises providing a chamber within which a baking method is embodied allowing ...

Подробнее
30-01-2020 дата публикации

Substrate Processing Device and Substrate Conveying Method

Номер: US20200035532A1
Автор: TAKUMA Kouji
Принадлежит:

A substrate processing apparatus according to an embodiment includes a carrier mounting part, a substrate mounting part, a first transfer device, a plurality of processing parts, a second transfer device and a controller. The carrier mounting part mounts a carrier that accommodates a plurality of substrates. The first transfer device transfers the substrates between the carrier and a substrate mounting part. The controller causes the first transfer device to execute a take-out operation for taking out the substrate from the carrier to mount the substrate on the substrate mounting part at time intervals equal to or longer than a time required for the first transfer device to take out the substrate from the carrier to mount the substrate on the substrate mounting part and to take out the substrate from the substrate mounting part to accommodate the substrate in the carrier. 1. A substrate processing apparatus , comprising:a carrier mounting part configured to mount a carrier that accommodates a plurality of substrates;a substrate mounting part capable of mounting the plurality of substrates;a first transfer device configured to transfer the plurality of substrates between the carrier mounted on the carrier mounting part and the substrate mounting part;a plurality of processing parts configured to process the plurality of substrates;a second transfer device configured to transfer the plurality of substrates between the plurality of processing parts and the substrate mounting part; anda controller configured to control the first transfer device, the plurality of processing parts and the second transfer device,wherein the controller causes the first transfer device to execute a take-out operation for taking out the substrate from the carrier to mount the substrate on the substrate mounting part at time intervals equal to or longer than a required time required for the first transfer device to take out the substrate from the carrier to mount the substrate on the substrate ...

Подробнее
04-02-2021 дата публикации

VERTICAL BATCH FURNACE ASSEMBLY

Номер: US20210035824A1
Автор: De Ridder Chris G.M.
Принадлежит:

Vertical batch furnace assembly for processing wafers comprising a cassette handling space, a wafer handling space, and a first wall separating the cassette handling space from the wafer handling space. The first wall has at least one wafer transfer opening in front of which a wafer transfer position for a wafer cassette is provided. The cassette handling space comprises a cassette storage, and a cassette handling mechanism. The cassette storage has a plurality of cassette storage positions and is configured to store a plurality of wafer cassettes. The cassette handling mechanism comprises a first cassette handler which is configured to transfer wafer cassettes between a first set of the cassette storage positions and the wafer transfer position. The cassette handling mechanism is provided with a second cassette handler which is configured to transfer wafer cassettes between a second set of the cassette storage positions and the wafer transfer position. 1. A vertical batch furnace assembly for processing wafers comprising:a cassette handling space;a wafer handling space;a first wall separating the cassette handling space from the wafer handling space and having at least one wafer transfer opening in front of which, at a side of the first wall which is directed to the cassette handling space, a wafer transfer position for a wafer cassette is provided;wherein the cassette handling space comprises:a cassette storage having a plurality of cassette storage positions and configured to store a plurality of wafer cassettes provided with a plurality of wafers; anda cassette handling mechanism comprising a first cassette handler configured to transfer wafer cassettes between a first set of the cassette storage positions and the wafer transfer position, wherein the cassette handling mechanism is provided with a second cassette handler configured to transfer wafer cassettes between a second set of the cassette storage positions and the wafer transfer position.2. The vertical ...

Подробнее
08-02-2018 дата публикации

CASSETTE OPTIMIZED FOR AN INLINE ANNEALING SYSTEM

Номер: US20180040761A1
Автор: Chu Xinsheng, Kang Ming-Du
Принадлежит: SOLARCITY CORPORATION

An apparatus for carrying a plurality of photovoltaic structures is provided. The apparatus can include a pair of end plates, a set of stationary posts coupling together the end plates, and a wafer-locking mechanism that can be engaged when the apparatus changes orientation. At least one stationary post can be shaped like a comb and have a first array of comb teeth for separating the photovoltaic structures. The wafer-locking mechanism can be configured to lock the photovoltaic structures in position, thereby preventing motion-induced damage to the photovoltaic structures. 1. An apparatus for carrying a plurality of photovoltaic structures , comprising:a pair of end plates;a set of stationary posts coupling together the end plates, wherein at least one stationary post is shaped like a comb and has a first array of comb teeth for separating the photovoltaic structures; anda wafer-locking post comprising a second array of comb teeth, wherein an orientation change of the apparatus automatically shifts the second array of comb teeth resulting an offset between a respective tooth in the second array against a corresponding tooth in the first array, wherein the offset results in a locking-position plane, which is defined by contact points of the teeth of the first and second arrays, being different from an initial loading-position plane, and wherein the locking-position plane restrains movement of the photovoltaic structure.2. The apparatus of claim 1 , wherein the orientation change of the apparatus comprises rotating from a vertical orientation to a horizontal orientation.3. The apparatus of claim 1 , wherein the second array of comb teeth and the first array of comb teeth have substantially similar pitches.4. The apparatus of claim 1 , wherein the wafer-locking post is configured to move from an unlocked position to a locked position in response to the orientation change of the apparatus.5. (canceled)6. The apparatus of claim 1 , further comprising a piston coupled to ...

Подробнее
24-02-2022 дата публикации

CONVEYANCE VEHICLE

Номер: US20220059380A1
Автор: WADA Eiji
Принадлежит:

A conveyance vehicle includes a traveling driver, a main body, an up-and-down stage including a gripper to hold an article and capable of moving up and down with respect to the main body, an up-and-down driver to cause the up-and-down stage to move up and down by drawing out and winding up of belts, a lateral mover to cause the up-and-down driver while being cantilevered to protrude laterally of the main body, and an adjuster. In accordance with a laterally moved amount of the up-and-down driver by the lateral mover and a lowered amount of the up-and-down stage by the up-and-down driver, the adjuster performs one or both of adjustment of the laterally moved amount and adjustment of an orientation of a sensor included in the up-and-down driver to deliver the article to the transfer destination and to receive the article from the transfer destination. 16-. (canceled)7: A conveyance vehicle comprising:a traveler to travel along a track;a main body coupled to the traveler to move by traveling of the traveler;an up-and-down stage including a holder to hold an article and capable of moving up and down with respect to the main body;an up-and-down driver to cause the up-and-down stage to move up and down by drawing out and winding up of a flexible hanging-and-holding structure;a lateral mover to cause the up-and-down driver being cantilevered to protrude laterally of the main body; andan adjuster to perform either on or both of adjustment, in accordance with a laterally moved amount of the up-and-down driver by the lateral mover and a lowered amount of the up-and-down stage by the up-and-down driver, of the laterally moved amount and adjustment of an orientation of a sensor included in the up-and-down driver to deliver the article to a transfer destination or to receive the article from the transfer destination.8: The conveyance vehicle according to claim 7 , whereinthe sensor is configured to apply a detection wave having directivity toward a predetermined position; andthe ...

Подробнее
01-05-2014 дата публикации

TRANSFER UNIT, METHOD FOR CONTROLLING THE TRANSFER UNIT, AND APPARATUS AND METHOD FOR TREATING SUBSTRATE USING THE TRANSFER UNIT

Номер: US20140121831A1
Автор: Cho Myungchan, KIM Woosung
Принадлежит:

Provided is a transfer unit. The transfer unit includes a robot transferring a substrate and a controller controlling a speed of the robot according to the number of substrates to be transferred by the robot for a preset period. When the number of substrates to be transferred for the preset period increases, the robot increases in moving speed by the controller. 1. A transfer unit comprising:a robot transferring a substrate; anda controller controlling a speed of the robot according to the number of substrates to be transferred by the robot for a preset period,wherein, the number of substrates to be transferred for the preset period increases, the robot increases in moving speed by the controller.2. The transfer unit of claim 1 , wherein the controller presets the moving speed of the robot to correspond to the number of substrates to be transferred for the preset period claim 1 , and when the number of substrates is inputted claim 1 , the robot moves at the preset moving speed.3. The transfer unit of claim 1 , further comprising a guide rail disposed in one direction claim 1 ,wherein the robot comprises:a mounting board that is linearly movable along the guide rail;a support disposed vertically and coupled to the mounting board;an arm vertically movable along the support, the arm being rotatable about the support and movable forward and backward; anda hand on which the substrate is placed, the hand being coupled to a front end of the arm,wherein the controller controls only one speed of a linear moving speed of the mounting board, a vertical moving speed of the arm, a rotational speed of the arm, and a forward/backward moving speed of the arm so that the one speed increases.4. The transfer unit of claim 3 , wherein the controller controls a moving speed of the component having the longest moving distance in the linear moving speed of the mounting board claim 3 , the vertical moving speed of the arm claim 3 , the rotational speed of the arm claim 3 , and the forward/ ...

Подробнее
06-02-2020 дата публикации

SYSTEMS AND METHODS FOR DIE CONTAINER WAREHOUSING

Номер: US20200043758A1
Принадлежит:

In an embodiment, a system includes: a warehousing apparatus configured to interface with a semiconductor die processing tool configured to process a semiconductor die singulated from a wafer, wherein the semiconductor die processing tool comprise an in-port and an out-port, wherein the warehousing apparatus is configured to: move a first die vessel that contains the semiconductor die to the in-port from a first die vessel container, wherein the first die vessel container is configured to house the first die vessel; move the first die vessel from the in-port to a buffer region; and move a second die vessel from the buffer region to the out-port. 1. A system , comprising: move a first die vessel that contains the semiconductor die to the in-port from a first die vessel container, wherein the first die vessel container is configured to house the first die vessel;', 'move the first die vessel from the in-port to a buffer region; and', 'move a second die vessel from the buffer region to the out-port., 'a warehousing apparatus configured to interface with a semiconductor die processing tool configured to process a semiconductor die singulated from a wafer, wherein the semiconductor die processing tool comprise an in-port and an out-port, wherein the warehousing apparatus is configured to2. The system of claim 1 , wherein the in-port comprises a loaded die vessel in-port and an empty die vessel in-port claim 1 , wherein the warehousing apparatus is configured to move the first die vessel from the empty die vessel in-port to the buffer region.3. The system of claim 1 , wherein the out-port comprises a pass out-port claim 1 , a fail out-port claim 1 , and a reprocess out-port claim 1 , wherein the warehousing apparatus is configured to move the second die vessel from the buffer region to one of the pass out-port claim 1 , the fail out-port claim 1 , and the reprocess out-port.4. The system of claim 1 , wherein the warehousing apparatus is configured to move the second die ...

Подробнее
06-02-2020 дата публикации

METHOD FOR CHARGING GAS INTO CASSETTE POD

Номер: US20200043767A1
Автор: CHEN Hung-Wen, LAI Wei-Yu
Принадлежит:

A method for transporting a cassette pod for containing semiconductor wafers is provided. The method includes transporting a cassette pod configured to receive a semiconductor wafer with a transporting apparatus. The method further includes supplying a gas from a cylinder into a housing of the cassette pod. The cylinder is externally positioned on the housing. The method also includes detecting a gas pressure in the cylinder with a detection element. In addition, the method includes issuing a signal to the transporting apparatus when the gas pressure in the cylinder is lower than a predetermined limit. 1. A method for transporting a cassette pod for containing semiconductor wafers , comprising:supplying a gas from a cylinder into a housing of the cassette pod during transportation of the cassette pod by a transporting apparatus, wherein the cylinder is connected to the housing; andissuing a signal to the transporting apparatus when the gas pressure in the cylinder is lower than a predetermined limit.2. The method as claimed in claim 1 , further comprising:delivering the gas from the cylinder to the housing via a tube externally positioned on the housing; andcontrolling a flow of the gas from the cylinder to the housing with a flow control valve connected to the tube.3. The method as claimed in claim 1 , wherein the gas comprises nitrogen gas.4. The method as claimed in claim 1 , further comprisingprocessing a semiconductor wafer with a processing apparatus;placing the semiconductor wafer processed by the processing apparatus into the housing of the cassette pod; andtransporting the cassette pod from the processing apparatus to a predetermined destination with the transporting apparatus.5. The method as claimed in claim 4 , wherein the gas is supplied to the housing prior to the cassette pod is removed from the processing apparatus.6. The method as claimed in claim 4 , wherein the gas is supplied to the housing no later than the cassette pod is removed from the ...

Подробнее
19-02-2015 дата публикации

SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, SUBSTRATE HOLDING MECHANISM, AND SUBSTRATE HOLDING METHOD

Номер: US20150050863A1
Принадлежит:

An apparatus for processing a substrate is disclosed. The apparatus includes a polishing section configured to polish a substrate, a transfer mechanism configured to transfer the substrate, and a cleaning section configured to clean and dry the polished substrate. The cleaning section has plural cleaning lines for cleaning plural substrates. The plural cleaning lines have plural cleaning modules and plural transfer robots for transferring the substrates. 1. A polishing apparatus comprising:a wafer holder configured to hold a wafer;a top ring comprising a top ring body and a retainer ring;a ring supporter configured to support the retainer ring when the wafer is transferred from the wafer holder to the top ring and/or when the wafer is transferred from the top ring to the wafer holder; anda polishing table configured to polish the wafer transferred to the top ring.2. The apparatus of claim 1 , wherein the wafer is transferred from the wafer holder to the top ring and/or the wafer is transferred from the top ring to the wafer holder while the ring supporter is supporting the retainer ring.3. The apparatus of claim 1 , wherein the top ring body comprises a wafer holding member configured to hold the wafer transferred from the wafer holder claim 1 , the wafer holding member being located inside the retainer ring claim 1 , andwherein the ring supporter moves the retainer ring relative to the wafer holding member when the wafer is transferred from the wafer holder to the top ring and/or when the wafer is transferred from the top ring to the wafer holder.4. The apparatus of further comprising a guide configured to guide the top ring when the top ring and the wafer holder are brought close to each other such that the wafer held by the wafer holder contacts a bottom face of the top ring.5. The apparatus of claim 4 , wherein the top ring and the wafer holder are brought close to each other by moving the top ring and the wafer holder in a vertical direction.6. The apparatus of ...

Подробнее