Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 9699. Отображено 200.
20-01-2016 дата публикации

СПОСОБ ЗАЩИТЫ ОТ ПОДДЕЛОК И КОНТРОЛЯ ПОДЛИННОСТИ ЦЕННЫХ ИЗДЕЛИЙ

Номер: RU2573197C2

Изобретение относится к способам защиты ценных изделий от подделки материальных культурных ценностей, ювелирных изделий, банкнот, кредитных и ценных бумаг, а также для последующего определения их подлинности. Технический результат - повышение надежности защиты. В способе на ценном изделии формируют пассивное защитное средство заданной структуры, обеспечивают возможность контроля его наличия и подлинности. В качестве материала защитного средства используют металл (например, серебро) или полупроводник (например, кремний) с электрохимически обработанной до образования шероховатости наноразмерного уровня поверхностью. При этом в качестве детектируемых информативных признаков защитного средства используют генерацию фотоактивированной путем лазерной подсветки во время электрохимической обработки ее поверхности «гигантской» второй гармоники, а возможность контроля наличия и подлинности защитного средства обеспечивают методом анализа по оптическим эффектам в процессе внешнего воздействия на него ...

Подробнее
09-03-2021 дата публикации

СИСТЕМЫ КВАНТОВОЙ ПАМЯТИ И СИСТЕМЫ КВАНТОВОГО ПОВТОРИТЕЛЯ, СОДЕРЖАЩИЕ ОПТИЧЕСКИЕ ПРИБОРЫ ИЗ ЛЕГИРОВАННОЙ ПОЛИКРИСТАЛЛИЧЕСКОЙ КЕРАМИКИ, И СПОСОБЫ ИХ ПРОИЗВОДСТВА

Номер: RU2744398C2

Изобретение относится к вычислительной технике. Технический результат заключается в повышении эффективности хранения фотона в оптическом приборе. Система квантовой памяти содержит оптический прибор из легированной поликристаллической керамики, блок генерации магнитного поля, генератор фотонов памяти и один или более лазеров накачки, причем оптический прибор из легированной поликристаллической керамики расположен в магнитном поле блока генерации магнитного поля, когда блок генерации магнитного поля генерирует магнитное поле; один или более лазеров накачки оптически связаны с оптическим прибором из легированной поликристаллической керамики; и генератор фотонов памяти оптически связан с оптическим прибором из легированной поликристаллической керамики и конструктивно выполнен с возможностью вывода запутанной пары фотонов памяти, содержащей первый запутанный фотон памяти, запутанный со вторым запутанным фотоном памяти; оптический прибор из легированной поликристаллической керамики легирован ...

Подробнее
01-08-2019 дата публикации

Nanodrahttransistor mit Source und Drain induziert durch elektrische Kontakte mit negativer Schottky-Barrierenhöhe

Номер: DE112017005855T5
Принадлежит: ACORN TECH INC, Acorn Technologies, Inc.

Ein Nanodrahttransistor weist undotierte Source- und Drain-Bereiche auf, die elektrisch mit einem Kanalbereich gekoppelt sind. Ein Source-Stapel, der elektrisch von einem Gate-Leiter isoliert ist, weist eine Grenzflächenschicht und einen Source-Leiter auf und läuft koaxial vollständig um den Source-Bereich, indem er sich entlang mindestens eines Abschnitts des Source-Bereichs erstreckt. Eine Schottky-Barriere zwischen dem Source-Leiter und dem Source-Bereich ist eine negative Schottky-Barriere, und eine Konzentration freier Ladungsträger wird in dem Halbleiter-Source-Bereich induziert.

Подробнее
02-01-2018 дата публикации

Weitere Steigerung der Effektivität von Computern

Номер: DE202017005472U1
Автор:
Принадлежит: HILLER CHRISTOPH, Hiller, Christoph

Die weitere Steigerung der Effektivität von Computer geschieht dadurch das 1. man einen Draht- oder einen Widerstand aus einer Kette von Atomen herstellen kann, Kondensatoren bestehen aus zwei T-förmigen Drähten, Induktivitäten aus „magnetischen” Drähten (z. B. Eisen) 2. man mehrfach RTM's produziert, mit überlappenden Wanden, diese RTM's haben alle dieselbe Gestalt und können alle mit denselben Signalen aufgebaut werden 3. beim Quantencomputer braucht man die Dekohärenz nur solange aufrechtzuerhalten, als die jeweilige Rechnung dauert. Man müsste sie, falls die dann verlorengeht, für weitere Rechnungen wieder herstellen und Zwischenergebnisse „retten”, indem man sie speichert, z. B. mit verschränkten Atomen 4. im „Ruhestand” steht jedes der RTM's auf einer bestimmten Position. Man muss die Information also geschickter Weise so verteilen, dass sie nacheinander an allen diesen Positionen lokalisiert ist und man sie so (teilweise) gewinnen kann, ohne die RTM's zu bewegen. Man sollte die Information ...

Подробнее
26-02-2015 дата публикации

Verfahren zum Herstellung eines Schaltkreis-Arrays

Номер: DE0010250830B4
Принадлежит: QIMONDA AG

Verfahren zum Herstellen eines Schaltkreis-Arrays mit einer Mehrzahl von nebeneinander und/oder übereinander ausgebildeten Feldeffekttransistoren, bei dem eine erste Verdrahtungsebene mit mehreren Leiterbahnen und einer Mehrzahl von ersten Source-/Drain-Bereichen der Feldeffekttransistoren gebildet wird; bei dem die erste Verdrahtungsebene planarisiert wird; bei dem auf der planarisierten ersten Verdrahtungsebene eine erste Isolationsschicht gebildet wird, bei dem die erste Isolatorschicht planarisiert wird; bei dem auf der ersten Isolatorschicht eine Gate-Bereichs-Schicht, welche strukturierte Gate-Bereiche aus elektrisch leitfähigem Material und dazwischen eingebrachtes Isolatormaterial aufweist, gebildet wird; bei dem die Gate-Bereichs-Schicht planarisiert wird; bei dem auf der planarisierten Gate-Bereichs-Schicht eine zweite Isolatorschicht gebildet wird; bei dem die zweite Isolatorschicht planarisiert wird; bei dem durch die planarisierte zweite Isolatorschicht, die Gate-Bereiche und ...

Подробнее
10-09-2009 дата публикации

Tintenstrahlaufzeichnungsmaterial

Номер: DE602007001760D1

Подробнее
15-01-2010 дата публикации

MUD COMPOSITION FOR THE SECONDARY FOREMEN OF A SILICON WAFER

Номер: AT0000455160T
Принадлежит:

Подробнее
15-11-2011 дата публикации

PROCEDURE FOR THE PRODUCTION OF CRYSTALLOGRAPHICALLY ALIGNED CERAMIC(S)

Номер: AT0000532758T
Принадлежит:

Подробнее
15-04-2012 дата публикации

EMBOSSING PROCEDURE FOR THE STRUCTURAL PRODUCTION

Номер: AT0000551631T
Принадлежит:

Подробнее
15-10-1996 дата публикации

LIGHT WITH ANIMAL END OF SEMICONDUCTOR DEVICE AND OVER LATTICE STRUCTURE

Номер: AT0000143176T
Принадлежит:

Подробнее
08-07-2021 дата публикации

SUPERCONDUCTING CIRCUIT STRUCTURE, SUPERCONDUCTING QUANTUM CHIP AND SUPERCONDUCTING QUANTUM COMPUTER

Номер: AU2020230228A1
Принадлежит:

The present application discloses a superconducting circuit structure, a superconducting quantum chip, and a superconducting quantum computer, which are related to a field of quantum computing. The specific implementation includes: a superconducting circuit structure, including: at 5 least three computational qubits; a bus qubit connected to the respective computational qubits, wherein couplings between two of the computational qubits connected by the bus qubit are equivalent; and coupler qubits disposed between the respective computational qubits and the bus qubit, to connect the respective computational qubits to the bus qubits, wherein the coupler qubit is configured to regulate coupling strength between the computational qubit and the bus qubit. Couplings between 0 any two computational qubits may be realized, so that an operation of a quantum gate between any two computational qubits is achieved, while crosstalk between computational qubits may be effectively suppressed. (FIG. 3) computational ...

Подробнее
03-10-2019 дата публикации

Wafer-scale integration of dopant atoms for donor- or acceptor-based spin qubits

Номер: AU2018282484A1
Принадлежит: Spruson & Ferguson

Abstract Embodiments of the present disclosure describe a method of fabricating spin qubit device assemblies that utilize dopant-based spin qubits, i.e. spin qubit devices which operate by including a donor or an acceptor dopant atom in a semiconductor host layer. The method includes, first, providing a pair of gate electrodes over a semiconductor host layer, and then providing a window structure between the first and second gate electrodes, the window structure being a continuous solid material extending between the first and second electrodes and covering the semiconductor host layer except for an opening through which a dopant atom is to be implanted in the semiconductor host layer. By using a defined gate-first process, the method may address the scalability challenges and create a deterministic path for fabricating dopant-based spin qubits in desired locations, promoting wafer-scale integration of dopant-based spin qubit devices for use in quantum computing devices.

Подробнее
06-02-2020 дата публикации

TRAINING QUANTUM EVOLUTIONS USING SUBLOGICAL CONTROLS

Номер: AU2019283845A1

Abstract Methods, systems and apparatus for accessing quantum hardware. In one aspect the method includes a quantum system comprising one or more multi-level quantum subsystems; one or more control devices that operate on the one or more multi-level quantum subsystems; adjusting one or more control parameters of the one or more control devices that form a variational ansatz to define an initial ansatz wavefunction for the quantum system, wherein the one or more control parameters each relate to a physical control parameter; obtaining one or more quantum system observables and one or more target quantum states; and iteratively training, within the variational ansatz and until an occurrence of a completion event, evolutions of the initial ansatz wavefunction and subsequent quantum states of the quantum system to realize the one or more target quantum states, wherein the evolutions are analog evolutions.

Подробнее
24-09-2020 дата публикации

Nuclear spin quantum processing element and method of operation thereof

Номер: AU2019227083A1
Принадлежит: Allens Patent & Trade Mark Attorneys

The present disclosure is directed a quantum processing element comprising: a semiconductor and a dielectric material forming an interface with the semiconductor; a dopant atom with nuclear spin of quantum number larger than 1/2 embedded in the semiconductor at a distance from the interface, at least one conductive electrode disposed in a manner such that there is at least a portion of dielectric material between the at least one conductive electrode and the dopant atom. The disclosure is also directed to a method of operating the quantum processing element comprising the steps of: applying a magnetic field to the dopant atom to separate the energies of the spin states associated with the nucleus of the dopant atom; applying a voltage to the at least one conductive electrode to generate an electric field gradient at a nucleus of the dopant atom; and encoding quantum information in the nuclear spin of the nucleus via the applied voltage.

Подробнее
26-11-2015 дата публикации

Transparent photovoltaic cells

Номер: AU2012209126B2
Принадлежит:

A transparent photovoltaic cell and method of making are disclosed. The photovoltaic cell may include a transparent substrate and a first active material overlying the substrate. The first active material may have a first absorption peak at a wavelength greater than about 650 nanometers. A second active material is disposed overlying the substrate, the second active material having a second absorption peak at a wavelength outside of the visible light spectrum. The photovoltaic cell may also include a transparent cathode and a transparent anode.

Подробнее
24-03-2016 дата публикации

Amorphous material and the use thereof

Номер: AU2014317208A1
Принадлежит:

The present invention relates to a new amorphous material with advantageous properties as charge transport material and/or absorber material for various applications, in particular in photoelectric conversion devices, i.e. an amorphous material of the composition (R ...

Подробнее
06-04-2017 дата публикации

Optical addressing of individual targets in solids

Номер: AU2013360022B2
Принадлежит: FB Rice

This disclosure concerns photonics and in particular the addressing of individual targets in solids. In aspect one there is provided a device comprising a solid substrate with one or more atomic scale targets in the substrate. A laser light is focused on a region of the substrate that contains a single target to selectively cause photoionization of the target. A charge sensor with sub-electron charge sensitivity is focussed on measuring the charge in the region of the substrate that contains a single target. In use, the device operates such that the laser light is turned on to cause photoionization of the target, and the charge sensor detects the change in charge in the region of the substrate that contains the single target. In another aspect is the method for optically investigating individual nuclear spin states of single atoms by investigating both the Zeeman effect and the hyperfine interaction of the single atoms.

Подробнее
19-10-2017 дата публикации

Cognitive memory graph indexing, storage and retrieval

Номер: AU2016235087A2

The present disclosure provides a fast approximate as well as exact hierarchical network storage and retrieval system and method for encoding and indexing graphs or networks as well as for identifying substructure matches or analogs within graph data. Cognitive Memory encodes graphs via generalized combinatorial maps and a new quantum- inspired Q-Hashing algorithm to summarize local structures of the graph along with a contraction and graph property calculation to build an index data structure called the Cognitive Signature for property based, analog based or structure or sub-stmcture based search. The system and method of the present invention is ideally suited to store and index all or parts or substructures or analogs of graphs as well as dynamically changing graphs such as traffic graphs or flows and motion picture sequences of graphs. The system and method has the advantage that properties of the Cognitive Signature of the graph can be used in correlations to the properties of the ...

Подробнее
12-01-2017 дата публикации

Advanced processing apparatus

Номер: AU2014396790A1
Принадлежит: Griffith Hack

A processing element for an advanced processing apparatus. The processing element comprises a silicon-insulator interface and a confining arrangement for confining one or more quantum dots in the semiconductor. The processing element has also a control arrangement for controlling a quantum property of the one or more quantum dots and operate the one or more quantum dots as a qubit to perform quantum processing.

Подробнее
05-08-2008 дата публикации

POLISHING COMPOSITION

Номер: CA0002407800C
Принадлежит: NISSAN CHEMICAL INDUSTRIES, LTD.

For aluminum disks and glass-made hard disks, those disks having a mean waviness of less than 3 A are being desired in order to increase the density of memory capacity. The present invention provides polishing compositions that can give smoothly polished surfaces for the disks. The polishing compositions are polishing compositions for aluminum disks or substrates having silica on the surface thereof, which contain colloidal silica particle groups having different particle size distributions and have a SiO2 concentration of 0.5 to 50% by weight.

Подробнее
01-10-2020 дата публикации

ERROR CORRECTION WHILE MAINTAINING BOSONIC NATURE OF THE SYSTEM

Номер: CA3134827A1
Принадлежит:

Systems and methods for performing bosonic quantum error correction (QEC) using Gottesman-Kitaev-Preskill (GKP) states are provided. An ancilla quantum mechanical oscillator is used to probe Gaussian noise experienced by a data quantum mechanical oscillator without disturbing the state of the data quantum mechanical oscillator. The ancilla quantum mechanical oscillator is initialized with a GKP state and entangled with the state of a data quantum mechanical oscillator to correlate any noise experienced by the data state with the state of the ancilla quantum mechanical oscillator. The states are then disentangled, and momentum and position quadrature operators of the ancilla quantum mechanical oscillator are measured and used to perform QEC on the information stored in the data quantum mechanical oscillator.

Подробнее
01-10-2020 дата публикации

QUBIT HARDWARE FOR ELECTRONS ON HELIUM

Номер: CA3135371A1
Принадлежит:

Disclosed is a system and a method to use the system that includes a substrate to support a film of liquid helium and an electron subsystem confined by image forces in a direction perpendicular to the surface of the film, a side gate to electrostatically define a boundary of the electron subsystem, a trap gate to electrostatically define an electron trap located outside the boundary of the electron subsystem, and a load gate to selectively open and close access from the electron subsystem to the electron trap, wherein to open access to the electron trap is to apply a first load gate voltage to the load gate to allow the electrons to access the electron trap, and wherein to close access to the electron trap is to apply a second load gate voltage to the load gate to prevent the electrons from accessing the electron trap.

Подробнее
16-04-2002 дата публикации

CARBONACEOUS MATERIAL, POLARIZABLE ELECTRODE FOR ELECTRICAL DOUBLE-LAYER CAPACITOR, AND ELECTRICAL DOUBLE-LAYER CAPACITOR

Номер: CA0002358776A1
Принадлежит:

A carbonaceous material having a pore size distribution, as determined from a nitrogen adsorption isotherm, in which pores with a radius of up to 10 .ANG. account for at most 70% of the total pore volume, and having a specific surface area, as measured by the nitrogen adsorption BET method, of 1-500 m2/g is optimized for the penetration of non-aqueous electrolyte solution to the interior thereof and the surface adsorption of ionic molecules so as to form an electrical double layer thereon. Electrical double-layer capacitors assembled using polarizable electrodes made with the carbonaceous material have a high voltage, a high energy density, a high capacitance, a long cycle life, and are amenable to miniaturization.

Подробнее
13-04-2021 дата публикации

QUANTUM ANNEALING WITH OSCILLATING FIELDS

Номер: CA3080318C
Автор: KAPIT ELIOT, KAPIT, ELIOT

Embodiments herein implement quantum annealing with a driver Hamiltonian that uses oscillating fields to advantageously obtain a quantum speedup over classical computing techniques. For a many-body quantum system formed with qubits, the oscillating fields drive the qubits so as to independently modulate the magnitudes and/or directions of transverse terms of the driver Hamiltonian. In particular, embodiments provide a quantum speedup for two types of first-order phase transitions: the paramagnet-to-spin-glass transition, and transitions between distinct "bit string" states. The resulting speedup is robust against energy fluctuations e.g., 1/.function. noise), in contrast to other strategies like variable-rate annealing. Each oscillating field may be an oscillating electric field or magnetic field. The oscillating fields can be implemented with superconducting flux qubits by coupling oscillating fluxes and/or voltages to the flux qubits.

Подробнее
28-05-2020 дата публикации

HYBRID QUANTUM-CLASSICAL COMPUTER FOR PACKING BITS INTO QUBITS FOR QUANTUM OPTIMIZATION ALGORITHMS

Номер: CA3117223A1
Принадлежит:

A hybrid quantum classical (HQC) computer, which includes both a classical computer component and a quantum computer component, implements improvements to the quantum approximate optimization algorithm (QAOA) which enable QAOA to be applied to valuable problem instances (e.g., those including several thousand or more qubits) using near-term quantum computers.

Подробнее
16-04-2020 дата публикации

QUANTUM COMPUTER WITH IMPROVED CONTINUOUS QUANTUM GENERATOR

Номер: CA3112594A1
Принадлежит:

A hybrid quantum classical (HQC) computer which includes both a classical computer component and a quantum computer component performs generative learning on continuous data distributions. The HQC computer is capable of being implemented using existing and near-term quantum computer components having relatively low circuit depth.

Подробнее
18-05-2017 дата публикации

SYSTEM AND METHOD FOR QUBIT READOUT

Номер: CA0002996620A1
Автор: NAAMAN, OFER, NAAMAN OFER
Принадлежит:

A system is provided for multiplexed readout of qubits. The system comprises a plurality of bandpass (BP) filter resonant sections that are each coupled to a different respective point on a read line, wherein each BP filter resonant section is coupleable to a respective qubit through a respective qubit readout resonator. The system further comprises a plurality of tunable couplers, wherein each tunable coupler is coupled between a respective BP filter resonant section and a qubit readout resonator, and a coupling controller that controls the coupling strength of each qubit to the read line by controlling the impedance of each tunable coupler of the plurality of tunable couplers.

Подробнее
17-05-2018 дата публикации

GENERALIZED QUANTUM CHANNELS

Номер: CA0003043201A1
Принадлежит: BERESKIN & PARR LLP/S.E.N.C.R.L.,S.R.L.

According to some aspects, a quantum information system is provided that includes an ancilla qubit; a qudit coupled to the ancilla qubit, a detector configured to generate a detection result based on a quantum state of the ancilla qubit, and a driving source coupled to the qudit and the ancilla qubit and configured to apply at least one qudit driving signal to the qudit based on the detection result and at least one qubit driving signal to the qudit based on the detection result.

Подробнее
23-02-2017 дата публикации

FABRICATION OF NANOSTRUCTURED SUBSTRATES COMPRISING A PLURALITY OF NANOSTRUCTURE GRADIENTS ON A SINGLE SUBSTRATE

Номер: CA0002994503A1
Принадлежит:

The invention relates to a method for producing a nanostructured substrate comprising an array of protruding nanostructures, which method comprises at least the following steps: a) providing a primary substrate; b) depositing at least one layer of a material capable to be removed by means of reactive ion etching (RIE) onto said primary substrate which layer comprises a predetermined gradient of its thickness; c) depositing a nanostructured etching mask onto the graded layer deposited in step b); d) generating protruding structures, in particular nanopillars, in the graded layer deposited in step b) by means of reactive ion etching (RIE), wherein simultaneously at least 2, preferably 3, predetermined continuous gradients of geometric parameters of the protruding structures are generated on the same substrate- More specifically, the geometric parameters are selected from the group comprising the height, diameter and spacing - of the protruding nanostructures. A further aspect of the invention ...

Подробнее
17-07-2018 дата публикации

EFFICIENT RESOURCE STATE DISTILLATION

Номер: CA0002954864C

A system for generating at least one high fidelity magic state for a set of Clifford gates comprises a plurality of physical qubits each storing a relatively low fidelity state equivalent to | e i.pi./4> ; a decoder comprising: a first plurality of quantum gates that decode the states stored in the physical qubits to provide a first subset of the plurality of physical qubits corresponding to Z-type stabilizer generators according to a quantum code derived from a punctured error correction code having a first set of codewords with a weight of zero mod 8 and a second set of codewords with a weight of 7 mod 8; and a first plurality of measurement assemblies for measuring the first subset of the plurality of physical qubits that correspond to Z-type stabilizer generators to provide a first set of measurement values; and a correction component comprising: a second plurality of quantum gates, each configured to conditionally apply an associated operation to a state stored in at least one physical ...

Подробнее
07-01-2016 дата публикации

A SEMICONDUCTOR JOSEPHSON JUNCTION AND A TRANSMON QUBIT RELATED THERETO

Номер: CA0002953185A1
Принадлежит:

The present disclosure relates to semiconductor based Josephson junctions and their applications within the field of quantum computing, in particular a tuneable Josephson junction device has been used to construct a gateable transmon qubit. One embodiment relates to a Josephson junction comprising an elongated hybrid nanostructure comprising superconductor (Al) and semiconductor (InAs) materials and a weak link, wherein the semiconductor weak link is formed by a semiconductor segment of the elongated hybrid nanostructure where the superconductor material has been removed.

Подробнее
20-10-2016 дата публикации

WIRELESS JOSEPHSON PARAMETRIC CONVERTER

Номер: CA0002981493A1
Принадлежит:

A wireless Josephson-junction-based parametric converter is described. The converter may be formed on a substrate with antennas that are configured to wirelessly receive pump, signal and idler frequencies and couple the received frequencies to the converter's circuitry. Capacitors may also be fabricated on the same substrate and sized to tune operation of the converter to desired frequencies. The converter may be coupled directly to microwave waveguides, and may be tuned to different signal frequencies by applying magnetic flux to the converter circuitry.

Подробнее
29-06-1995 дата публикации

Method for Fabricating Semiconductor Light Integrated Circuit

Номер: CA0002139140A1
Принадлежит:

Подробнее
10-05-2018 дата публикации

Номер: UA0000125506U
Автор:
Принадлежит:

Подробнее
18-01-2019 дата публикации

LIGHTING APPARATUS USING ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THEREOF

Номер: CN0109244266A
Принадлежит:

Подробнее
13-02-2013 дата публикации

Nanowire memristor and manufacture method thereof

Номер: CN102931344A
Принадлежит:

The invention discloses a nanowire memristor and a manufacture method thereof. The nanowire memristor comprises an upper electrode, a lower electrode, and a memory storage layer arranged between the upper electrode and the lower electrode. The manufacture method of the nanowire memristor comprises the following steps of: providing an insulation substrate; forming the lower electrode on the insulation substrate; synthesizing a nanowire on the lower electrode to be used as the memory storage layer; and forming the upper electrode on the nanowire memory storage layer. The memory storage layer comprises a single nanowire or nanowire array. The nanowire memristor disclosed by the invention is small in size, can realize more integration, and has a simple structure and is simple in preparation process.

Подробнее
27-03-2018 дата публикации

Advanced processing apparatus comprising a plurality of quantum processing elements

Номер: CN0107851645A
Принадлежит:

Подробнее
14-01-2015 дата публикации

PATTERN FORMATION METHOD, LITHOGRAPHY APPARATUS, LITHOGRAPHY SYSTEM, AND ARTICLE MANUFACTURING METHOD

Номер: CN104281002A
Автор: HIROSHI SATO
Принадлежит:

The invention provides a pattern formation method, a lithography apparatus, a lithography system, and an article manufacturing method.The pattern forming method includes: a first step of forming a first pattern to define a first shot arrangement; and a second step of performing an imprint process, thereby forming a second pattern on the imprint material on the first pattern and defining a second shot arrangement. In the second step, the second shot arrangement is defined so as to reduce an overlay error between the first and second shot arrangements by deforming the mold. In the first step, based on information of the estimated second shot arrangement definable on the substrate when the second step is performed after the second pattern formed on the mold is amended by deforming the mold, the first pattern is formed to make an overlay error between the first and second shot arrangements fall within an allowable range.

Подробнее
25-08-2020 дата публикации

Nanowire transistor element

Номер: CN0111584625A
Автор:
Принадлежит:

Подробнее
25-02-2020 дата публикации

Surface plasmon enhanced InGaN/GaN multi-quantum well photoelectrode and production method thereof

Номер: CN0110835766A
Автор: XIE ZILI, SANG YIMENG, LIU BIN
Принадлежит:

Подробнее
17-11-2017 дата публикации

High voltage shielding and cooling for charged particle beam geneartor

Номер: CN0107359101A
Принадлежит:

Подробнее
12-09-2012 дата публикации

Preparation method of silicon-on-insulator (SOI)-based rear-grid accumulation-mode silicon nanowire field-effect transistor (Si-NWFET)

Номер: CN102664169A
Автор: Huang Xiaolu
Принадлежит:

The invention discloses a preparation method of a silicon-on-insulator (SOI)-based rear-grid accumulation-mode silicon nanowire field-effect transistor (Si-NWFET). The method comprises the following steps of etching a silicon layer and a silicon-germanium layer formed on an SOI substrate to form a fin-shaped active region; forming a silicon nanowire in the fin-shaped active region; forming a trench isolation medium layer and injecting ions in a source-drain region; forming a grid electrode and an accumulated-type PMOSFET through gold half-alloy technique; precipitating an interlayer isolation medium layer, and forming an accumulated nano metal-oxide-semiconductor field-effect transistor (NMOSFET) on the interlayer isolation medium layer. On the basis of the SOI substrate, the grid electrode can be well isolated to the silicon lining layer in the PMOSFET; an upper layer and a lower layer of semiconductor nanowire MOSFET are isolated by the interlayer isolation medium layer, so that the layer ...

Подробнее
28-12-2018 дата публикации

Nanobelt-based transistor and preparation method thereof

Номер: CN0109103264A
Принадлежит:

Подробнее
16-01-2013 дата публикации

Method for improving electrical interconnection characteristic of carbon nano tube

Номер: CN102881651A
Принадлежит:

The invention provides a method for improving the electrical interconnection characteristic of a carbon nano tube. The problem of overlarge interconnection resistance brought by low space occupancy of the carbon nano tube is solved through a through hole filling technology. The method mainly comprises the following steps: the carbon nano tube is firstly grown in a prefabricated through hole, then a layer of photoresist is coated in a rotating mode on the whole surface, and high-temperature hardening treatment is carried out on the photoresist; then the whole structure is polished by a chemical mechanical polishing method, the surplus photoresist is removed with an organic solvent after polishing, metal is filled in the through hole, and chemical mechanical polishing is carried out again after filling; and finally an electrode is arranged on the top of the carbon nano tube to realize metallization contact. The method disclosed by the invention has the advantages that a method for fixing ...

Подробнее
29-01-2014 дата публикации

Tellurium-based ternary heterogeneous nanowire and preparation method thereof

Номер: CN103540976A
Принадлежит:

The invention discloses a tellurium-based ternary heterogeneous nanowire and a preparation method thereof. The preparation method comprises the following steps: firstly forming an electric conduction layer at one end of a template through evaporation and sputtering, then depositing binary alloy at a constant potential and In-Sb-Te ternary alloy at differential pulse, and finally removing the template, thus obtaining the required nanowire with the heterogeneous structure. Due to an adopted distribution electro-deposition method, the prepared nanowire comprises a ternary part and a binary part. The preparation method can be used for overcoming the defects of the prior art and producing the In-Sb-Te heterogeneous nanowires in large quantities, is low in cost and energy consumption and simple in process and has the advantages of low synthesis temperature, uniform size, simple instruments and equipment, simplicity in operation and the like.

Подробнее
26-03-2014 дата публикации

Graphene field effect transistor and preparation method thereof

Номер: CN102623508B
Принадлежит:

Подробнее
21-12-2016 дата публикации

A island moves the type list preparation method of electronic transistor

Номер: CN0103531466B
Автор:
Принадлежит:

Подробнее
13-01-2016 дата публикации

Nano-structure on the substrate and the controllable growth of the electron-emitting devices based on the

Номер: CN0102709132B
Автор:
Принадлежит:

Подробнее
17-11-2016 дата публикации

EUV 파장 범위용 미러, 이러한 미러를 포함하는 마이크로리소그래피용 대물부, 및 이러한 투영 대물부를 포함하는 마이크로리소그래피용 투영 노광 장치

Номер: KR0101677309B1
Принадлежит: 칼 짜이스 에스엠테 게엠베하

... 본 발명은, 기판(S)과 층 배열체를 포함하는 EUV 파장 범위용 미러(1a; 1b; 1c)에 있어서, 상기 층 배열체는 개별 층들의 적어도 2개의 주기(P2, P3)의 주기적 연속체로 각각 구성되는 복수의 층 서브시스템들(P'', P''')을 포함하고, 상기 주기(P2, P3)는 고 굴절률 층(H'', H''')과 저 굴절률 층(L'', L''')에 대해 다른 재료들로 이루어지는 2개의 개별 층들을 포함하고 각각의 층 서브시스템(P'', P''') 내에 인접한 층 서브시스템의 주기의 두께로부터 어긋나는 일정한 두께(d2, d3)를 가지고, 상기 기판(S)으로부터 가장 멀리 있는 상기 층 서브시스템(P''')의 첫째 고 굴절률 층(H''')이 상기 기판(S)으로부터 둘째로 가장 멀리 있는 상기 층 서브시스템(P'')의 마지막 고 굴절률 층(H'')과 직접 연속되도록, 및/또는 상기 기판(S)으로부터 가장 멀리 있는 상기 층 서브시스템(P''')이 상기 기판(S)으로부터 둘째로 가장 멀리 있는 상기 층 서브 시스템(P'')용의 주기(P2)의 개수(N2)보다 큰 주기(P3)의 개수(N3)를 갖도록, 상기 기판(S)으로부터 둘째로 가장 멀리 있는 층 서브시스템(P'')은 일련의 주기(P2)를 갖는 것을 특징으로 하는 EUV 파장 범위용 미러(1a; 1b; 1c)에 관한 것이다. 본 발명은 또한 이러한 미러(1a; 1b; 1c)를 포함하는 마이크로리소그래피용 투영 대물부, 이러한 투영 대물부를 포함하는 마이크로리소그래피용 투영 노광 장치에 관한 것이다.

Подробнее
04-03-2011 дата публикации

NANOCRYSTAL FORMATION

Номер: KR0101019875B1
Автор:
Принадлежит:

Подробнее
02-10-2015 дата публикации

Crystalline chromium alloy deposit

Номер: KR0101557481B1

... 증착된 나노입자인 전기 증착된 결정질 기능성 크롬 증착물 및 상기 증착물이 TEM 및 XRD 모두 결정질이거나 EH는 TEM 결정질 및 XRD 비정질일 수 있다. 다양한 구현예로서, 상기 증착물은 크롬, 탄소, 질소, 산소 및 황의 합금; {111} 우선 배향; 약 500nm2 미만의 평균 결정 입자 단면적; 및 2.8895 +/- 0.0025Å의 격자파라미터의 하나 또는 2 이상의 조합을 포함한다. 3가 크롬, 2가 황의 공급원, 카르복실산, 질소의 공급원을 포함하며, 실질적으로 6가 크롬이 없는 전기 증착 욕을 제공하는 단계; 상기 욕에 기재를 침지하는 단계; 및 상기 기재 상에 증착물을 전기 증착하기 위해 전기 전류를 가하는 단계를 포함하는 기재상에 나노입자 결정질 기능성 크롬 증착물전기 증착을 위한 전기 증착 욕 및 공정.

Подробнее
06-07-2017 дата публикации

지지 및 위치 결정 구조체, 위치 결정을 위한 반도체 장비 시스템 및 방법

Номер: KR0101755059B1

... 본 발명은 타겟을 테이블 상에 지지 및 위치 결정하기 위한 지지 및 위치 결정 구조체가 마련된 대전 입자 시스템에 관한 것으로서, 상기 지지 및 위치 결정 구조체는 제1 부재, 제2 부재, 및 상기 제1 부재를 제2 부재에 대해 이동시키는 적어도 하나의 모터를 포함하고, 상기 적어도 하나의 모터에 의해 발생되는 전자기장으로부터 적어도 하나의 대전 입자 빔을 차폐하도록 차폐부가 존재하며, 상기 지지 및 위치 결정 구조체는 상기 제1 부재, 테이블 및 타겟의 중량을 적어도 부분적으로 지탱하도록 상기 제1 부재와 제2 부재를 기계적으로 연결하는 스프링을 더 포함한다.

Подробнее
26-03-2018 дата публикации

임프린트 방법, 임프린트 장치 및 물품 제조 방법

Номер: KR0101842394B1
Принадлежит: 캐논 가부시끼가이샤

... 본 발명의 임프린트 방법은, 그 상에 형성되는 기판 상의 마크를 포함하는 패턴을 갖는 기판 상에 임프린트 재료를 공급하는 단계, 임프린트 재료와 몰드 상의 마크를 포함하는 패턴을 갖는 몰드를 접촉시키는 단계, 몰드가 그와 접촉된 상태에서 임프린트 재료를 경화시키는 단계 그리고 임프린트 재료 상에 마크를 포함하는 패턴을 형성하는 단계를 포함하고, 임프린트 재료 상의 마크의 상 그리고 기판 상의 마크의 상을 형성하도록 구성되는 광학 시스템을 사용하여, 몰드 상의 마크가 광학 시스템의 초점 심도로부터 벗어나 위치될 때까지 기판과 몰드 사이의 공간이 증가된 후에 임프린트 재료 상의 마크 그리고 기판 상의 마크를 검출하고, 그에 의해 기판 상의 패턴 그리고 임프린트 재료 상의 패턴 사이의 상대 위치 편차를 얻는 것을 특징으로 한다.

Подробнее
04-03-2019 дата публикации

Номер: KR0101953632B1
Автор:
Принадлежит:

Подробнее
22-01-2020 дата публикации

ARRANGEMENT AND METHOD FOR TRANSPORTING RADICALS

Номер: KR0102069183B1
Автор:
Принадлежит:

Подробнее
25-01-2012 дата публикации

METAL-BASE NANOWIRE TRANSISTOR

Номер: KR0101106913B1
Автор:
Принадлежит:

Подробнее
02-09-2020 дата публикации

Hardware efficient fault tolerant operation using superconducting circuits

Номер: KR1020200103786A
Автор:
Принадлежит:

Подробнее
12-05-2016 дата публикации

양자 분자 염기서열결정 (qm-SEQ): DNA, RNA, 그리고 단일 뉴클레오티드 변형에 대한 독특한 나노전자 터널링 분광법 지문의 확인

Номер: KR1020160052557A
Принадлежит:

... 자연과 합성, 그리고 변형되고 변형되지 않은 DNA, RNA, PNA, DNA/RNA 뉴클레오티드를 확인하고 염기서열결정하는데 유용한 기술, 방법, 장치, 그리고 조성물이 개시된다. 개시된 기술, 방법, 장치, 그리고 조성물은 QM-Seq으로서 지칭될 수 있는 나노전자 양자 터널링 분광법을 이용하여, 다양한 변형, DNA/RNA 손상, 그리고 뉴클레오티드 구조를 확인하는데 유용하다. 이들 방법과 조성물은 단일 가닥 뉴클레오티드 및 폴리뉴클레오티드 거대분자의 침적을 위해 하전된, 부드러운 기질을 이용하고, 변형된 또는 변형되지 않은 DNA/RNA/PNA를 주사하고, 자연과 합성, 변형되고 변형되지 않은 핵염기, 그리고 동일한 또는 유사한 조건 하에, 예를 들면, 핵염기가 산성 환경에 있을 경우에 획득된 이차/삼차 구조를 비롯한 공지된 핵염기의 전자 지문의 데이터베이스에 대하여 미지의 핵염기의 전자 서명을 비교하는 것을 포함할 수 있다.

Подробнее
22-01-2019 дата публикации

제어 큐비트에 기초하여 타겟 큐비트의 위상을 쉬프트시키는 양자 회로

Номер: KR1020190007375A
Автор: 김태완, 최병수
Принадлежит:

... 본 발명은 제어 큐비트에 기초하여, 타겟 큐비트의 위상을 π/2n-1만큼 쉬프트시키는 양자 회로에 관한 것이다. 본 발명의 실시예에 따른 양자 회로는 제1 보조 회로, 회전 게이트, 및 제2 보조 회로를 포함할 수 있다. 제1 보조 회로는 제어 큐비트, 타겟 큐비트, 및 |0> 상태를 갖는 보조 큐비트의 얽힘에 따른 제1 큐비트 상태를 제2 큐비트 상태로 변환한다. 회전 게이트는 제2 큐비트 상태의 일부 기저 상태에 대한 위상을 π/2n-1만큼 쉬프트하여, 제2 큐비트 상태를 제3 큐비트 상태로 변환한다. 제2 보조 회로는 타겟 큐비트의 위상이 π/2n-1만큼 쉬프트되도록, 제3 큐비트 상태를 제4 큐비트 상태로 변환한다. 본 발명에 따르면, 보조 큐비트를 이용하고, 기본 게이트들의 개수를 감소시켜, 양자 컴퓨팅의 자원 및 동작 시간을 감소시킬 수 있다.

Подробнее
17-03-2023 дата публикации

강화 박막 필름 장치

Номер: KR20230038314A
Принадлежит:

... 본 발명은 강화 박막 장치(100, 200, 500)에 관한 것으로, 에피레이어(Epilayer)를 지지하기 위한 상부 표면을 가지는 기판(101); 상기 기판(101) 상에 배치되어 니들 패드(Needle Pad)를 형성하는 복수의 나노 사이즈의 캐비티(Cavity)로 패턴화되어 있는 마스크 레이어(103); 상기 마스크 레이어(103) 상에 배치된 격자 부정합 반도체(Lattice-mismatched Semiconductor)의 박막(105) - 상기 박막(105)은 이에 내장된 상기 격자 부정합 반도체의 복수의 병렬 이격된 반도체 니들을 포함하고, 상기 복수의 반도체 니들은 상기 마스크 레이어(103)의 상기 복수의 나노 사이즈의 캐비티 내에 상기 기판(101)을 향해 축 방향으로 실질적으로 수직으로 배치됨 -; 및 자신에 의해 지지되는 상기 박막 상에 제공되는 격자 부정합 반도체 에피레이어(106)를 포함한다.

Подробнее
26-03-2025 дата публикации

- EFFCIENT QUANTUM CIRCUIT FOR QUANTUM FOURIER TRANSFORM IN FAULT-TOLERANT QUANTUM COMPUTING

Номер: KR20250041994A
Принадлежит:

Disclosed is a quantum circuit, which includes input qubit lines, auxiliary qubit lines, and an R(θ) gate layer connected to the input qubit lines and the auxiliary qubit lines, and the R(θ) gate layer performs a plurality of R(θ) gate operations at once based on input qubits of the input qubit lines and auxiliary qubits of the auxiliary qubit lines, and the quantum circuit outputs Fourier transform results with respect to the input qubits as output qubits, based on a result of the plurality of R(θ) gate operations, without additional R(θ) gate operations.

Подробнее
23-03-2021 дата публикации

método, montagem e sistema para prover uma estrutura de base, método industrial, e, braço mecânico.

Номер: BR112020024987A2
Принадлежит:

Подробнее
16-06-2005 дата публикации

Field-effect transistor, single electron transistor, and sensor using same

Номер: TW0200519379A
Принадлежит:

A sensor for detecting a substance to be detected. The sensor includes a field-effect transistor (1A) having a substrate (2), a source electrode (4) and a drain electrode (5) both installed on the substrate (2), and a channel (6) to serve as a current path between the source electrode (4) and the drain electrode (5). The field-effect transistor (1A) further includes an interaction sensing gate (9) for immobilizing a specific substance (10) interactive selectively with the substance to be detected and a gate (7) to which a voltage is applied so that the interaction is detected as a characteristic variation of the field-effect transistor (1A). Such a structure enables a sensor to detect a substance to be detected with a high detection sensitivity.

Подробнее
17-11-2011 дата публикации

DIRECTIONALLY ETCHED NANOWIRE FIELD EFFECT TRANSISTORS

Номер: WO2011141193A1
Принадлежит:

A method for forming a nanowire field effect transistor (FET) device includes depositing a first semiconductor layer on a substrate wherein a surface of the semiconductor layer is parallel to (110) crystalline planes of the semiconductor layer, epitaxailly depositing a second semiconductor layer on the first semiconductor layer, etching the first semiconductor layer and the second semiconductor layer to define a nanowire channel portion that connects a source region pad to a drain region pad, the nanowire channel portion having sidewalls that are parallel to (100) crystalline planes, and the source region pad and the drain region pad having sidewalls that are parallel to (110) crystalline planes, and performing an anisotropic etch that removes primarily material from (100) crystalline planes of the first semiconductor layer such that the nanowire channel portion is suspended by the source region pad and the drain region pad.

Подробнее
01-10-2020 дата публикации

QUBIT HARDWARE FOR ELECTRONS ON HELIUM

Номер: WO2020197833A1
Принадлежит:

Disclosed is a system and a method to use the system that includes a substrate to support a film of liquid helium and an electron subsystem confined by image forces in a direction perpendicular to the surface of the film, a side gate to electrostatically define a boundary of the electron subsystem, a trap gate to electrostatically define an electron trap located outside the boundary of the electron subsystem, and a load gate to selectively open and close access from the electron subsystem to the electron trap, wherein to open access to the electron trap is to apply a first load gate voltage to the load gate to allow the electrons to access the electron trap, and wherein to close access to the electron trap is to apply a second load gate voltage to the load gate to prevent the electrons from accessing the electron trap.

Подробнее
18-09-2014 дата публикации

OPTICAL DEVICE

Номер: WO2014140015A1
Автор: SAROV, Yanko
Принадлежит:

An optical device comprises carbon nanotubes (111), in particular transversely arranged carbon nanotubes (111), for the purpose of enlarging its surface area. The carbon nanotubes (111) lead to a passive damping and, as a result, to the suppression of vibrations of the device, in particular under low-pressure conditions.

Подробнее
09-04-2015 дата публикации

PHASE HYSTERETIC MAGNETIC JOSEPHSON JUNCTION MEMORY CELL

Номер: WO2015050621A1
Принадлежит:

One embodiment describes a memory cell. The memory cell includes a phase hysteretic magnetic Josephson junction (PHMJJ) that is configured to store one of a first binary logic state corresponding to a binary logic-1 state and a second binary logic state corresponding to a binary logic-0 state in response to a write current and to generate a superconducting phase based on the stored digital state. The memory cell also includes at least one Josephson junction having a critical current that is based on the superconducting phase of the PHMJJ and being configured to provide an output corresponding to the stored digital state in response to a read current.

Подробнее
19-11-2020 дата публикации

PROCESSOR ELEMENT FOR QUANTUM INFORMATION PROCESSOR

Номер: WO2020229808A1
Принадлежит:

Processor elements are described herein. A processor element comprises a silicon layer. The processor element further comprises one or more conductive electrodes. The processor element further comprises dielectric material having a non-uniform thickness, the dielectric material disposed at least between the silicon layer and the one or more conductive electrodes. In use, when a bias potential is applied to one or more of the conductive electrodes, the positioning of the one or more conductive electrodes and the non-uniform thickness of the dielectric material together define an electric field profile to induce a quantum dot at an interface between the silicon layer and the dielectric layer. Methods are also described herein.

Подробнее
09-08-2018 дата публикации

GATE ALL AROUND DEVICE ARCHITECTURE WITH HYBRID WAFER BOND TECHNIQUE

Номер: WO2018144346A1
Автор: SCHULTZ, Richard T.
Принадлежит:

A system and method for fabricating non-planar nanowire field-effect transistors, while managing semiconductor processing yield and cost are described. The process forms a stack of alternating semiconductor layers (110, 115; Fig. 1). A trench is etched and filled with at least a silicon dioxide layer (Fig. 2), preferably also a silicon nitride layer, with a length at least that of a device channel length while being bounded by sites for a source region and a drain region. The process places a second silicon substrate (305) on top of both the oxide layer in the trench and the top-most semiconducting layer of the stack (Fig. 3). The two surfaces making contact by wafer bonding use the same type of semiconducting layer. The device is flipped such that the first substrate and the stack are on top of the second substrate. The stack is patterned into a fin and then one type of alternating layers (110 or 115) is removed to form nanowires.The process ends with the formation of the gate stack.

Подробнее
22-12-2016 дата публикации

SYSTEMS AND METHODS FOR GENERATING TUNABLE ELECTROMAGNETIC WAVES USING CARBON NANOTUBE-BASED FIELD EMITTERS

Номер: WO2016205822A1
Принадлежит:

Systems and methods in accordance with embodiments of the invention generate tunable electromagnetic waves using carbon nanotube-based field emitters. In one embodiment, a CNT-based irradiator includes: at least one CNT-based cathode, itself including: a plurality of carbon nanotubes adjoined to a substrate; a plurality of anodic regions; where each anodic region is configured to emit a distinctly different class of photons in a direction away from the at least one cathode in response to a same reception of electrons; where each of the plurality of anodic regions is operable to receive electrons emitted from at least one of said at least one CNT-based cathode; and where each of the at least one CNT-based cathode and the plurality of anodic regions are disposed within a vacuum encasing.

Подробнее
29-10-2020 дата публикации

QUANTUM COMPUTING STRUCTURES USING ION TRAPS

Номер: WO2020219586A1
Принадлежит:

Embodiments disclosed herein include systems and methods for producing ion traps for quantum computing. A method of obtaining quantum computations using an ion trap can include trapping a plurality of ions using a storage ring. The method can further include cooling the plurality of ions by irradiating each of the plurality of ions with coherent light. The method can include exciting one or more of the plurality of ions using a coherent light source and detecting an electromagnetic field response of the one or more ions from the coherent light source.

Подробнее
05-07-2018 дата публикации

SUPERCONDUCTING QUBIT DEVICE PACKAGES

Номер: WO2018125026A1
Принадлежит:

One superconducting qubit device package disclosed herein includes a die having a first face and an opposing second face, and a package substrate having a first face and an opposing second face. The die includes a quantum device including a plurality of superconducting qubits and a plurality of resonators on the first face of the die, and a plurality of conductive pathways coupled between conductive contacts at the first face of the die and associated ones of the plurality of superconducting qubits or of the plurality of resonators. The second face of the package substrate also includes conductive contacts. The device package further includes first level interconnects disposed between the first face of the die and the second face of the package substrate, coupling the conductive contacts at the first face of the die with associated conductive contacts at the second face of the package substrate.

Подробнее
17-01-2019 дата публикации

OPTICAL ISING MACHINES AND OPTICAL CONVOLUTIONAL NEURAL NETWORKS

Номер: WO2019014345A1
Принадлежит:

A photonic parallel network can be used to sample combinatorially hard distributions of Ising problems. The photonic parallel network, also called a photonic processor, finds the ground state of a general Ising problem and can probe critical behaviors of universality classes and their critical exponents. In addition to the attractive features of photonic networks—passivity, parallelization, high-speed and low-power—the photonic processor exploits dynamic noise that occurs during the detection process to find ground states more efficiently.

Подробнее
25-03-2021 дата публикации

CONNECTION COMPONENT FOR A BRANCH FOR INDIVIDUAL ELECTRON MOTION

Номер: WO2021052539A1
Принадлежит:

The invention relates to an electronic component (10) which is formed by a semiconductor component or a semiconductor-like structure with gate electrode arrangements (16, 18, 20) for the transport of a quantum dot (52). The electronic component (10) contains a substrate (12) comprising a two-dimensional electron gas or electron hole gas. Electrical contacts connect the gate electrode arrangements (16, 18, 20) to voltage sources. A first gate electrode arrangement (16) having gate electrodes (22, 24) is provided on a surface (14) of the electronic component in order to create a potential well (50) in the substrate (12). The gate electrode arrangement (16) has parallel electrode fingers (32, 34), said electrode fingers (32, 34) being alternately connected together at intervals which causes almost continuous transport of the potential well (50) through the substrate (12), a quantum dot (52) being translated together with this potential well (50) in one direction.

Подробнее
23-03-2017 дата публикации

SEMI-METAL RECTIFYING JUNCTION

Номер: WO2017046023A1
Принадлежит:

A rectifying junction (15) is formed in a conduction path provided in a material (1). A size of the material (1) is smaller than a threshold size in a first dimension, the threshold size being the size required for the material (1) to exhibit sufficient quantum confinement such that it forms a semiconductor. A surface of a first region (17) of the material (1) is arranged to decrease the bandgap of the material such that the first region is conducting. A surface of a second region (19) of the material (1) is arranged to preserve a bandgap such that the second region is semiconducting. The second region (19) is contiguous to the first region (17), such that a rectifying junction (15) is formed at a boundary (21) between the first region and the second region.

Подробнее
10-09-2020 дата публикации

PLASTICS COMPOSITE REINFORCED WITH CARBON FILLER

Номер: WO2020180383A3
Принадлежит:

Plastics composites and a method for forming the plastics composites are provided in this disclosure. An example plastic composite includes a suspension of carbon nanotubes (CNTs) in a solvent that is compounded with a plastic material. The techniques provide for the efficient incorporation of carbon nanotubes into the plastic composite.

Подробнее
29-04-2021 дата публикации

SEMICONDUCTOR-SUPERCONDUCTOR HYBRID DEVICE, ITS MANUFACTURE AND USES

Номер: WO2021081096A1
Принадлежит:

A semiconductor-superconductor hybrid device (200) comprises a semiconductor (10), a superconductor (18), and a barrier (14) between the superconductor and the semiconductor. The device is configured to enable energy level hybridisation between the semiconductor and the superconductor. The barrier is configured to increase a topological gap of the device. The barrier allows for control over the degree of hybridisation between the semiconductor and the superconductor. Further aspects provide a quantum computer comprising the device, a method of manufacturing the device, and a method of inducing topological behaviour in the device..

Подробнее
25-04-2013 дата публикации

METHOD FOR PRODUCING AN ORGANISED NETWORK OF SEMICONDUCTOR NANOWIRES MADE FROM ZNO

Номер: WO2013057456A3
Принадлежит:

This method for producing an organised network of nanowires from ZnO comprises the following steps: obtaining a layer (1) of ZnO with Zn polarity on a substrate (5), by epitaxial growth at a low temperature, advantageously between 400°C and 650°C, and advantageously in the presence of dioxygen (O2); forming a mask (2) provided with openings (3) on this layer for the subsequent growth of the nanowires; epitaxial growth of ZnO nanowires (4).

Подробнее
07-04-2020 дата публикации

Nonvolatile charge trap memory device having a high dielectric constant blocking region

Номер: US0010615289B2

An embodiment of a nonvolatile charge trap memory device is described. In one embodiment, the device comprises a channel comprising silicon overlying a surface on a substrate electrically connecting a first diffusion region and a second diffusion region of the memory device, and a gate stack intersecting and overlying at least a portion of the channel, the gate stack comprising a tunnel oxide abutting the channel, a split charge-trapping region abutting the tunnel oxide, and a multi-layer blocking dielectric abutting the split charge-trapping region. The split charge-trapping region includes a first charge-trapping layer comprising a nitride closer to the tunnel oxide, and a second charge-trapping layer comprising a nitride overlying the first charge-trapping layer. The multi-layer blocking dielectric comprises at least a high-K dielectric layer.

Подробнее
29-09-2015 дата публикации

Ultra-fast suspended graphene nano-sensors suitable for large scale production

Номер: US0009145295B2
Автор: Haibing Peng, PENG HAIBING

A graphene nano-sensor with a suspended graphene flake electrically connected to metal electrodes. The graphene nano-sensor is capable of detecting single molecules in an atmosphere through a change in electrical conductance through the graphene flake.

Подробнее
08-12-2015 дата публикации

Method for producing patterned materials

Номер: US0009205594B2

A large area patterned film includes a first patterned area; a second patterned area; and a seam joining the first patterned area and the second patterned area, wherein the seam has a width less than about 20 micrometers. A method for tiling patterned areas includes depositing a predetermined thickness of a curable material; contacting a first portion of the curable material with a mold; curing the first portion of the curable material; removing the mold from the cured first portion of the curable material; contacting a second portion of the curable material with the mold, such that the mold contacts a portion of the cured first portion of the curable material; curing the second portion of the curable material; and removing the mold to yield a seam between the cured first portion of the curable material and the cured second portion of the curable material, wherein the seam has a dimension less than about 20 micrometers.

Подробнее
30-05-2017 дата публикации

High resolution organic light-emitting diode devices, displays, and related method

Номер: US0009666652B2
Принадлежит: Kateeva, Inc., KATEEVA INC

An organic light-emissive display may include a substrate; a plurality of electrodes disposed on the substrate; a first hole conducting layer overlying the plurality of electrodes disposed on the substrate; and organic light emissive material disposed at locations overlying the emissive layer confinement regions. The first hole conducting layer may comprise emissive layer confinement regions exhibiting a first liquid affinity property and boundary regions exhibiting a second liquid affinity property differing from the first liquid affinity property, a respective confinement region overlying at least one of the plurality of electrodes provided on the substrate. The second liquid affinity property of the boundary regions can inhibit migration of the organic light emissive material so as to confine the organic light emissive material within the emissive layer confinement regions.

Подробнее
24-03-2022 дата публикации

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME

Номер: US20220093735A1
Принадлежит:

Semiconductor devices are provided. A semiconductor device includes a substrate and a gate structure on the substrate. The semiconductor device includes a channel on the substrate. The semiconductor device includes a source/drain layer on the channel. Moreover, the semiconductor device includes a spacer on a sidewall of the gate structure. The spacer includes a central portion overlapping the channel in a vertical direction, and a protrusion portion protruding from the central portion. Related methods of manufacturing semiconductor devices are also provided.

Подробнее
10-02-2022 дата публикации

ON HEAD MICROELECTRONICS FOR WRITE SYNCHRONIZATION

Номер: US20220044700A1
Принадлежит:

The presently disclosed technology teaches integrating disc drive electronics into a transducer head. Decreased electrical transit times and data processing times can be achieved by placing the electronics on or within the transducer head because electrical connections may be made physically shorter than in conventional systems. The electronics may include one or more of a control system circuit, a write driver, and/or a data buffer. The control system circuit generates a modified clock signal that has a fixed relation to phase and frequency of a bit-detected reference signal that corresponds to positions of patterned bits on the disc. The write driver writes outgoing data bits received from an external connection to off-head electronics directly to the writer synchronized with the modified clock signal. The data buffer stores and converts digital data bits sent from the off-head electronics to an analog signal that is synchronized with the modified clock signal.

Подробнее
14-10-2014 дата публикации

State changing device

Номер: US0008860004B2
Автор: Eric Pop, POP ERIC

A device that incorporates teachings of the present disclosure may include, for example, a memory array having a first array of nanotubes, a second array of nanotubes, and a state changing material located between the first and second array of nanotubes. Other embodiments are disclosed.

Подробнее
19-09-2006 дата публикации

Scanning probe device and processing method by scanning probe

Номер: US0007107826B2

There is provided a device in which a probe can be used for both of observation and correction, and which can, even if a next generation photomask of ultra minute structure is made an object, perform a desired processing without injuring a normal portion in a process of obtaining information of a position and a shape of a defect part, and without impairing the probe also at a processing time. It has been adapted such that, at an observation time, a contact pressure between a probe and a mask is reduced to 0.1 nN by applying a vibration of 1 kHz to 1 MHz to the probe. It has been adapted such that a cantilever used in the present invention is formed by a silicon material of 100-600 mum in length and 5-50 mum in thickness and, at the observation time, the probe contacts with the mask at the contact pressure of 0.1 nN and, at the processing time, a defect correction can be performed by causing the probe to contact with the mask at the contact pressure of 10 nN to 1 mN.

Подробнее
22-09-2020 дата публикации

Generating extreme ultraviolet radiation with nanoscale antennas

Номер: US0010785859B2

A method for generating extreme ultraviolet (EUV) radiation employs an EUV apparatus, which comprises one or more sets of nanoscale antennas, designed for electromagnetic field enhancement. The one or more sets comprise, each, at least one pair of opposite antennas separated by a feedgap volume. First cations of same molecular entities are allowed to reach the feedgap volumes and the antennas are energized so as to perform one or more EUV radiation emission cycles, during which the first cations are further ionized via electromagnetic field intensities achieved in the feedgap volumes by optically exciting corresponding pairs of opposite antennas. Second cations are thus obtained, which have a higher charge state than the first cations, and are forced to radiatively decay, by electrically stimulating antenna pairs, whereby EUV radiation is generated and third cations are obtained, which have a lower charge state than the second cations.

Подробнее
05-04-2016 дата публикации

Field emission device and method of fabricating the same

Номер: US0009306167B2

A field-emission device is disclosed. The device comprises a solid state structure formed of a crystalline material and an amorphous material, wherein an outer surface of the solid state structure is substantially devoid of the amorphous material, and wherein a p-type conductivity of the crystalline material is higher at or near the outer surface than far from the outer surface.

Подробнее
30-09-2014 дата публикации

Quantum dots having composition gradient shell structure and manufacturing method thereof

Номер: US0008847201B2

Provided are quantum dots having a gradual composition gradient shell structure which have an improved luminous efficiency and optical stability, and a method of manufacturing the quantum dots in a short amount of time at low cost. In the method, the quantum dots can be manufactured in a short amount of time at low cost using a reactivity difference between semiconductor precursors, unlike in uneconomical and inefficient conventional methods where shells are formed after forming cores and performing cleaning and redispersion processes. Also, formation of the cores is followed by formation of shells having a composition gradient.

Подробнее
14-10-2014 дата публикации

Apparatus and method of fabricating flat panel display device

Номер: US0008858859B2

Disclosed are an apparatus and method for fabricating a flat panel display device to realize easy separation of a substrate from an imprinting mold. The apparatus includes an imprinting mold connected to a substrate to form a thin film pattern on the substrate, a first adsorption pad to vacuum-adsorb the center of the imprinting mold, a second adsorption pad to vacuum-adsorb the periphery of the imprinting mold, and a connector connected to vacuum pins to vertically move in different regions of the first and second adsorption pads.

Подробнее
28-10-2014 дата публикации

Field-effect transistor and method for manufacturing the same

Номер: US0008872162B2

A field-effect transistor includes a semiconductor layer containing carbon nanomaterials; a first electrode and a second electrode formed in contact with the semiconductor layer; a third electrode for controlling current flowing between the first electrode and the second electrode; and an insulating layer formed between the semiconductor layer and the third electrode. The insulating layer contains an aromatic polyamide comprising a substituent containing 1 to 20 carbon atoms.

Подробнее
31-05-2016 дата публикации

Reflection electron beam projection lithography using an ExB separator

Номер: US0009355818B2

One embodiment disclosed relates to an apparatus for reflection electron beam lithography. The apparatus includes an electron source, a patterned electron reflector generator structure, a stage, a demagnifying electron lens, and an ExB separator. The ExB separator configured to bend a trajectory of the electron beam towards the dynamic pattern generator structure. The patterned electron reflector structure is configured to reflect select portions of the electron beam so as to form a patterned electron beam. The ExB separator is further configured to allow the patterned electron beam to pass straight through towards the demagnifying electron lens. The demagnifying electron lens is configured to demagnify the patterned electron beam and project the demagnified patterned electron beam onto the target substrate. The apparatus disclosed herein has a straight projection axis and substantially reduces the electron beam path by a factor of three-to-one (compared to a prior apparatus which uses ...

Подробнее
02-02-2012 дата публикации

Apparatus and method for conformal mask manufacturing

Номер: US20120028464A1
Принадлежит: NexGenSemi Holdings Corp

A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.

Подробнее
15-03-2012 дата публикации

Large Scale Patterned Growth of Aligned One-Dimensional Nanostructures

Номер: US20120061348A1
Принадлежит: Georgia Tech Research Corp

A method of making nanostructures using a self-assembled monolayer of organic spheres is disclosed. The nanostructures include bowl-shaped structures and patterned elongated nanostructures. A bowl-shaped nanostructure with a nanorod grown from a conductive substrate through the bowl-shaped nanostructure may be configured as a field emitter or a vertical field effect transistor. A method of separating nanoparticles of a desired size employs an array of bowl-shaped structures.

Подробнее
31-05-2012 дата публикации

Elelctron emitter and electron emission element

Номер: US20120133266A1
Автор: Shou-Shan Fan, Yang Wei

The present disclosure provides an electron emitter. The electron emitter includes a carbon nanotube pipe. One end of the carbon nanotube pipe has a plurality of carbon nanotube peaks. The present disclosure also provides an electron emission element. The electron emission element comprises a conductive base and a carbon nanotube pipe. The carbon nanotube pipe includes a first end electrically connected with the conductive base and a second end opposite to the first end. The second end defines an opening and includes a plurality of tapered carbon nanotube bundles located around the opening.

Подробнее
31-05-2012 дата публикации

Method for making elelctron emitter

Номер: US20120135662A1
Автор: Shou-Shan Fan, Yang Wei

The present disclosure provides a method for making electron emitter includes the following steps. First, a linear support is provided. Second, at least one carbon nanotube film or at least one carbon nanotube wire is provided. Third, the at least one carbon nanotube film or wire is wrapped around the linear support. Fourth, the linear support is removed to obtain a carbon nanotube hollow cylinder. Fifth, the carbon nanotube hollow cylinder is fused.

Подробнее
14-06-2012 дата публикации

Lithography system, modulation device and method of manufacturing a fiber fixation substrate

Номер: US20120145931A1
Принадлежит: Mapper Lithopraphy IP BV

The invention relates to a charged-particle multi-beamlet lithography system for transferring a pattern onto the surface of a target. The system comprises a beam generator for generating a plurality of charged particle beamlets, a beamlet blanker array for patterning the beamlets in accordance with a pattern, and a projection system for projecting the patterned beamlets onto the target surface. The blanker array comprises a plurality of modulators and a plurality of light sensitive elements. The light sensitive elements are arranged to receive pattern data carrying light beams and to convert the light beams into electrical signals. The light sensitive elements are electrically connected to one or more modulators for providing the received pattern data. The blanker array is coupled to a fiber fixation substrate which accommodates end sections of a plurality of fibers for providing pattern data carrying light beams as an assembled group with a fixed connection.

Подробнее
28-06-2012 дата публикации

Composite carbon nanotube structure and method for fabricating the same

Номер: US20120164375A1

A method for fabricating composite carbon nanotube structure is presented. A carbon nanotube array is provided. A first carbon nanotube structure is drawn from the carbon nanotube array. The first carbon nanotube structure is located on the substrate. A second carbon nanotube structure is grown on a surface of the first carbon nanotube structure to form a composite carbon nanotube structure. A composite carbon nanotube structure is also presented.

Подробнее
16-08-2012 дата публикации

Organic redox active compounds with reversible storage of charges and substrates and molecular memory devices comprising them

Номер: US20120205605A1

An organic redox active compound with reversible storage of charge is disclosed. The material characterized by a formula R-M-Y-T. According to some aspects, R represents a deconjugating group, M represents an organic redox active fragment, not comprising any metal ion or metal, capable of reversibly storing at least one charge, T represents a tripod group comprising three groups F, capable of being chemically grafted to a surface of a solid substrate, and Y represents a spacer group separating M from T. A substrate on which the compounds are grafted, a molecular memory device including the compound or the substrate, and an electronic apparatus including the molecular memory device are also disclosed.

Подробнее
15-11-2012 дата публикации

Nanoelectromechanical Structures Exhibiting Tensile Stress And Techniques For Fabrication Thereof

Номер: US20120286377A1
Принадлежит: International Business Machines Corp

Improved nano-electromechanical system devices and structures and systems and techniques for their fabrication. In one embodiment, a structure comprises an underlying substrate separated from first and second anchor points by first and second insulating support points, respectively. The first and second anchor points are joined by a beam. First and second deposition regions overlie the first and second anchor points, respectively, and the first and second deposition regions exert compression on the first and second anchor points, respectively. The compression on the first and second anchor points causes opposing forces on the beam, subjecting the beam to a tensile stress. The first and second deposition regions suitably exhibit an internal tensile stress having an achievable maximum varying with their thickness, so that the tensile stress exerted on the beam depends at least on part on the thickness of the first and second deposition regions.

Подробнее
29-11-2012 дата публикации

Method for the fabrication of electron field emission devices including carbon nanotube field electron emisson devices

Номер: US20120301981A1
Принадлежит: Corp for National Research Initiatives

The present invention is directed to a method for the fabrication of electron field emitter devices, including carbon nanotube (CNT) field emission devices. The method of the present invention involves depositing one or more electrically conductive thin-film layers onto a electrically conductive substrate and performing lithography and etching on these thin film layers to pattern them into the desired shapes. The top-most layer may be of a material type that acts as a catalyst for the growth of single- or multiple-walled carbon nanotubes (CNTs). Subsequently, the substrate is etched to form a high-aspect ratio post or pillar structure onto which the previously patterned thin film layers are positioned. Carbon nanotubes may be grown on the catalyst material layer. The present invention also described methods by which the individual field emission devices may be singulated into individual die from a substrate.

Подробнее
31-01-2013 дата публикации

Method for making cathode slurry

Номер: US20130029557A1
Принадлежит: Individual

A method for making cathode slurry is provided and includes the following steps. First, a number of electron emitters, an inorganic binder, and an organic carrier are provided. Second, the electron emitters, the inorganic binder, and the organic carrier are mixed to obtain a mixture. Third, the mixture is mechanically pressed and sheared.

Подробнее
14-02-2013 дата публикации

Apparatus and method for conformal mask manufacturing

Номер: US20130040458A1
Принадлежит: NexGenSemi Holdings Corp

A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.

Подробнее
07-03-2013 дата публикации

Memory devices, methods of storing and reading data, smm junctions, and methods of preparing alumina substrates

Номер: US20130058149A1
Автор: Lam H. Yu

Various aspects of the invention provide memory devices, methods of storing and reading data, and silver/molecular-layer/metal (SMM) junctions. One aspect of the invention provides a memory device including a plurality of SMM junctions and an electrical structure configured to permit application of electricity across one or more of the plurality of SMM junctions. Another aspect of the invention provides a method of storing data on a memory device including a plurality of SMM junctions. The method includes applying electrical energy across a subset of the SMM junctions to switch the junction to a more conductive state. Another aspect of the invention provides an SMM junction including a silver layer, a copper layer, and a molecular layer positioned between the silver layer and the copper layer.

Подробнее
21-03-2013 дата публикации

PROJECTION SYSTEM WITH FLEXIBLE COUPLING

Номер: US20130070223A1
Принадлежит:

The invention relates to a projection system for projecting one or more beams on a target, said system comprising a frame, a projection module comprising a beam source for providing the one or more beams, projection optics for projecting beams on the target, a target positioning module, comprising a carrier for carrying the target, a stage for carrying and positioning the carrier, a measurement system for determining a position of the projection module relative to the carrier, a controller adapted for controlling the target positioning module to position the target under the projection module based on said determined position, wherein the projection module is connected to the frame via a flexible coupling for dampening the propagation of vibrations from said frame to said projection module, and wherein the controller is adapted control the target positioning module to compensate for residual vibrations as measured by said measurement system. 1. Projection system for projecting one or more beams on a target , said projection system comprising:a frame,a projection module comprising a beam source for providing the one or more beams, and projection optics for projecting the one or more beams on the target,a target positioning module, comprising a carrier for carrying the target, and a stage for carrying and positioning the carrier,a measurement system for determining a position of the projection module relative to the carrier, anda controller adapted for controlling the target positioning module to position the target under the projection module based on said determined position,characterized in that the projection module is connected to the frame via a flexible coupling for dampening the propagation of vibrations from said frame to said projection module, and wherein the controller is adapted to control the target positioning module to compensate for residual vibrations as measured by said measurement system, wherein said flexible coupling is substantially slack along ...

Подробнее
28-03-2013 дата публикации

POLYMER, POLYMER COMPOSITION AND ORGANIC LIGHT-EMITTING DEVICE

Номер: US20130075714A1
Принадлежит: SUMTOMO CHEMICAL COMPANY LIMITED

Light-emitting composition comprising a host polymer and a light emitting dopant wherein the host polymer comprises conjugating repeat units and non-conjugating repeat units in a backbone of the polymer and wherein: the conjugating repeat units provide at least one conjugation path between repeat units linked thereto; and the non-conjugating repeat units comprise an at least partially saturated ring having at least one ring atom that breaks any conjugation path between repeat units linked to the non-conjugating repeat unit such that a highest occupied molecular orbital level of the polymer is further from vacuum level by at least 0.1 eV and/or a lowest unoccupied molecular orbital level of the polymer is closer to vacuum level by at least 0.1 eV as compared to a polymer in which the non-conjugating repeat units are absent. 1. A light-emitting composition comprising a host polymer and a light emitting dopant wherein the host polymer comprises conjugating repeat units and non-conjugating repeat units in a backbone of the polymer and wherein:the conjugating repeat units provide at least one conjugation path between repeat units linked thereto; andthe non-conjugating repeat units comprise an at least partially saturated ring having at least one ring atom that breaks any conjugation path between repeat units linked to the non-conjugating repeat unit such that a highest occupied molecular orbital level of the polymer is further from vacuum level by at least 0.1 eV and/or a lowest unoccupied molecular orbital level of the polymer is closer to vacuum level by at least 0.1 eV as compared to a polymer in which the non-conjugating repeat units are absent.2. A light-emitting composition according to wherein the at least one ring atom is a carbon atom.3. A light-emitting composition according to wherein the at least partially saturated ring is carbocyclic.4. A light-emitting composition according to wherein the at least partially saturated ring is fused to at least one further ...

Подробнее
28-03-2013 дата публикации

METHOD FOR FORMING FRONT ELECTRODE OF PDP

Номер: US20130076225A1
Принадлежит: E. I. DU PONT DE NEMOURS AND COMPANY

A method is disclosed for forming a PDP front electrode by applying a particular type of photopolymerizable black paste, drying the black paste, and applying a particular type of photopolymerizable white paste on top of the dried black paste. 1. A method for forming a front electrode of PDP , comprising steps of:{'sub': b', 'b', 'b', 'b, 'sup': '2', 'applying, to a substrate, a black paste comprising a photopolymerizable monomer and first inorganic powder comprising glass powder, black pigment and optionally conductive metal powder, wherein S/Mis 18 or less when Srepresents the total surface area (m) of the first inorganic powder per 100 g of the black paste and Mrepresents the content (g) of the photopolymerizable monomer per 100 g of the black paste;'}drying the black paste;{'sub': w', 'w', 'w', 'w, 'sup': '2', 'applying a white paste comprising a photopolymerizable monomer and second inorganic powder comprising glass powder and conductive metal powder on top of the dried black paste, wherein S/Mis 4.5 or less when Srepresents the total surface area (m) of the second inorganic powder per 100 g of the white paste and Mrepresents the content (g) of the photopolymerizable monomer per 100 g of the white paste;'}drying the white paste;exposing and developing the dried black paste and the white paste; andsintering the developed black paste and white paste.2. The method for forming a front electrode of PDP of claim 1 , wherein the total surface area (S) of the first inorganic powder per 100 g of the black paste is 50 to 200 m.3. The method for forming a front electrode of PDP of claim 1 , wherein the total surface area (S) of the second inorganic powder per 100 g of the white paste is 4.5 to 60 m.4. The method for forming a front electrode of PDP of claim 1 , wherein the content (M) of the photopolymerizable monomer per 100 g of the black paste is 6 to 30 g.5. The method for forming a front electrode of PDP of claim 1 , wherein the content (M) of the photopolymerizable ...

Подробнее
28-03-2013 дата публикации

IONICALLY CONTROLLED THREE-GATE COMPONENT

Номер: US20130079230A1
Принадлежит:

A three-port component comprises a source electrode, a drain electrode, and a channel, which is corrected between the source electrode and the drain electrode and which is made of a material haying an electronic conductivity that can be varied by supplying and/or removing ions. The three-port component comprises an ion reservoir, which is in contact with a gate electrode, and which is connected to the channel so that the reservoir is able to exchange ions with the channel when a potential is applied to the gate electrode. Information can be stored on the three-port component by distributing the total number of ions, which are present in the ion reservoir and the channel, between the ion reservoir and the channel. The distribution of ions in the channel and the ion reservoir changes when, and only when, a corresponding driving potential is applied to the gate electrode. Thus, in contrast to RRAMS, there is no time-voltage dilemma. 1. A three-port component comprising a source electrode , a drain electrode , and a channel , which is connected between the source electrode and the drain electrode and is made of a material having an electronic conductivity that can be varied by supplying and/or removing ions , comprising an ion reservoir which is in contact with a gate electrode and which is connected to the channel so that the reservoir is able to exchange ions with the channel when a potential is applied to the gate electrode.2. The three-port component according to claim 1 , wherein the ion reservoir is a solid body under standard conditions.3. The three-port component according to . wherein the ion reservoir comprises at least one cation and/or anion having variable valence.4. A three-port component according to claim 1 , wherein the ion reservoir is connected to the channel via an ion conductor claim 1 , the electronic conductivity of which is less than that of the channel by at least one order of magnitude.5. The three-port component according to claim 4 , wherein ...

Подробнее
04-04-2013 дата публикации

CHARGED PARTICLE RADIATION DEVICE AND SOUNDPROOF COVER

Номер: US20130082194A1
Принадлежит:

A charged particle radiation device includes a sample chamber in which a sample stage adapted to mount a sample is installed, a charged particle radiation irradiation section adapted to irradiate the sample with a charged particle radiation to observe and fabricate the sample, sidewalls installed on a periphery of the sample chamber and the charged particle radiation irradiation section, a ceiling board installed on a plane located in an upper part of the sidewalls, and a sound absorbing structure section disposed below the ceiling board, and including a plurality of hole sections and a hollow section communicated with the hole sections. The sound absorbing structure section has an absorption band including a frequency band of a standing wave generated in a space surrounded by the sidewalls and the ceiling board. Further, a soundproof cover may include the sidewalls, ceiling board and sound absorbing structure. 1. A charged particle radiation device characterized by comprising:a sample chamber in which a sample stage adapted to mount a sample is installed;a charged particle radiation irradiation section adapted to irradiate the sample with a charged particle radiation to observe and fabricate the sample;sidewalls installed on a periphery of the sample chamber and the charged particle radiation irradiation section;a ceiling board installed on a plane located in an upper part of the sidewalls; anda sound absorbing structure section disposed below the ceiling board, and including a plurality of hole sections and a hollow section communicated with the hole sections,wherein the sound absorbing structure section has an absorption band including a frequency band of a standing wave generated in a space surrounded by the sidewalls and the ceiling board.2. The charged particle radiation device according to claim 1 , characterized in thatthe frequency of the standing wave is included in one of a frequency taking a local maximum value of the absorption band of the sound ...

Подробнее
11-04-2013 дата публикации

DOWNHOLE SOURCES HAVING ENHANCED IR EMISSION

Номер: US20130087723A1
Принадлежит: Halliburton Energy Services, Inc.

Light sources are provided with enhanced low-frequency (e.g., near infrared) emission. Some disclosed embodiments include a filament and at least one re-radiator element. The filament heats the re-radiator element to a steady-state temperature that is at least one quarter of the filament's absolute temperature. As disclosed herein, the increased surface area provided by the re-radiator element provides enhanced IR radiation from the light source. Patterning or texturing of the surface can further increase the re-radiator element's surface area. Various shapes such as disks, collars, tubes are illustrated and can be combined to customize the spectral emission profile of the light source. Some specific embodiments employ a coating on the bulb as the re-radiator element. The coating can be positioned to occlude light from the filament or to augment light from the filament, depending on the particular application. The various re-radiator elements can be positioned inside or outside the bulb. 1. A light source having enhanced long-wavelength emission , comprising:a filament that becomes incandescent in response to electrical current; andat least one re-radiator element having a surface area larger than that of said filament, wherein the re-radiator is opaque to at least the peak wavelength of light emitted from the filament to be heated by the light to a steady-state temperature that is at least one quarter of an absolute temperature of the filament.2. The light source of claim 1 , wherein the filament is enclosed within a bulb claim 1 , and wherein the re-radiator element is a coating on said bulb.3. The light source of claim 2 , wherein the coating is interposed between the filament and an optical path of a spectral analyzer containing the light source.4. The light source of claim 2 , wherein the coating surrounds a base of said bulb and leaves a distal end of the bulb un-occluded.5. The light source of claim 2 , wherein the coating is patterned to provide multiple re- ...

Подробнее
11-04-2013 дата публикации

Mems device and method of manufacture

Номер: US20130087880A1
Принадлежит: Texas Instruments Inc

A MEMS logic device comprising agate which pivots on a torsion hinge, two conductive channels on the gate, one on each side of the torsion hinge, source and drain landing pads under the channels, and two body bias elements under the gate, one on each side of the torsion hinge, so that applying a threshold bias between one body bias element and the gate will pivot the gate so that one channel connects the respective source and drain landing pad, and vice versa. An integrated circuit with MEMS logic devices on the dielectric layer, with the source and drain landing pads connected to metal interconnects of the integrated circuit. A process of forming the MEM switch.

Подробнее
18-04-2013 дата публикации

LAMP SYSTEMS AND METHODS FOR GENERATING ULTRAVIOLET LIGHT

Номер: US20130092848A1
Принадлежит: Nordson Corporation

Apparatus for generating ultraviolet light and methods of operating an ultraviolet light source. The apparatus may include a microwave chamber () enclosing an interior space, a light source () with a lamp head () coupled to the microwave chamber (), an ultraviolet (UV) transmissive member () positioned above the lamp face () and below the interior space to define a plenum () therebetween, and an exhaust system () coupled in fluid communication with the plenum. The lamp head () has a lamp face () through which ultraviolet light () and cooling air () are emitted. The UV transmissive member () is configured to transmit the ultraviolet light () into the interior space and to divert the cooling air () from the interior space. The exhaust system () configured to exhaust the cooling air () from the plenum (). 1. An apparatus for generating ultraviolet light , the apparatus provided with a flow of cooling air , the apparatus comprising:a chamber enclosing an interior space;a light source including a lamp head coupled to said chamber, said lamp head including a lamp face configured to transmit the ultraviolet light and the cooling air;an ultraviolet (UV) transmissive member positioned above said lamp face and below said interior space to define a plenum therebetween, said UV transmissive member configured to transmit the ultraviolet light into said interior space and configured to divert the cooling air from entering said interior space; andan exhaust system coupled in fluid communication with said plenum, said exhaust system configured to exhaust the cooling air from said plenum.2. The apparatus of claim 1 , further comprising:a first reflector positioned in said chamber and above said UV transmissive member, said first reflector positioned to reflect the ultraviolet light back toward said lamp head.3. The apparatus of claim 2 , further comprising:a second reflector positioned in said chamber and above said UV transmissive member, said second reflector positioned to reflect ...

Подробнее
18-04-2013 дата публикации

PROCESS FOR PRODUCING AN ORGANIC SEMICONDUCTOR LAYER CONSISTING OF A MIXTURE OF A FIRST AND A SECOND SEMICONDUCTOR

Номер: US20130092921A1
Автор: BENWADIH Mohammed

A method for manufacturing an organic semiconductor layer formed of a mixture of a first and of a second organic semiconductor materials includes the steps of: forming a porous solid volume formed of the first semiconductor material, of intercommunicating porosity and capable of receiving a second semiconductor material; depositing, at least on an external surface of the porous solid volume, a liquid including the second semiconductor material dissolved or dispersed in a solvent, the solvent being inert with respect to the first semiconductor material and having an evaporation temperature lower than the evaporation temperature of the second semiconductor material; and once the porous solid volume has been at least partially impregnated with the liquid, evaporating the solvent by heating up to a temperature higher than the evaporation temperature of said solvent and lower than the evaporation temperature of the first and of the second semiconductor materials. 1. A method for manufacturing an organic semiconductor layer formed of a mixture of a first and of a second organic semiconductor materials , the method comprising:forming a porous solid volume formed of the first semiconductor material, of intercommunicating porosity and capable of receiving a second semiconductor material;depositing, at least on an external surface of the porous solid volume, a liquid comprising the second semiconductor material dissolved or dispersed in a solvent, said solvent being inert with respect to the first semiconductor material and having an evaporation temperature lower than the evaporation temperature of the second semiconductor material; andonce the porous solid volume has been at least partially impregnated with the liquid, evaporating the solvent by heating up to a temperature higher than the evaporation temperature of said solvent and lower than the evaporation temperature of the first and of the second semiconductor materials,wherein the forming of the porous solid comprises ...

Подробнее
09-05-2013 дата публикации

Aberration Correction Device and Charged Particle Beam Device Employing Same

Номер: US20130112873A1
Автор: Hirayama Yoichi
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

To provide an aberration correction device and a charged particle beam device employing same that are jointly usable with a tunneling electron microscope (TEM) and a scanning tunneling electron microscope (SEM), an aberration correction device () comprises, between a TEM objective lens () and an STEM objective lens (): a transfer lens group (), for transferring a coma-free surface () of the TEM objective lens () to a multipolar lens (); a transfer lens group () for transferring the coma-free surface of the TEM objective lens to a multipolar lens (); and a transfer lens () for correcting fifth-order spherical aberration of the STEM objective lens (). 1. An aberration correction device comprising:a first multipole lens disposed adjacent to an illumination lens;a second multipole lens disposed adjacent to a projection lens;a plurality of first transfer lenses disposed between the first and second multipole lenses and configured to transfer, to the second multipole lens, a coma-free condition of a first objective lens disposed adjacent to the illumination lens;a plurality of second transfer lenses disposed so as to be closer to the illumination lens than the first multipole lens and configured to transfer the coma-free condition of the first objective lens to the first multipole lens; anda third transfer lens disposed so as to be closer to the projection lens than the second multipole lens and configured to correct a fifth-order spherical aberration of a second objective lens disposed adjacent to the projection lens.2. A charged particle beam device comprising:a charged particle source;an illumination lens configured to adjust an amount of a beam of charged particles emitted by the charged particle source;a projection lens configured to project the charged particles that have passed through a specimen, onto detection means;a first objective lens;an aberration correction device; anda second objective lens,wherein the first objective lens, the aberration correction device ...

Подробнее
09-05-2013 дата публикации

Self assembly of field emission tips by capillary bridge formations

Номер: US20130115846A1
Принадлежит: Palo Alto Research Center Inc

A first side has a first surface on which is located a material, at least a portion of which is to be formed into at least one tip. A second side has a second surface which is heated. At least one of the first and second surfaces being moved so material located on the first surface comes into physical contact with the second surface. Then at least one of the first side and the second side are moved, wherein the physical contact between the material and the second surface is maintained, causing the material to stretch between the second surface and the first surface, generating at least one capillary bridge. Movement is continued until the physical contact between the material and the second surface is broken resulting in the formation of at least one sharp conductive tip.

Подробнее
16-05-2013 дата публикации

SUBSTRATE WITH CARBON NANOTUBES, AND METHOD TO TRANSFER CARBON NANOTUBES

Номер: US20130118682A1
Автор: Zeininger Heinrich
Принадлежит:

A substrate for field emitters uses carbon nanotubes (CNTs) on a conductive substrate, the CNTs being erected essentially perpendicular to the substrate and aligned. In a method to transfer a CNT forest from a first substrate to a second substrate, the second substrate is coated with adhesive and the peaks (tips) of the CNTs on the first substrate are embedded in the uncurred adhesive on the second substrate. After the adhesive cures, the CNTs are removed from the first substrate with the peaks anchored in the cured adhesive on the second substrate. 1. A method for transferring carbon nanotubes from a first substrate to a second substrate , comprising the steps of:growing carbon nanotubes on the first substrate with said carbon nanotubes aligned with each other and grown substantially perpendicularly to said first substrate, said carbon nanotubes on said first substrate each having a peak;coating a second substrate with an uncured adhesive layer; andtransferring the carbon nanotubes from said first substrate to said second substrate by submerging the peaks of the carbon nanotubes in the uncured adhesive layer on the second substrate and anchoring the carbon nanotubes at said peaks in said adhesive layer by curing the adhesive layer and, after curing of said adhesive layer, removing said carbon nanotubes from said first substrate with said carbon nanotubes remaining anchored in the cured adhesive layer on said second substrate.2. A method as claimed in comprising covering only said peaks of said carbon nanotubes with said uncured adhesive layer on said second substrate.3. A method as claimed in comprising submerging said peaks of said carbon nanotubes in said uncured adhesive layer with 30% to 70% of a length of each nanotube remaining outside of said uncured adhesive layer.4. A method as claimed in comprising employing an adhesive having a viscosity when uncured in a range between 500 and 100 mPas. The present application is a divisional of Ser. No. 13/075,401, ...

Подробнее
16-05-2013 дата публикации

FIELD EMITTING FLAT LIGHT SOURCE AND METHOD FOR MAKING THE SAME

Номер: US20130119856A1

A field emission flat light source and a manufacturing method thereof are provided. The field emission flat light source includes an anode (), a cathode (), a light guide plate () and a separation body (). The anode () and the light guide plate () are separated by the separation body (). The cathode () is provided in the contained space () formed by the anode (), the light guide plate () and the separation body (). The anode () includes an anode substrate (), a metal reflective layer () provided on the anode substrate () and a light emitting layer () provided on the metal reflective layer (). The cathode () includes a cathode substrate () and an electron emitter () provided on the surface of the cathode substrate (). The thermal conductivity of the field emission flat light source is improved. The field emission flat light source is applied to the field of the liquid crystal display or the illumination light. 1. A field emission flat light source , comprising: an anode , a cathode , a light-transmittable panel , and a isolater , the anode and the light-transmittable panel are in a flat plate shape , the anode is parallel to the cathode; wherein the anode and the light-transmittable panel is separated by the isolater; the anode , the light-transmittable panel , and the isolater cooperatively forms a vacuum confined space , the cathode is suspended in the vacuum confined space; the anode comprises an anode substrate , a metal reflective layer positioned on the anode substrate , and an emitting layer positioned on the metal reflective layer; the cathode comprises a plurality of cathode substrates which are separately disposed and electron emitter formed on surfaces thereof.2. The field emission flat light source according to claim 1 , wherein the cathode substrates are parallel metal wires or the cathode substrates form a network composed of metal wires.3. The field emission flat light source according to claim 1 , wherein the electron emitter has a structure type of ...

Подробнее
16-05-2013 дата публикации

IMPRINT LITHOGRAPHY METHOD AND IMPRINTABLE MEDIUM

Номер: US20130120725A1
Принадлежит: ASML Netherlands B.V.

An imprint lithography method is disclosed for reducing a difference between an intended topography and an actual topography arising from a part of a patterned layer of fixed imprintable medium. The method involves imprinting an imprint lithography template into a layer of flowable imprintable medium to form a patterned layer in the imprintable medium, and fixing the imprintable medium to form a patterned layer of fixed imprintable medium. Local excitation is applied to the part of the patterned layer to adjust a chemical reaction in the part of the patterned layer to reduce the difference between the intended topography and the actual topography arising from the part of the fixed patterned layer of imprintable medium when this is subsequently used as a resist for patterning the substrate. An imprint medium suitable for imprint lithography with the method is also disclosed. 1. An imprint lithography method for reducing a difference between an intended topography and an actual topography arising from a part of a patterned layer of fixed imprintable medium , the method comprising:imprinting an imprint lithography template into a layer of flowable imprintable medium to form a patterned layer in the imprintable medium;fixing the imprintable medium to form a patterned layer of fixed imprintable medium; andapplying local excitation to the part of the patterned layer to adjust a chemical reaction in the part of the patterned layer to reduce the difference between the intended topography and the actual topography arising from the part of the fixed patterned layer of imprintable medium.2. The imprint lithography method of claim 1 , wherein the imprintable medium is fixed by curing with a first actinic radiation.3. The imprint lithography method of claim 2 , wherein the fixing by curing with first actinic radiation comprises a polymerization reaction of a first monomer of the imprintable medium.4. The imprint lithography method of claim 1 , wherein the chemical reaction ...

Подробнее
16-05-2013 дата публикации

INSPECTION METHOD FOR IMPRINT LITHOGRAPHY AND APPARATUS THEREFOR

Номер: US20130120729A1
Автор: Koole Roelof
Принадлежит: ASML Netherlands B.V.

A method is disclosed for inspecting a device imprint lithography template to detect defect particles of imprintable medium remaining on the patterned imprinting surface after an earlier imprint step. The method involves illuminating the patterned surface with radiation of a first wavelength selected to induce fluorescence of the defect particles and not to induce fluorescence of anti-adhesion compound on the patterned surface. The presence of defect particles is indicated by the presence of fluorescence from the patterned surface and can be used to initiate a cleaning step when necessary, speeding processing by eliminating unnecessary cleaning. The elimination of false positives from transferred anti-adhesion compound is reduced or eliminated. Related apparatus is also disclosed. 1. A method of inspecting a patterned surface of an imprint template for use in imprint lithography of an imprintable medium on a substrate , wherein the imprintable medium or the imprint template comprises an anti-adhesion compound , the method comprising:illuminating the patterned surface with radiation of a first wavelength such that fluorescence of defect particles of imprintable medium present on the patterned surface is induced to yield radiation of a second wavelength, andusing the radiation of second wavelength as an indication of the presence of defect particles of imprintable medium on the patterned surface,wherein the radiation of the first wavelength induces fluorescence of the radiation of the second wavelength from the imprintable medium and does not substantially induce fluorescence of radiation of the second wavelength from the anti-adhesion compound.2. The method of claim 1 , wherein the radiation of the first wavelength does not substantially induce fluorescence of radiation from the anti-adhesion compound.3. The method of claim 1 , comprising:detecting the radiation of the second wavelength with a detector; andtransmitting a signal to a processor arranged to initiate ...

Подробнее
16-05-2013 дата публикации

METHOD FOR RECORDING ON AND READING OUT FROM OPTICAL INFORMATION RECORDING MEDIUM

Номер: US20130121123A1
Принадлежит: FUJIFILM Corporation

Recording and reading method for optical information recording medium comprising: recording layer having thickness not less than 2λ/n, where λ is wavelength of recording beam and n is refractive index of the recording layer, and configured to undergo a change in the refractive index by irradiation with the recording beam; and adjacent layer adjacent to the recording layer at a side opposite to an incident side, comprises the steps of: recording a recording spot by irradiating with the recording beam, while shifting focal position by offset amount d, which satisfies ωd3ω, where ωis radius of the recording spot, from interface between recording layer and adjacent layer toward the incident side at a time of recording, whereby the refractive index of recording layer changes at a recording position to record recording spot; and reading out the information by irradiating with reading beam, while bringing it into focus on the interface. 1. A method for recording information in an optical information recording medium and thereafter reading out the information from the optical information recording medium , which medium comprises: a recording layer having a thickness equal to or greater than 2λ/n , where λ is a wavelength of a recording beam and n is a refractive index of the recording layer , and configured to undergo a change in the refractive index by irradiation with the recording beam; and an adjacent layer disposed adjacent to the recording layer at a side opposite to an incident side from which the recording beam enters the recording layer , the method comprising the steps of:{'sub': 0', '0', '0, 'img': [{'@id': 'CUSTOM-CHARACTER-00009', '@he': '3.56mm', '@wi': '0.68mm', '@file': 'US20130121123A1-20130516-P00001.TIF', '@alt': 'custom-character', '@img-content': 'character', '@img-format': 'tif'}, {'@id': 'CUSTOM-CHARACTER-00010', '@he': '3.56mm', '@wi': '0.68mm', '@file': 'US20130121123A1-20130516-P00001.TIF', '@alt': 'custom-character', '@img-content': 'character', ...

Подробнее
16-05-2013 дата публикации

METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT

Номер: US20130122625A1
Принадлежит: SUMITOMO CHEMICAL CO., LTD.

A method for manufacturing an organic electroluminescent element that includes an anode (), a cathode (), a layered structure placed between the anode and the cathode and formed by stacking a plurality of organic layers including an electron injection layer () provided in contact with the cathode, the method including the steps of: preparing a first component () in which either the anode alone is or both the anode and at least a part of the organic layers to make up the layered structure are provided on a first substrate (); preparing a second component () in which either the cathode alone is or both the cathode and the rest part to make up the layered structure excluding the part provided in the first component is provided on a second substrate (); and laminating the first component and the second component to form the layered structure placed between the anode and the cathode, in which the electron injection layer that contains an ionic polymer is formed in the step of preparing the first component or in the step of preparing the second component. 1. A method for manufacturing an organic electroluminescent element that comprises an anode , a cathode , a layered structure placed between the anode and the cathode and formed by stacking a plurality of organic layers including an electron injection layer provided in contact with the cathode , the method comprising the steps of:preparing a first component in which either the anode alone is or both the anode and at least a part of the organic layers to make up the layered structure is provided on a first substrate;preparing a second component in which either the cathode alone is or both the cathode and the rest part to make up the layered structure excluding the part provided in the first component are provided on a second substrate; andlaminating the first component and the second component to form the layered structure placed between the anode and the cathode,wherein the electron injection layer that comprises an ...

Подробнее
16-05-2013 дата публикации

CONJUGATED POLYMERS WITH CARBONYL-SUBSTITUTED THIENO [3,4-B] THIOPHENE UNITS FOR POLYMER SOLAR CELL ACTIVE LAYER MATERIALS

Номер: US20130123449A1
Принадлежит: SOLARMER ENERGY, INC.

In one embodiment of the present disclosure, a series of conjugated polymers used, among other things, as polymer solar cell or polymer photovoltaic device active layer materials, is provided. In one embodiment, the conjugated polymers have the general structure and formula shown in (I), wherein: R1 and R2 are independently selected from proton, halogens, alkyls, aryls and substituted aryls; Ar is selected from the group consisting of monocyclic, bicyclic and polycyclic arylene, or monocyclic, bicyclic and polycyclic heteroarylene. In another embodiment, the conjugated photovoltaic polymers are comprised of repeated units having the general structure of formula (II), wherein, R1, R2, R3, R4, R5, and R6 are independently selected from proton, alkyls, halogens, aryls, substituted aryls, and other kinds of substituents. Synthesis methods of several polymers of the present disclosure are provided, and absorption spectra and electrochemical cyclic voltammetry data of some polymers, and also the photovoltaic properties of the polymers in this present disclosure are also provided. 13-. (canceled)5. The conjugated polymer of claim 4 , wherein R3 and R6 are protons; R1 claim 4 , R4 and R5 are independently selected from proton claim 4 , alkyls claim 4 , substituted alkyls claim 4 , aryls claim 4 , substituted aryls; R2 is selected from proton claim 4 , alkyls claim 4 , substituted alkyls claim 4 , halogens.7. The conjugated polymer of claim 4 , wherein the conjugate polymer is used as photovoltaic material in one or more photovoltaic devices.8. The conjugated polymer of claim 7 , wherein the one or more photovoltaic devices are polymer solar cell devices or photodetector devices.9. The conjugated polymer of claim 8 , wherein the polymer solar cell devices has a bulk heterojunction structure.10. The conjugated polymer of claim 9 , wherein one of ingredients of the bulk heterojunction structure is fullerene or a fullerene derivative.11. The conjugated polymer of claim 10 , ...

Подробнее
23-05-2013 дата публикации

PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR BATTERY CONTAINING THE SAME

Номер: US20130125987A1
Принадлежит:

The object is to provide a photoelectric conversion element having excellent photoelectric conversion efficiency, and high durability. 2. The photoelectric conversion element of claim 1 , wherein at least one of the Ar claim 1 , Ar claim 1 , and Arhas at least one thiophene ring structure.3. The photoelectric conversion element of claim 2 , wherein the thiophen ring binds to the X or the Y.4. The photoelectric conversion element of claim 1 , wherein at least one of the Ar claim 1 , Ar claim 1 , and Arhas at least one substituent selected from the group consisting of alkyl groups of from C1 to C20 claim 1 , alkoxy groups of from C1 to C8 claim 1 , and halogen atoms.6. The photoelectric conversion element of claim 5 , wherein at least one of the Ar claim 5 , Ar claim 5 , and Arhas at least one thiophen ring structure.7. The photoelectric conversion element of claim 6 , wherein the thiophen ring binds to the X or the Y.8. The photoelectric conversion element of claim 5 , wherein at least one of the Ar claim 5 , Ar claim 5 , and Arhas at least one substituent selected from the group consisting of alkyl groups of from C1 to C20 claim 5 , alkoxy groups of from C1 to C8 and halogen atoms.9. The photoelectric conversion element of claim 1 , wherein the semiconductor is titanium oxide.10. A solar battery comprising the photoelectric conversion element set forth in . This application is based on Japanese Patent Application No. 2011-254284 filed on Nov. 21, 2011, the contents of which are incorporated herein by reference.1. Technical FieldThe present invention relates to a photoelectric conversion element and a solar battery containing the same.2. Description of Related ArtsIn recent years, the use of sunlight, which is infinite and does not generate toxic substances, is being actively considered. An example of an application method of this clean energy source, sunlight, is the application to solar batteries using the photovoltaic effect. The photovoltaic effect is a ...

Подробнее
23-05-2013 дата публикации

Lithography Method and Apparatus

Номер: US20130128246A1
Принадлежит: ASML Neitherlands B.V.

In an embodiment, a lithography method is disclosed that includes providing a providing a first heat load to a first area of an object, and providing a second heat load to a second area of the object, wherein the second heat load is configured to ensure a deformation of the first area of the object caused by providing both the first heat load and the second heat load is smaller than a deformation of the first area of the object caused by providing only the first heat load. 1. A lithography method comprising:providing a first heat load to a first area of an object; andproviding a second heat load to a second area of the object;wherein the second heat load is configured to ensure a deformation of the first area of the object caused by providing both the first heat load and the second heat load is smaller than a deformation of the first area of the object caused by providing only the first heat load.2. The lithography method of claim 1 , wherein the object is a substrate claim 1 , an imprint template claim 1 , or a reticle.3. The lithography method of claim 1 , wherein the second area surrounds the first area.4. The lithography method of claim 1 , wherein the first and second areas are spaced apart.5. The lithography method of claim 1 , wherein the second area is concentric with the first area.6. The lithography method of claim 1 , wherein:the second area has an outer and an inner border and the first area has a border, andthe shape of both the outer and inner borders of the second area are substantially similar to the shape of the border of the first area.7. The lithography method of claim 1 , wherein:the second area has a substantially rectangular outer and inner border, andthe outer and inner border of the second area are substantially concentric with respect to each other.8. The lithography method of claim 1 , wherein a period in time of providing the second heat load at least partly overlaps with a period in time of providing the first heat load.9. The lithography ...

Подробнее
30-05-2013 дата публикации

PROCESSING SYSTEM

Номер: US20130134328A1
Принадлежит: CARL ZEISS MICROSCOPY GMBH

A processing system includes a particle beam column for generating a particle beam directed to a first processing location; a laser system for generating a laser beam directed to a second processing location located at a distance from the first processing location; and a protector including an actuator and a plate connected to the actuator. The actuator is configured to move the plate between a first position in which it protects a component of the particle beam column from particles released from the object by the laser beam and a second position in which the component of the particle beam column is not protected from particles released from the object by the laser beam. 114-. (canceled)15. A system , comprising:a particle beam column configured to generate a particle beam directed to a first processing location;a laser system configured to generate a laser beam directed to a second processing location located a distance from the first processing location; anda protector comprising an actuator and a plate connected to the actuator, the actuator is configured to move the plate between first and second plate positions;', 'in the first plate position, the plate is positioned a first distance from a component of the particle beam column so that the plate protects the component of the particle beam column from particles released from the object by the laser beam during use of the laser beam;', 'in the second position the plate is located a second distance from the component of the particle beam column; and', 'the second distance is greater than the first position., 'wherein16. The system according to claim 15 , wherein the particle beam column is an electron beam column.17. The system according to claim 15 , wherein the particle beam column is an ion beam column.18. The system according to claim 15 , wherein the plate is cup-shaped to partially surround the component in the first position.19. A system claim 15 , comprising:a particle beam column configured to generate a ...

Подробнее
30-05-2013 дата публикации

Conductive nanostructure, method for molding same, and method for manufacturing a field emitter using same

Номер: US20130134860A1
Автор: Wal Jun Kim, Yong Hyup Kim

The present invention relates to a conductive nanostructure, a method for molding the same, and a method for manufacturing a field emitter using the same. More particularly, the present invention relates to a field-emitting nanostructure comprising a conductive substrate, a conductive nanostructure arranged on the conductive substrate, and a conductive interfacial compound disposed in the interface between the conductive substrate and the conductive nanostructure, as well as to a method for molding the same, and a method for manufacturing a field emitter using the same.

Подробнее
06-06-2013 дата публикации

NANO IMPRINT LITHOGRAPHY APPARATUSES AND METHODS

Номер: US20130139713A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A nano imprint lithography apparatus includes a stamp including a main body having a first surface and a second surface, the first surface having a pattern to be imprinted on a substrate, and the second surface having at least one pole and at least one actuator configured to apply force to the at least one pole to deform the main body. The apparatus includes a stationary stage configured to support the substrate to which the pattern is transferred from the stamp. The apparatus further includes a controller configured to drive the at least one actuator to apply force to the at least one pole to deform the stamp and correct an alignment error between the stamp and the substrate. 1. A nano imprint lithography apparatus , comprising:a stamp including a main body having a first surface and a second surface, the first surface having a pattern to be imprinted on a substrate, and the second surface having at least one pole and at least one actuator configured to apply force to the at least one pole to deform the main body;a stationary stage configured to support the substrate to which the pattern is transferred from the stamp; anda controller configured to drive the at least one actuator to apply force to the at least one pole to deform the stamp and correct an alignment error between the stamp and the substrate.2. The nano imprint lithography apparatus according to claim 1 , wherein the main body and the at least one pole include a light-transmitting material.3. The nano imprint lithography apparatus according to claim 1 , wherein the at least one actuator is at least one of a pneumatic type actuator claim 1 , a hydraulic type actuator claim 1 , a motor driving type actuator and a piezo element.4. The nano imprint lithography apparatus according to claim 1 , wherein the controller is configured to control the at least one actuator to generate a level of deformation of the stamp to correct the alignment error between the stamp and the substrate.5. A nano imprint lithography ...

Подробнее
06-06-2013 дата публикации

METHOD FOR MAKING FIELD EMISSION CATHODE DEVICE

Номер: US20130143465A1
Принадлежит:

A method for making a field emission cathode device is provided. A filler, a substrate, and a metal plate are provided. The metal plate has a first surface and a second surface opposite to the first surface, and defines at least one through hole extending through from the first surface to the second surface. At least one electron emitter is inserted into the at least one through hole. The first surface of the metal plate is attached to the substrate. At least a part of the at least one electron emitter is located between the first surface and the substrate. The at least one through hole is filled with the filler to firmly fix the at least one electron emitter. 1. A method for making a field emission cathode device , the method comprising:{'b': '10', 'step (S), providing a filler, a substrate, and a metal plate, wherein the metal plate has a first surface and a second surface opposite to the first surface, and defines at least one through hole extending through from the first surface to the second surface;'}{'b': '20', 'step (S), inserting at least one electron emitter into the at least one through hole;'}{'b': '30', 'step (S), attaching the first surface of the metal plate to the substrate, wherein at least a part of the at least one electron emitter is located between the first surface and the substrate; and'}{'b': '40', 'step (S), filling the at least one through hole with the filler to firmly fix the at least one electron emitter.'}220. The method of claim 1 , wherein the step (S) comprises:{'b': '21', 'step (S), providing a field emission wire supply device supplying a continuous field emission wire, the field emission wire supply device having a hollow needle and a tip, wherein the field emission wire extends through the hollow needle and out from the tip;'}{'b': '22', 'step (S), positioning the field emission wire into the at least one through hole, and severing the field emission wire to obtain at least one electron emitter.'}{'b': 23', '21', '22, 'step (S), ...

Подробнее
13-06-2013 дата публикации

LOW CONTACT RESISTANCE ORGANIC THIN FILM TRANSISTORS

Номер: US20130149812A1
Автор: NEWSOME Christopher
Принадлежит: CAMBRIDGE DISPLAY TECHNOLOGY LIMITED

The invention provides the use of a solvent selected from the group consisting of alkoxybenzenes and alkyl substituted alkoxybenzenes in reducing the contact resistance in an organic thin film transistor comprising a semiconductor layer comprising a blend of a small molecule semiconductor material and a polymer material that is deposited from a solution of said small molecule semiconductor material and said polymer material in said solvent and novel semiconductor blend formulations that are of particular use in preparing organic thin film transistors. Said solvents yield devices with lower absolute contact resistance, lower absolute channel resistance, and lower proportion of contact resistance to the total channel resistance. 130.-. (canceled)33. A semiconductor blend formulation according to claim 32 , wherein:{'sub': 'n', 'said semiconducting conjugated polymer is TFB [9,9′-dioctylfluorene-co-N-(4-butylphenyl)-diphenylamine];'}said small molecule semiconductor material is a compound of formula (VII) wherein A is selected from:{'sup': '11', 'a thiophene group that is fused with a phenyl group substituted with at least one group of formula X;'}{'sup': 11', '11', '11', '11, 'sub': n', '2n+1, 'a phenyl group that may be unsubstituted or substituted with at least one group of formula X, said phenyl group further optionally being fused with a thiophene group which can be unsubstituted or substituted with at least one group of formula Xand/or fused with a benzothiophene group, said benzothiophene group being unsubstituted or substituted with at least one group of formula X, wherein Xis a group of formula CHwherein n is 0 or an integer of from 1 to 16; and'}the ratio of said small molecule semiconductor material to said polymer material in said blend is from 80:20 to 60:40.36. A semiconductor blend formulation according to claim 35 , wherein each group Xis a hexyl group and the ratio of said small molecule semiconductor material to said polymer material is 70:30.37. A ...

Подробнее
20-06-2013 дата публикации

MATERIALS AND METHODS FOR ORGANIC LIGHT-EMITTING DEVICE MICROCAVITY

Номер: US20130153866A1
Принадлежит: KATEEVA, INC.

The present teachings provide methods for forming organic layers for an organic light-emitting device (OLED) using an inkjet printing or thermal printing process. The method can further use one or more additional processes, such as vacuum thermal evaporation (VTE), to create an OLED stack. OLED stack structures are also provided wherein at least one of the charge injection or charge transport layers is formed by an inkjet printing or thermal printing method at a high deposition rate. The structure of the organic layer can be amorphous, crystalline, porous, dense, smooth, rough, or a combination thereof, depending on deposition parameters and post-treatment conditions. An OLED microcavity is also provided and can be formed by one of more of the methods. 1. A method of forming a microcavity for an organic light-emitting device , the method comprising:depositing an ink from an inkjet printhead onto a substrate comprising a first reflective electrode, to form a printed layer, the ink being defined by a carrier and dissolved or suspended film-forming organic material;driving off the carrier from the printed layer to form a first organic buffer layer on the substrate;depositing a light-emitting organic material over the first organic buffer layer to form an emitting layer such that the first organic buffer layer is disposed between the substrate and the emitting layer, the light-emitting organic material emitting light, upon excitation, at a peak emission wavelength; anddepositing a second reflective electrode over the emitting layer such that the emitting layer is disposed between the first reflective electrode and the second reflective electrode, to form an OLED microcavity;wherein at least one of the first and second reflective electrodes is semi-transparent, the first reflective electrode and the second reflective electrode are separated from one another by a distance, the distance corresponds to a depth of the microcavity, and the depth of the microcavity is ...

Подробнее
20-06-2013 дата публикации

CATHODE BODY, FLUORESCENT TUBE, AND METHOD OF MANUFACTURING A CATHODE BODY

Номер: US20130154469A1

Provided is a cathode body that comprises a cylindrical cup as a base member, a barrier layer provided on a surface of the cylindrical cup and containing SiC, and a film formed on a surface of the barrier layer and containing a boride of a rare earth element and that can prevent interdiffusion of a constituent element of the base member and the boride. 1. A cathode body by comprising:a base member;a barrier layer provided on a surface of the base member and containing SiC; anda film formed on a surface of the barrier layer and containing a boride of a rare earth element.2. The cathode body according to claim 1 , wherein:{'sub': 2', '3', '2', '2', '3, 'the base member is tungsten, molybdenum, silicon, or tungsten or molybdenum containing at least one selected from the group consisting of LaO, ThO, and YO.'}3. The cathode body according to claim 1 , wherein:{'sub': 4', '6', '6', '6', '6, 'the boride of the rare earth element contains at least one boride selected from the group consisting of LaB, LaB, YbB, GaB, and CeB.'}4. The cathode body according to claim 3 , wherein:{'sub': '6', 'the at least one boride of the rare earth element selected is LaB.'}5. The cathode body according to claim 4 , wherein:{'sub': 2', '3, 'the base member is tungsten or tungsten containing 4 to 6% LaOby volume ratio.'}6. A fluorescent tube using claim 1 , as a cathode claim 1 , the cathode body according to .7. A method of manufacturing a cathode body claim 1 , comprising:a step (a) of forming a barrier layer containing SiC on a surface of a base member; anda step (b) of forming a film containing a boride of a rare earth element on the barrier layer.8. The method of manufacturing a cathode body according to claim 7 , wherein:the step (a) is a step of forming the barrier layer on the surface of the base member by CVD or sputtering.9. The method of manufacturing a cathode body according to claim 7 , wherein:{'sub': '6', 'the step (b) is a step of forming the film of LaBon the barrier layer by ...

Подробнее
20-06-2013 дата публикации

METHOD AND APPARATUS FOR ANALYZING AND/OR REPAIRING OF AN EUV MASK DEFECT

Номер: US20130156939A1
Принадлежит:

The invention relates to a method for analyzing a defect of a photolithographic mask for an extreme ultraviolet (EUV) wavelength range (EUV mask) comprising the steps of: (a) generating at least one focus stack relating to the defect using an EUV mask inspection tool, (b) determining a surface configuration of the EUV mask at a position of the defect, (c) providing model structures having the determined surface configuration which have different phase errors and generating the respective focus stacks, and (d) determining a three dimensional error structure of the EUV mask defect by comparing the at least one generated focus stack of the defect and the generated focus stacks of the model structures. 1. A method for analyzing a defect of a photolithographic mask for an extreme ultraviolet (EUV) wavelength range (EUV mask) , the method comprising:a. generating at least one focus stack relating to the defect using an EUV mask inspection tool;b. determining a surface configuration of the EUV mask at a position of the defect;c. providing model structures having the determined surface configuration which have different phase errors and generating the respective focus stacks; andd. determining a three dimensional error structure of the EUV mask defect by comparing the at least one generated focus stack of the defect and the generated focus stacks of the model structures.2. The method of claim 1 , further comprising applying different repairing methods to the three dimensional error structure and simulating respective focus stacks in order to determine an optimal repairing method.3. The method of claim 2 , further comprising applying the optimal repairing method to the defective position.4. The method of claim 1 , wherein the model structures comprise an absorbing pattern structure on a surface of the EUV mask.5. The method of claim 4 , further comprising providing the absorbing pattern structure from EUV mask design data and/or from a recording of at least one image.6. The ...

Подробнее
04-07-2013 дата публикации

PRINTING METHOD FOR USE IN FABRICATION OF AN ELECTRONIC UNIT

Номер: US20130168664A1
Автор: Crankshaw Mark
Принадлежит: CAMBRIDGE DISPLAY TECHNOLOGY LIMITED

A printing method for use in fabrication of an electronic unit comprising one or more lines of a regularly repeating structural feature formed over a substrate, the structural feature repeating over a regular interval along each line. The method comprises: using a first print-head arrangement to print portions of a composition at a first pitch along each of the lines; and using a second print-head arrangement to print portions of the composition at a second pitch along each of the lines; such that the first and second pitches together produce a beating pattern along each of the lines, having a beating wavelength matched to the interval of the regularly repeating structural feature. 1. A printing method for use in fabrication of an electronic unit comprising one or more lines of a regularly repeating structural feature formed over a substrate , the structural feature repeating over a regular interval along each line , wherein the method comprises:using a first print-head arrangement to print portions of a composition at a first pitch along each of said lines; andusing a second print-head arrangement to print portions of said composition at a second pitch along each of said lines;such that the first and second pitches together produce a beating pattern along each of said lines, having a beating wavelength matched to the interval of said regularly repeating structural feature.2. The method of claim 1 , wherein a plurality of said lines are each formed in a longitudinal direction over the substrate claim 1 , and the first and second print-head arrangements move in a print direction relative to the substrate claim 1 , the print direction being transverse to the longitudinal direction of said lines.3. The method of claim 1 , wherein:the first print-head arrangement comprises a first instance of a print-head having a row of regularly spaced nozzles each for printing a respective portion of said composition at a different respective point along each of said lines; andthe ...

Подробнее
04-07-2013 дата публикации

ORGANIC EL DISPLAY DEVICE AND MANUFACTURING METHOD OF THE SAME

Номер: US20130171756A1
Автор: Ando Makoto
Принадлежит: SONY CORPORATION

Disclosed herein is an organic EL display device including, on a substrate: lower electrodes; first hole injection/transport layers; second organic light-emitting layers of colors other than blue; a blue first organic light-emitting layer; electron injection/transport layers; and an upper electrode. 1. A manufacturing method of an organic electroluminescence display device comprising:forming, on a substrate, a lower electrode for each of a blue first organic electroluminescence element and second organic electroluminescence elements of other colors;forming, by a coating method, first hole injection/transport layers on or above the lower electrode, one for each of the first and second organic electroluminescence elements, each of the first hole injection/transport layers having at least a hole injection or hole transport characteristic;forming, by a coating method, second organic light-emitting layers of other colors on the first hole injection/transport layers of the second organic electroluminescence elements;forming, by a vapor deposition method, a blue first organic light-emitting layer over the entire surfaces of the second organic light-emitting layers and the first hole injection/transport layer of the first organic electroluminescence element, the blue first organic light-emitting layer being made of a low molecular weight material; andforming electron injection/transport layers and an upper electrode sequentially over the entire surface of the first organic light-emitting layer, each of the electron injection/transport layers having at least an electron injection or electron transport characteristic, whereina plurality of pixels, each having the first and second organic electroluminescence elements arranged in the same positional relationship, are provided in the organic electroluminescence display device, andeach of the second organic electroluminescence elements is adjacent to the first organic electroluminescence elements in the same or adjacent pixel at ...

Подробнее
04-07-2013 дата публикации

FLUORENE-CONTAINING ORGANIC SEMICONDUCTOR MATERIAL, PREPARATION METHOD AND USE THEREOF

Номер: US20130172508A1

A fluorene-containing organic semiconductor material, preparation method and use thereof are provided. Said fluorene-containing organic semiconductor material has the following formula (P), wherein n is an integer of 1-100; m is an integer of 1-20; x and y are positive real number, and x+y=1; Rand Rare respectively H, F, CN, C-Clinear or branched alkyl or alkoxyl, aryl or heteroaryl groups; Ris H, C-Calkyl. Said fluorene organic semiconductor material has a high carrier mobility, strong light absorbency and broad light absorption region, which improves utilization ratio to the sunlight. 2. The fluorene-containing organic semiconductor material according to claim 1 , wherein m is an integer of 6-12; Rand Rare C-Clinear or branched alkyl or alkoxyl; Ris C-Calkyl.4. The method according to claim 3 , wherein m is an integer of 6-12; Rand Rare C-Clinear or branched alkyl or alkoxyl; Ris C-Calkyl.6. The method according to claim 5 , wherein the second solvent is at least one selected from the group consisting of tetrahydrofuran claim 5 , diethyl ether claim 5 , dichloromethane claim 5 , chloroform claim 5 , and ethyl acetate claim 5 , the mole amount of the bis(pinacolato)diboron or 2-isopropoxy-4 claim 5 ,4 claim 5 ,5 claim 5 ,5-tetramethyl-1 claim 5 ,3 claim 5 ,2-dioxaborolane is 2 to 4 times of the mole amount of the 2 claim 5 ,7-dibromo-9 claim 5 ,9-dialkyl fluorene.7. The method according to claim 3 , wherein the mole amount of the catalyst is 0.01% to 20% of the mole amount of the 2 claim 3 ,7-bis(4 claim 3 ,4 claim 3 ,5 claim 3 ,5-tetramethyl-1 claim 3 ,3 claim 3 ,2-dioxaborolanyl)-9 claim 3 ,9-dialkyl fluorene;the catalyst is organic palladium or a mixture of the organic palladium and organophosphorus ligand;{'sub': 3', '4', '2', '2', '3', '3', '2', '2, 'the organic palladium is Pd(PPh), Pd(OAc), Pd(dba)or Pd(PPh)Cl;'}{'sub': '3', 'the organophosphorus ligand is tricyclohexylphosphine or P(o-Tol).'}8. The method according to claim 7 , in the mixture of the organic ...

Подробнее
11-07-2013 дата публикации

SEMICONDUCTING POLYMERS

Номер: US20130175481A1

The invention relates to novel polymers containg repeating units based on benzodifuran, benzodipyrrole or benzodithiophene, monomers and methods for their preparation, their use as semiconductors in organic electronic (OE) devices, especially in organic photovoltaic (OPV) devices, and to OE and OPV devices comprising these polymers. 2. Polymer comprising one or more repeating units which contain a unit of formula I as defined in and/or contain one or more units selected from aryl and heteroaryl units that are optionally substituted , wherein at least one of the repeating units in the polymer contains at least one unit of formula I as defined in .3. Polymer according to claim 1 , which is selected of formula II{'br': None, 'sup': 1', '2', '3, 'sub': x', 'y', 'n, '—[(Ar—U—Ar)—(Ar)]—\u2003\u2003II'}whereinU is on each occurrence identically or differently a unit of formula{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'I as defined in ,'}{'sup': 1', '2', 'a, 'Ar, Ar, Arare, on each occurrence identically or differently, and independently of each other, optionally substituted aryl or heteroaryl,'}{'sup': 1', '2, 'Yand Yare independently of each other H, F, Cl or CN,'}{'sup': 1', '2', '3, 'sub': x', 'y, 'x is on each occurrence identically or differently 0, 1 or 2, wherein in at least one repeating unit, i.e. in at least one unit —[(Ar—U—Ar)—(Ar)]—, x is 1,'}y is on each occurrence identically or differently 0, 1 or 2,n is an integer>1.4. Polymer according to one or more of claim 3 , which is selected of formula IIa{'br': None, 'sup': 2', '1', '2', '3', '3, 'sub': x', 'y', 'n, 'R—[(Ar—U—Ar)-(Ar)]—R\u2003\u2003IIa'}{'sup': '1-3', 'claim-ref': {'@idref': 'CLM-00003', 'claim 3'}, 'wherein U, Ar, n, x and y have the meanings of , and'}{'sup': 2', '3', '1', '0, 'sub': 2', '2', '2, 'Rand Rhave independently of each other one of the meanings of R, or denote H, —CHCl, —CHO, —CH═CH, —SiR′R″R′″, —SnR′R″R″, —BR′R″, —B(OR′)(OR″), —B(OH), or P—Sp, wherein P and Sp are as defined ...

Подробнее
11-07-2013 дата публикации

FIVE-RING FUSED HETEROAROMATIC COMPOUNDS AND CONJUGATED POLYMERS THEREOF

Номер: US20130178599A1
Принадлежит:

Compounds having a core comprised of an aromatic ring and at least two annulated beta-substituted fused thiophene ring systems of the general formula: 1. (canceled)2. (canceled)3. (canceled)7. The polymer of having a mobility from 0.0192 to 0.0772 cm/V·s.8. (canceled)12. The device of wherein Ris CH claim 11 , Ris CH claim 11 , and Gand Gare independently selected from -(thiophene)- claim 11 , -(thiophene)- claim 11 , -{(beta-C-substituted-thiophene) claim 11 , or -{(beta-C-substituted-thiophene)- and n is 5 to 20. The entire disclosure of any publication or patent document mentioned herein is incorporated by reference.The disclosure generally relates to compounds and polymers having a core comprised of an aromatic ring and annulated fused thiophenes, to compositions, to articles, and to methods for making and using the compounds and polymers.The disclosure provides compounds and polymers having a five-ring fused heteroaromatic core comprised of an aromatic ring and annulated beta-substituted fused thiophenes, to compositions, to articles, and to methods for making and using compounds and polymers that can be used, for example, for electronic applications, such as light emitting devices and semiconductor devices, and methods of making and using the compounds and polymers.Various embodiments of the disclosure will be described in detail with reference to drawings, if any. Reference to various embodiments does not limit the scope of the invention, which is limited only by the scope of the claims attached hereto. Additionally, any examples set forth in this specification are not limiting and merely set forth some of the many possible embodiments for the claimed invention.“Unit,” “polymerizable unit,” or like terms in the context of the disclosed polymers or copolymers refer to the number of different core units and like other conjugated units within a discrete repeat segment (n) of a polymer or copolymer, see for example the core unit having a core comprised of a ...

Подробнее
18-07-2013 дата публикации

Method Of Generating Low-Energy Secondary Electrons For Applications In Biological Sciences, Radiochemistry, And Chemistry Of Polymers And Physics Of Radiotherapy

Номер: US20130181142A1

The present disclosure relates to a method and a system for generating low-energy electrons in a biological material. The biological material is held in position by a support. Laser beam pulses are directed by a focusing mechanism toward a region of interest within the biological material. This generates filaments of low-energy electrons within the region of interest. The method and system may be used for radiotherapy, radiochemistry, sterilization, nanoparticle coating, nanoparticle generation, and like uses. 1. A method for generating low-energy electrons in a biological material , comprising:supporting the biological material;generating laser beam pulses; andfocusing the laser beam pulses toward a region of interest within the biological material to generate filaments of low-energy electrons.2. The method of claim 1 , wherein the biological material is a laboratory sample.3. The method of claim 1 , wherein the biological material is contained in an aqueous solution.4. The method of claim 1 , wherein laser pulses have a wavelength of about 800 nanometers.5. The method of claim 1 , wherein laser pulses have a duration of about 100 femtosecond.6. The method of claim 1 , wherein laser pulses are repeated at a rate of about 1 kHz.7. The method of claim 1 , wherein laser pulses are generated at a power of about 300 milliwatts.8. The method of claim 1 , wherein the region of interest has a volume of about one cubic centimeter.9. The method of claim 1 , wherein the low-energy electrons have anisotropic concentrations.10. The method of claim 1 , comprising measuring a ferric ion concentration in the region of interest following generation of the low-energy electrons.11. The method of claim 1 , comprising measuring a thymine concentration in the region of interest following generation of the low-energy electrons.12. Use of the method of for a function selected from the group consisting of radiochemistry claim 1 , sterilization claim 1 , polymerization claim 1 , ...

Подробнее
18-07-2013 дата публикации

IMPRINT LITHOGRAPHY

Номер: US20130182236A1
Принадлежит: ASML Netherlands B.V.

An imprint lithography apparatus having a first frame to be mounted on a floor, a second frame mounted on the first frame via a kinematic coupling, an alignment sensor mounted on the second frame, to align an imprint lithography template arrangement with a target portion of a substrate, and a position sensor to measure a position of the imprint lithography template arrangement and/or a substrate stage relative to the second frame. 1. An imprint lithography apparatus , comprising:a first frame to be mounted on a floor;a second frame mounted on the first frame via a kinematic coupling;an alignment sensor mounted on the second frame, to align an imprint lithography template arrangement with a target portion of a substrate; anda position sensor to measure a position of the imprint lithography template arrangement and/or a substrate stage relative to the second frame.2. The apparatus of claim 1 , wherein the first frame is to be mounted on the floor by a vibration isolation system.3. The apparatus of claim 1 , wherein a portion of the second frame extends from outside of the first frame claim 1 , and into a region located within the first frame claim 1 , so that the measurement of position may be undertaken relative to or using that portion of the second frame.4. An imprint lithography apparatus claim 1 , comprising:a first frame to be mounted on a floor;a second frame mounted on the first frame via a vibration isolation system;an imprint lithography template arrangement configured, at least in use, to be mounted on the second frame via a kinematic coupling;an alignment sensor mounted on the second frame, to align the imprint lithography template arrangement with a target portion of a substrate; anda position sensor to measure a position of a substrate stage relative to the second frame.525.-. (canceled)26. The apparatus of claim 4 , wherein the second frame is located substantially within the first frame.27. The apparatus of claim 4 , further comprising a release ...

Подробнее
25-07-2013 дата публикации

NANOIMPRINT LITHOGRAPHY

Номер: US20130187312A1

The present invention relates to a nanoimprint lithography method. Said nanoimprint lithography method comprises: a preparation step during which a resin () is placed on a substrate (); a step of pressing a mold (), comprising raised patterns (), in order to transfer the raised patterns () of the mold () into the resin () so as to form designs () therein, which each have at least one end; and a removal step for separating the mold () from the resin (), characterized in that the resin () is a positive photosensitive resin, in that it includes an exposure step for activating the resin () before or after the step of removing the mold (), and in that it includes, prior to the exposure step, a masking step during which a mask coating (), partially stopping at least the exposure of the resin () that it covers and only covering the resin () outside the end of the designs (), is placed between the mold () and the resin (). 1. A nanoimprint lithography method , comprising:disposing a resin on a substrate, wherein the resin is a positive photosensitive resin;pressing a mold comprising reliefs into the resin to form patterns therein, wherein each pattern comprises an end and sidewalls;separating the mold from the resin;exposing to activate the resin before or after said separating; andbefore said exposing, disposing a masking coating between the mold and the resin so that the masking coating covers all the resin outside the patterns and at least part of the sidewalls of the patterns and leaves at least the end of the patterns uncovered.2. The method of claim 1 , wherein the masking coating covers the sidewalls of the patterns at a height greater than a thickness of the masking coating.3. The method of claim 1 , wherein the masking coating covers the resin outside the patterns and leaves the resin uncovered only at the end of the patterns.4. The method of claim 1 , further comprising:developing the resin after said exposing and said separating.5. The method of claim 1 , wherein ...

Подробнее
25-07-2013 дата публикации

BEAM POSITION MONITOR AND PARTICLE BEAM THERAPY SYSTEM

Номер: US20130190548A1
Принадлежит: Mitsubishi Electric Corporation

A charged particle beam position monitor is provided with a plurality of position monitors and a beam data processing device that performs calculation processing of the state of a charged particle beam, based on a plurality of signals outputted from the position monitors. The beam data processing device includes a plurality of channel data conversion units that perform AD conversion processing of the plurality of signals outputted from the position monitors; a position size processing unit, for each of the position monitors, that calculates the beam position of the beam, based on voltage information obtained through the AD conversion processing; and an integrated control unit that controls the plurality of channel data conversion units in such a way that while the beam is irradiated onto an irradiation subject, AD conversion processing of the signals is performed at different timings for the respective position monitors. 1. A beam position monitor that performs calculation processing of the state of a charged particle beam that has been accelerated by an accelerator and scanned by a scanning electromagnet , the beam position monitor comprising:a plurality of position monitors that detect a passing position of the charged particle beam through a plurality of detection channels; anda beam data processing device that performs calculation processing of the state of the charged particle beam, based on a plurality of analogue signals outputted from the plurality of position monitors, wherein the beam data processing device includes a plurality of channel data conversion units that perform AD conversion processing in which each of the plurality of analogue signals outputted from the position monitors is converted into a digital signal; a position size processing unit, for each of the position monitors, that calculates a beam position, which is a passing position of the charged particle beam in the position monitor, based on a plurality of voltage information items obtained ...

Подробнее
01-08-2013 дата публикации

COMPOUNDS FOR ELECTRONIC DEVICES

Номер: US20130193382A1
Принадлежит: Merck Patent GmBH

The present invention relates to compounds of the formula (I) and to the use thereof in electronic devices. The invention furthermore relates to electronic devices, preferably organic electroluminescent devices (OLEDs), comprising one or more com-pounds of the formula (I). The invention again furthermore relates to the preparation of compounds of the formula (I) and to formulations comprising one or more compounds of the formula (I). 114-. (canceled)16. The compound according to claim 15 , wherein the group Y is selected on each occurrence claim 15 , identically or differently claim 15 , from —N(Ar)— and —P(Ar)—.17. The compound according to claim 15 , wherein Arrepresents an aromatic ring system having 6 to 20 aromatic ring atoms claim 15 , which is optionally substituted by one or more radicals R claim 15 , where two groups Arwhich are bonded to the same group Y is optionally connected to one another via a single bond or a divalent group selected from —C(R)— claim 15 , —C(═O)— claim 15 , —O— claim 15 , —S— or —NR— claim 15 , and where furthermore Aris not substituted by a radical containing B claim 15 , Si claim 15 , Ge or P.18. The compound according to claim 15 , wherein a group Ris bonded in the 7-position on the pyrene.19. The compound according to claim 15 , wherein Ris selected on each occurrence claim 15 , identically or differently claim 15 , from a straight-chain alkyl group having 1 to 10 C atoms or a branched or cyclic alkyl group having 3 to 10 C atoms claim 15 , where the above-mentioned groups may each be substituted by one or more radicals Rand where one or more adjacent or non-adjacent CHgroups in the above-mentioned groups is optionally replaced by C═O claim 15 , —C(═O)O— claim 15 , —C(═O)NR— claim 15 , NR claim 15 , —O— or —S— and where one or more H atoms in the above-mentioned groups is optionally replaced by D claim 15 , F or CN claim 15 , or an aryl group having 6 to 10 aromatic ring atoms claim 15 , which is optionally substituted by one or ...

Подробнее
08-08-2013 дата публикации

POLYMER COMPOUND AND ORGANIC PHOTOELECTRIC CONVERSION DEVICE

Номер: US20130200351A1
Принадлежит: Sumitomo Chemical Company, Limited

A polymer compound comprising a repeating unit represented by the formula (1) is useful for an organic photoelectric conversion device: 2. An organic photoelectric conversion device having a pair of electrodes and a functional layer disposed between the electrodes claim 1 , wherein the functional layer comprises an electron accepting compound and the polymer compound as described in .3. The organic photoelectric conversion device according to claim 2 , wherein the amount of the electron accepting compound comprised in the functional layer is 10 to 1000 parts by weight with respect to 100 parts by weight the polymer compound.4. The organic photoelectric conversion device according to claim 2 , wherein the electron accepting compound is a fullerene derivative.5. The organic photoelectric conversion device according to claim 3 , wherein the electron accepting compound is a fullerene derivative. The present invention relates to a polymer compound and an organic photoelectric conversion device using the same.Organic semiconductor materials are expected to be applied to organic photoelectric conversion devices such as organic solar batteries, optical sensors and the like. Particularly, if a polymer compound is used as the organic semiconductor material, a functional layer can be fabricated by an inexpensive coating method. For improving the properties of an organic photoelectric conversion device, there are investigations of use of organic semiconductor materials which are various polymer compounds in an organic photoelectric conversion device. As the organic semiconductor material, there is a suggestion, for example, on a polymer compound obtained by polymerizing 9,9-dioctylfluorene-2,7-diboronic acid ester and 5,5″″-dibromo-3″,4″-dihexyl-α-pentathiophene (WO2005/092947).The above-described polymer compound, however, manifests insufficient absorption of long-wavelength light.Therefore, the present invention provides a polymer compound showing large absorbance of long- ...

Подробнее
08-08-2013 дата публикации

Conjugated Polymers and Their Use in Optoelectronic Devices

Номер: US20130200355A1
Принадлежит: POLYERA CORPORATION

Disclosed are certain polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide improved device performance, for example, as measured by power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. 4. The device of , wherein Ar and Ar′ if both present are different and/or π and π′ are different , and a , b , m , m′ , n , n′ , x and y are as defined in .9. The device of claim 1 , wherein the repeating unit comprising x mole fraction of the polymer and the repeating unit comprising y mole fraction of the polymer are different claim 1 , and wherein 0.3≦x≦0.7 and 0.3≦y≦0.7 claim 1 , and wherein the sum of x and y is about 1.10. The device of claim 9 , wherein the repeating unit comprising x mole fraction of the polymer and the repeating unit comprising y mole fraction of the polymer are repeated in a random manner.12. The device of configured as an organic photovoltaic device comprising an anode claim 9 , a cathode claim 9 , optionally one or more anode interlayers claim 9 , optionally one or more cathode interlayers claim 9 , and in between the anode and the cathode the polymeric semiconductor component according to .13. The device of claim 12 , wherein the organic photovoltaic device is a bulk heterojunction photovoltaic device.14. The device of claim 13 , wherein the polymeric semiconductor component is photoactive and the polymer of formula (I) is present in a blend material claim 13 , wherein the polymer of formula (I) functions as an electron-donor compound and the blend material further comprises an electron- ...

Подробнее
08-08-2013 дата публикации

Micro-Pattern Forming Method, and Micro-Channel Transistor and Micro-Channel Light-Emitting Transistor Forming Method Using Same

Номер: US20130203198A1
Принадлежит: POSTECH ACADEMY-INDUSTRY FOUNDATION

Provided is a method of forming a micropattern according to an aspect of the present invention. The method of forming a micropattern may include forming an organic wire or organic-inorganic hybrid wire mask pattern having a circular or elliptical cross section on a substrate, forming a material layer on an entire surface of the substrate having the organic wire or organic-inorganic hybrid wire mask pattern formed thereon, and removing the organic wire or organic-inorganic hybrid wire mask pattern from the substrate to allow only the material layer on a portion of the substrate having no organic wire or organic-inorganic hybrid wire mask pattern formed thereon to be remained. 1. A method of forming a micropattern , the method comprising:forming an organic wire or organic-inorganic hybrid wire mask pattern having a circular or elliptical cross section on a substrate;forming a material layer on an entire surface of the substrate having the organic wire or organic-inorganic hybrid wire mask pattern formed thereon; andremoving the organic wire or organic-inorganic hybrid wire mask pattern from the substrate to allow only the material layer on a portion of the substrate having no organic wire or organic-inorganic hybrid wire mask pattern formed thereon to be remained.2. The method of claim 1 , wherein the organic wire or organic-inorganic hybrid wire mask pattern having a circular or elliptical cross section is prepared by electric field aided robotic nozzle printing claim 1 , direct tip drawing claim 1 , meniscus-guided direct writing claim 1 , melt spinning claim 1 , wet spinning claim 1 , dry spinning claim 1 , gel spinning claim 1 , or electrospinning.3. The method of claim 2 , wherein the electric field aided robotic nozzle printing is performed by using an electric field aided robotic nozzle printer comprising a solution storage apparatus supplying a solution for discharge claim 2 , a nozzle discharging the solution supplied from the solution storage apparatus claim ...

Подробнее
08-08-2013 дата публикации

METHOD FOR MAKING EMITTER HAVING CARBON NANOTUBES

Номер: US20130203314A1
Принадлежит:

A method for making an emitter is disclosed. A number of carbon nanotubes in parallel with each other are provided. The carbon nanotubes have a number of first ends and a number of second ends opposite to the number of first ends. The first ends are attached on a first electrode and the second ends are attached on a second electrode. The first electrode and the second electrode are spaced from each other. A voltage is supplied between the first electrode and the second electrode to break the carbon nanotubes. 1. A method for making an emitter , comprising:selecting one or more carbon nanotubes from a carbon nanotube array;fixing each end of the one or more carbon nanotubes on one of two electrodes, wherein the two electrodes are spaced from each other; andsupplying a voltage between the two electrodes to break the one or more carbon nanotubes.2. The method of claim 1 , wherein the selecting one or more carbon nanotubes from a carbon nanotube array comprises:contacting a metal thread with the carbon nanotube array; andpulling the metal thread away from the carbon nanotube array.3. The method of claim 2 , wherein a diameter of the metal thread is in a range from about 20 nanometers to about 100 nanometers.4. The method of claim 1 , wherein the supplying the voltage between the two electrodes comprises placing the two electrodes with the one or more carbon nanotubes attached into a reaction chamber.5. The method of claim 4 , wherein the reaction chamber is under a vacuum.6. The method of claim 4 , wherein the reaction chamber is filled with a noble gas selected from the group consisting of helium claim 4 , argon claim 4 , and neon.7. The method of claim 1 , wherein the voltage is in a range from about 7V to about 10V.8. A method for making an emitter claim 1 , comprising:providing a plurality of carbon nanotubes in parallel with each other, wherein the plurality of carbon nanotubes has a plurality of first ends and a plurality of second ends opposite to the plurality ...

Подробнее
15-08-2013 дата публикации

SEMICONDUCTING POLYMERS

Номер: US20130207045A1

The invention relates to novel polymers containing repeating units based on thieno[3,4-b]thiophene, monomers and methods for their preparation, their use as semiconductors in organic electronic (OE) devices, especially in organic photovoltaic (OPV) devices, and to OE and OPV devices comprising these polymers. 2. Polymer according to claim 1 , which is selected of formula III{'br': None, 'sub': x', 'y', 'n, '—[(U)—(Ar)]—\u2003\u2003III'}wherein{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'U is on each occurrence identically or differently a unit of formula I as defined in ,'}Ar is, on each occurrence identically or differently, an aryl or heteroaryl group that is optionally substituted,{'sup': 1', '2, 'Yand Yare independently of each other H, F, Cl or CN,'}{'sub': x', 'y, 'x is on each occurrence identically or differently 0, 1 or 2, wherein in at least one repeating unit, i.e. in at least one unit —[(U)—(Ar)]—, x is 1,'}y is on each occurrence identically or differently 0, 1 or 2,n is an integer>1.3. Polymer according to claim 2 , which is selected of formula IIIa{'br': None, 'sup': 5', '6, 'sub': x', 'y', 'n, 'R—[(U)—(Ar)—]—R\u2003\u2003IIIa'}{'claim-ref': {'@idref': 'CLM-00002', 'claim 2'}, 'wherein U, Ar, n, x and y have the meanings of , and'}{'sup': 5', '6', '1', '0, 'claim-ref': [{'@idref': 'CLM-00001', 'claim 1'}, {'@idref': 'CLM-00001', 'claim 1'}], 'sub': 2', '2', '2, 'Rand Rhave independently of each other one of the meanings of Rin , or denote H, —CHCl, —CHO, —CH═CH, —SiR′R″R′″, —SnR′R″R′″, —BR′R″, —B(OR′)(OR″), —B(OH), or P-Sp, wherein P and Sp are as defined above, and R′, R″ and R′″ have independently of each other one of the meanings of Rin , and two of R′, R″ and R′″ may also form a ring together with the hetero atom to which they are attached.'}4. Polymer according to claim 2 , wherein x is 1 and y is 0 or 1.5. Polymer according to claim 2 , wherein Ar is selected from the group consisting of 1 claim 2 ,4-phenylene claim 2 , thiophene-2 claim 2 ...

Подробнее
15-08-2013 дата публикации

Enhanced bulk heterojunction devices prepared by thermal and solvent vapor annealing processes

Номер: US20130210189A1

A method of preparing a bulk heterojunction organic photovoltaic cell through combinations of thermal and solvent vapor annealing are described. Bulk heterojunction films may prepared by known methods such as spin coating, and then exposed to one or more vaporized solvents and thermally annealed in an effort to enhance the crystalline nature of the photoactive materials.

Подробнее
22-08-2013 дата публикации

Conjugated Polymers

Номер: US20130214208A1
Принадлежит: Merck Patent GmBH

The invention relates to novel polymers containing repeating units based on benzo[1,2-d;4,3-d′]bisthiazole, monomers and methods for their preparation, their use as semiconductors in organic electronic (OE) devices, especially in organic photovoltaic (OPV) devices, and to OE and OPV devices comprising these polymers. 2. Polymer according to claim 1 , wherein Rand Rin formula I are selected from H claim 1 , straight-chain claim 1 , branched or cyclic alkyl with 1 to 35C atoms claim 1 , in which one or more non-adjacent C atoms are optionally replaced by —O— claim 1 , —S— claim 1 , —C(O)— claim 1 , —C(O)—O— claim 1 , —O—C(O)— claim 1 , —O—C(O)—O— claim 1 , —CR═CR— or —C≡C— and in which one or more H atoms are optionally replaced by F claim 1 , Cl claim 1 , Br claim 1 , I or CN claim 1 , or denote aryl claim 1 , heteroaryl claim 1 , aryloxy claim 1 , heteroaryloxy claim 1 , arylcarbonyl claim 1 , heteroarylcarbonyl claim 1 , arylcarbonyloxy claim 1 , heteroarylcarbonyloxy claim 1 , aryloxycarbonyl or heteroaryloxycarbonyl having 4 to 30 ring atoms that is unsubstituted or substituted by one or more non-aromatic groups R claim 1 , wherein{'sup': 3', '0', '00', '0', '0', '0', '00', '0', '0, 'sub': 2', '3', '2', '2', '3', '5, 'Ris on each occurrence identically or differently F, Br, Cl, —CN, —NC, —NCO, —NCS, —OCN, —SCN, —C(O)NRR, —C(O)X, —C(O)R, —NH, —NRR, —SH, —SR, —SOH, —SOR, —OH, —NO, —CF, —SF, optionally substituted silyl, carbyl or hydrocarbyl with 1 to 40C atoms that is optionally substituted and optionally comprises one or more hetero atoms, or P-Sp-,'}{'sup': 0', '00, 'sub': '1-40', 'Rand Rare independently of each other H or optionally substituted Ccarbyl or hydrocarbyl,'}P is a polymerisable or crosslinkable group,Sp is a spacer group or a single bond, and{'sup': '0', 'Xis halogen.'}3. Polymer according to claim 1 , which is selected of formula II{'br': None, 'sup': 1', '2', '3, 'sub': x', 'y', 'n, '—[(Ar—U—Ar)—(Ar)]—\u2003\u2003II'}wherein{'claim-ref': {'@idref ...

Подробнее
22-08-2013 дата публикации

SYNTHESIS OF PHOTOVOLTAIC CONJUGATED POLYMERS

Номер: US20130214213A1
Принадлежит:

A method of making a fluorothieno[3,4-b]thiophene derivatives and photovoltaic polymers containing same using 3-bromothiophene-2-carboxylic acid as a starting material. This synthetic route provides an easier synthesis as well as greater yield and a purer product, which produces superior results over the prior art less pure products. The resulting materials can be used in a variety of photovoltaic applications and devices, especially solar cells. 2. The method of claim 1 , wherein the method includes the following reactions: i) protection of carboxylic acid with a protection group claim 1 , ii) chloromethylation with two chloromethyl groups claim 1 , iii) a cyclization reaction at the two chloromethyl groups claim 1 , iv) hydrolysis of the carboxylate protection group claim 1 , v) exchange fluorine for bromine claim 1 , and vi) optional esterification of the carboxylate.7. The method of claim 4 , wherein the method includes the following reactions: i) protection of carboxylic acid with a protection group claim 4 , ii) chloromethylation with two chloromethyl groups claim 4 , iii) a cyclization reaction at the two chloromethyl groups claim 4 , iv) hydrolysis of the carboxylate protection group claim 4 , v) exchange fluorine for bromine claim 4 , and vi) optional esterification of the carboxylate.8. A photovoltaic device comprising the polymer made according to on a substrate.9. A solar cell comprising the polymer made according to on a substrate.10. A solar cell comprising the polymer made according to blended with fullerene on a substrate.11. A photovoltaic device comprising the polymer made according to on a substrate.12. A solar cell comprising the polymer made according to on a substrate.13. A solar cell comprising the polymer made according to blended with fullerene on a substrate.14. A photovoltaic device comprising the polymer made according to on a substrate.15. A solar cell comprising the polymer made according to on a substrate.16. A solar cell comprising ...

Подробнее
22-08-2013 дата публикации

Carbon nanotube field emission devices and methods of making same

Номер: US20130214244A1
Принадлежит: Georgia Tech Research Corp

Devices and methods are described for a cathode having a plurality of apertures in an insulating layer, pits in a substrate layer, and emitters in the pit. The device can also have gate layer on top of the insulating layer which has an opening that is substantially aligned with the pit and the aperture. The emitter can be an array of substantially aligned carbon nanotubes. The device and method produces cathodes that are designed to avoid shorting of the cathode due to emitter-gate contact and other fabrication challenges.

Подробнее
22-08-2013 дата публикации

CHARGE INJECTION AND TRANSPORT LAYERS

Номер: US20130214257A1
Принадлежит: Plextronics, Inc.

Compositions for use in hole transporting layers (HTLs) or hole injection layers (HILs) are provided, as well as methods of making the compositions and devices fabricated from the compositions. OLED devices can be made. The compositions comprise at least one conductive conjugated polymer, at least one semiconducting matrix component that is different from the conductive conjugated polymer, and an optional dopant, and are substantially free of an insulating matrix component. 153-. (canceled)54. An ink composition comprising:(i) at least one conductive conjugated polymer, wherein the conductive conjugated polymer is a doped polythiophene;(ii) at least one semiconducting matrix component different from the conductive conjugated polymer, wherein the semiconducting matrix component is a hole-transporting material;(iii) at least one organic solvent; and(iv) wherein the ink composition comprises less than 5% (w/w) of an insulating matrix component.55. The ink composition according to claim 54 , wherein the ink composition comprises less than 3% (w/w) of an insulating matrix component.56. The ink composition according to claim 54 , wherein the ink composition comprises less than 1% (w/w) of an insulating matrix component.57. The ink composition according to claim 54 , wherein the conductive conjugated polymer has a band gap of less than 1 eV claim 54 , wherein the semiconducting matrix component has a band gap of 1-3 eV claim 54 , and wherein insulating matrix component has a band gap of more than 3 eV.58. The ink composition according to claim 54 , wherein the semiconducting matrix component is a hole-transporting polymer.59. The ink composition according to claim 54 , wherein the semiconducting matrix component is a hole-transporting small molecule.60. The ink composition according to claim 54 , wherein the semiconducting matrix component is substantially undoped in the ink composition.61. The ink composition according to claim 54 , wherein the conductive conjugated ...

Подробнее
29-08-2013 дата публикации

Mask pattern creation method, recording medium, and semiconductor device manufacturing method

Номер: US20130224635A1
Принадлежит: Individual

According to one embodiment, a mask pattern creation method includes extracting an area, in which a DSA material is directed self-assembled to form a DSA pattern, from a design pattern area based on a design pattern and information on the DSA material. The method also includes creating a guide pattern that causes the DSA pattern to be formed in the area based on the design pattern, the information on the DSA material, the area, and a design constraint when forming the guide pattern. The method further includes creating a mask pattern of the guide pattern using the guide pattern.

Подробнее
19-09-2013 дата публикации

PLASMONIC ASSISTED SYSTEMS AND METHODS FOR INTERIOR ENERGY-ACTIVATION FROM AN EXTERIOR SOURCE

Номер: US20130240758A1
Принадлежит: Immunolight, LLC

A method and a system for producing a change in a medium disposed in an artificial container. The method places in a vicinity of the medium at least one of a plasmonics agent and an energy modulation agent. The method applies an initiation energy through the artificial container to the medium. The initiation energy interacts with the plasmonics agent or the energy modulation agent to directly or indirectly produce the change in the medium. The system includes an initiation energy source configured to apply an initiation energy to the medium to activate the plasmonics agent or the energy modulation agent. 1. (canceled)2. A method for curing an adhesive , comprising:disposing in contact with an object an adhesive composition comprising 1) an uncured radiation-curable medium, 2) an energy modulation agent, and 3) a UV-activated photoinitiator;applying energy from at least one of x-rays, gamma rays, or an electron beam into the composition, wherein the energy interacts with the energy modulation agent to internally generate ultraviolet light inside the uncured medium, andactivating the photoinitiator in the radiation-curable medium with the internally generated ultraviolet light and thereby curing the radiation-curable medium.3. The method of claim 2 , wherein the disposing comprises disposing an adhesive composition having a concentration of 10nanoparticles/cmof 200 nm diameter energy modulation agents.4. The method of claim 3 , wherein the disposing comprises disposing an adhesive composition having a concentration greater than 10nanoparticles/cmof 200 nm diameter energy modulation agents.5. The method of claim 2 , wherein the disposing comprises disposing an adhesive composition comprising a coated energy modulation agent to mitigate against coagulation of energy modulation agents together.6. The method of claim 2 , wherein the disposing comprises disposing an adhesive composition comprising a coated energy modulation agent to reduce chemical interaction between the ...

Подробнее
19-09-2013 дата публикации

ELECTROMECHANICAL INTEGRATED MEMORY ELEMENT AND ELECTRONIC MEMORY COMPRISING THE SAME

Номер: US20130242636A1
Принадлежит: STMICROELECTRONICS S.R.L.

An electromechanical memory element includes a fixed body and a deformable element attached to the fixed body. An actuator causes a deformation of the deformable element from a first position (associated with a first logic state) to a second position (associated with a second logic state) where a mobile element makes contact with a fixed element. A programming circuit then causes a weld to be formed between the mobile element and the fixed element. The memory element is thus capable of associating the first and second positions with two different logic states. The weld may be selectively dissolved to return the deformable element back to the first position. 1. An apparatus including an electromechanical memory element , comprising:a fixed supporting body;a first mobile device including a first deformable element constrained to said fixed supporting body, said first mobile device defining a first mobile contact element;a fixed contact element fixed with respect to the fixed supporting body;an actuator configured to deform the first deformable element so as to set the first mobile device in a first position, where the first mobile contact element is separate from the fixed contact element, or in a second position, where the first mobile contact element is in contact with the fixed contact element, said first and second positions being associable to two different logic states; anda programming circuit configured to co-operate with the actuator to form, if the first mobile device is in the second position and the first mobile contact element and the fixed contact element are not welded together, a weld between the first mobile contact element and the fixed contact element.2. The apparatus according to claim 1 , wherein the programming circuit is further configured to co-operate with the actuator to remove claim 1 , if the first mobile device is in the second position and a previous weld is present between the first mobile contact element and the fixed contact element ...

Подробнее
26-09-2013 дата публикации

Conjugated Polymers and Their Use in Optoelectronic Devices

Номер: US20130247990A1
Принадлежит:

Disclosed are certain polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide improved device performance, for example, as measured by power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. 7. The polymer of further comprising a repeating unit (M) that is different from the repeating unit (M) and the repeating unit (M).8. The polymer of claim 7 , wherein the repeating unit (M) comprises an electron-poor polycyclic heteroaryl group.11. The polymer of claim 10 , wherein Ris selected from F claim 10 , Cl claim 10 , and CN.12. The polymer of claim 10 , wherein the repeating unit comprising x mole fraction of the polymer and the repeating unit comprising y mole fraction of the polymer are repeated in a random manner.13. An electronic claim 1 , optical or optoelectronic device comprising a polymeric semiconductor component claim 1 , the polymeric semiconductor component comprising the polymer of .14. The device of configured as an organic photovoltaic device comprising an anode claim 13 , a cathode claim 13 , optionally one or more anode interlayers claim 13 , optionally one or more cathode interlayers claim 13 , and in between the anode and the cathode the polymeric semiconductor component.15. The device of claim 14 , wherein the organic photovoltaic device is a bulk heterojunction photovoltaic device.16. The device of claim 14 , wherein the polymeric semiconductor component is photoactive and the polymer of is present in a blend material claim 14 , wherein the polymer of functions as an electron-donor compound ...

Подробнее
26-09-2013 дата публикации

SEMICONDUCTOR PHOTOCATHODE AND METHOD FOR MANUFACTURING THE SAME

Номер: US20130248815A1
Принадлежит:

A semiconductor photocathode includes an AlGaN layer (0≦X<1) bonded to a glass substrate via an SiOlayer and an alkali-metal-containing layer formed on the AlGaN layer. The AlGaN layer includes a first region, a second region, an intermediate region between the first and second regions. The second region has a semiconductor superlattice structure formed by laminating a barrier layer and a well layer alternately, the intermediate region has a semiconductor superlattice structure formed by laminating a barrier layer and a well layer alternately. When a pair of adjacent barrier and well layers is defined as a unit section, an average value of a composition ratio X of Al in a unit section decreases monotonously with distance from an interface position between the second region and the SiOlayer at least in the intermediate region. 1. A semiconductor photocathode comprising:{'sub': X', '1-X', '2, 'an AlGaN layer (0≦X<1) attached to a glass substrate via an SiOlayer; and'}{'sub': X', '1-X, 'an alkali metal-containing layer formed on the AlGaN layer,'}{'sub': X', '1-X, 'claim-text': a first region adjacent to the alkali metal-containing layer;', {'sub': '2', 'a second region adjacent to the SiOlayer; and'}, 'an intermediate region located between the first region and the second region,, 'wherein the AlGaN layer includes{'sub': X', '1-X', '2', 'MIN(M)', 'MIN(2), 'wherein when a composition ratio is X=g(x), where x represents a location of the AlGaN layer in a direction of thickness from the second region to the alkali metal-containing layer and a location of interface between the second region and the SiOlayer is furnished as an origin point of the position x, and when Xrepresents a minimum value for the composition ratio X in the intermediate region and Xrepresents a minimum value for the composition ratio X in the second region,'}{'sub': 'MIN(M)', 'in the first region, 0≦g(x)≦Xis satisfied,'}{'sub': 'MIN(2)', 'in the intermediate region, g(x) is a monotone decreasing ...

Подробнее
26-09-2013 дата публикации

Conjugated Polymers and Their Use in Optoelectronic Devices

Номер: US20130248831A1
Принадлежит: POLYERA CORPORATION

Disclosed are certain polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide improved device performance, for example, as measured by power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. 8. The polymer of claim 1 , wherein Rand/or Ris an Rgroup selected from the group consisting of a linear or branched Calkyl group claim 1 , a linear or branched Calkenyl group claim 1 , and a linear or branched Chaloalkyl group.10. The polymer of claim 1 , wherein Rand/or Ris an -L-Ar group claim 1 , wherein L and Ar are as defined in .11. The polymer of claim 10 , wherein Ar is an optionally substituted 5-membered or 6-membered aryl or heteroaryl group selected from the group consisting of a phenyl group claim 10 , a thienyl group claim 10 , a thiazolyl group claim 10 , an isothiazolyl group claim 10 , a thiadiazolyl group claim 10 , a furyl group claim 10 , an oxazolyl group claim 10 , an isoxazolyl group claim 10 , an oxadiazolyl group claim 10 , a pyrrolyl group claim 10 , a triazolyl group claim 10 , a tetrazolyl group claim 10 , a pyrazolyl group claim 10 , an imidazolyl group claim 10 , a pyridyl group claim 10 , a pyrimidyl group claim 10 , a pyridazinyl group claim 10 , and a pyrazinyl group claim 10 , each of which optionally is substituted with 1-5 groups independently selected from a halogen claim 10 , —CN claim 10 , and L′R′ claim 10 , wherein L′ claim 10 , at each occurrence claim 10 , is selected from —O— claim 10 , —S— claim 10 , —Se— claim 10 , —C(O)— claim 10 , —OC(O)— claim 10 , —C(O)O— claim 10 , and a ...

Подробнее
26-09-2013 дата публикации

NITRIDE SEMICONDUCTOR DEVICE

Номер: US20130248933A1
Автор: IKEDA Kentaro
Принадлежит: KABUSHIKI KAISHA TOSHIBA

According to one embodiment, a nitride semiconductor device including a device region and a guard ring formation region surrounding the device region, the nitride semiconductor device includes a first nitride semiconductor layer provided in the device region and the guard ring formation region; a second nitride semiconductor layer provided on the first nitride semiconductor layer and forming a hetero-junction with the first nitride semiconductor layer; and a shielding layer provided on the second nitride semiconductor layer in the guard ring formation region and electrically protecting the device region. A two-dimensional electron gas is present near an interface between the first nitride semiconductor layer and the second nitride semiconductor layer within the first nitride semiconductor layer below the shielding layer, and the shielding layer is in ohmic contact with the two-dimensional electron gas. 1. A nitride semiconductor device including a device region and a guard ring formation region surrounding the device region , the nitride semiconductor device comprising:a first nitride semiconductor layer provided in the device region and the guard ring formation region;a second nitride semiconductor layer provided on the first nitride semiconductor layer and forming a hetero-junction with the first nitride semiconductor layer; anda shielding layer provided on the second nitride semiconductor layer in the guard ring formation region and electrically protecting the device region,wherein a two-dimensional electron gas is present near an interface between the first nitride semiconductor layer and the second nitride semiconductor layer within the first nitride semiconductor layer below the shielding layer, andthe shielding layer is in ohmic contact with the two-dimensional electron gas.2. The device according to claim 1 , wherein the device region is surrounded by the shielding layer and the two-dimensional electron gas.3. The device according to claim 1 , further ...

Подробнее
26-09-2013 дата публикации

Nonvolatile semiconductor memory device and method of manufacturing the same

Номер: US20130248962A1
Принадлежит: Toshiba Corp

A nonvolatile semiconductor memory device of an embodiment includes: a semiconductor layer; an organic molecular layer formed on the semiconductor layer, the organic molecular layer including a plurality of organic molecules, each of the organic molecules includes a tunnel insulating unit of alkyl chain having one end bonded to the semiconductor layer, a charge storing unit, and a bonding unit configured to bond the other end of the alkyl chain to the charge storing unit; a block insulating film formed on the organic molecular layer; and a gate electrode formed on the block insulating film.

Подробнее
26-09-2013 дата публикации

Field emission display and fabrication method thereof

Номер: US20130249382A1
Автор: Choonrae Lee, Hakwoong Kim
Принадлежит: SN Display Co Ltd

A field emission display (FED) and a fabrication method thereof are disclosed. A lower plate of the FED includes: a cathode electrode formed on the substrate; a diffusion blocking layer formed on the cathode electrode; a seed metal layer formed on the diffusion blocking layer; carbon nano-tubes (CNTs) grown as single crystals from the grains of the seed metal layer; a gate insulating layer formed on the substrate on which the cathode electrode, the diffusion blocking layer, and the seed metal layer are formed, in order to cover the CNTs; and a gate electrode formed on the gate insulating layer.

Подробнее
26-09-2013 дата публикации

ELECTRON EMISSION ELEMENT, ELECTRON EMISSION DEVICE, CHARGE DEVICE, IMAGE FORMING DEVICE, ELECTRON RADIATION CURING DEVICE, LIGHT-EMITTING DEVICE, IMAGE DISPLAY DEVICE, BLOWER DEVICE, COOLING DEVICE, AND MANUFACTURING METHOD FOR ELECTRON EMISSION ELEMENT

Номер: US20130249386A1
Принадлежит: SHARP KABUSHIKI KAISHA

An electron emission element () includes an electrode substrate () and a thin film electrode (), and emits electrons from the thin film electrode () by voltage application across the electrode substrate () and the thin film electrode (). An electron accelerating layer () containing at least insulating fine particles () is provided between the electrode substrate () and the thin film electrode (). The electrode substrate () has a convexoconcave surface. The thin film electrode () has openings () above convex parts of the electrode substrate (). 1. An electron emission element , comprising:an electrode substrate;a thin film electrode; andan electron accelerating layer between the electrode substrate and the thin film electrode, the electron accelerating layer containing at least insulating fine particles,the electron emission element emitting, from the thin film electrode, electrons which are accelerated between the electrode substrate and the thin film electrode by voltage application across the electrode substrate and the thin film electrode,the electrode substrate having a convexoconcave surface on which the electron accelerating layer is provided, andthe thin film electrode having openings above convex parts of the convexoconcave surface of the electrode substrate.2. The electron emission element as set forth in claim 1 , wherein the insulating fine particles are (i) monodisperse insulating fine particles and (ii) aligned in the electron accelerating layer so as to fill the electron accelerating layer.3. The electron emission element as set forth in claim 1 , wherein the insulating fine particles contain at least one of silicon oxide claim 1 , aluminum oxide claim 1 , and titanium oxide.4. The electron emission element as set forth in claim 1 , wherein the insulating fine particles have an average diameter of 5 nm through 1000 nm.5. The electron emission element as set forth in claim 1 , wherein the electron accelerating layer has a thickness of 8 nm through 3000 ...

Подробнее
26-09-2013 дата публикации

MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD

Номер: US20130252172A1
Автор: MATSUMOTO Hiroshi
Принадлежит: NuFlare Technology, Inc.

A multi charged particle beam writing apparatus of the present invention includes an aperture member to form multiple beams, a plurality of first deflectors to respectively perform blanking deflection of a corresponding beam, a second deflector to collectively deflect the multiple beams having passed through the plurality of openings of the aperture member so that the multiple beams do not reach the target object, a blanking aperture member to block each beam that has been deflected to be in the off state by the plurality of first deflectors, and a current detector, arranged at the blanking aperture member, to detect a current value of all beams in the on state in the multiple beams that have been deflected by the second deflector. 1. A multi charged particle beam writing apparatus comprising:a stage configured to mount a target object thereon and be movable continuously;an emission unit configured to emit a charged particle beam;an aperture member, in which a plurality of openings are formed, configured to form multiple beams by letting a region including a whole of the plurality of openings be irradiated with the charged particle beam and letting parts of the charged particle beam respectively pass through a corresponding opening of the plurality of openings;a plurality of first deflectors configured to respectively perform blanking deflection of a corresponding beam in the multiple beams having passed through the plurality of openings of the aperture member;a second deflector configured to collectively deflect the multiple beams having passed through the plurality of openings of the aperture member so that the multiple beams do not reach the target object;a blanking aperture member configured to block each beam that has been deflected to be in an off state by the plurality of first deflectors; anda current detector, arranged at the blanking aperture member, configured to detect a current value of all beams in an on state in the multiple beams that have been ...

Подробнее
26-09-2013 дата публикации

FILM-FORMING FORMULATIONS FOR SUBSTRATE PRINTING

Номер: US20130252351A1
Принадлежит: KATEEVA, INC

Film-forming formulations are provided that satisfy a plurality of criteria for inkjet printing, thermal printing, or both. Criteria for film-forming formulations are also provided for selecting vehicles, combinations of vehicles, and film-forming materials, based upon viscosity, surface tension, solubility, and properties of printed films formed by such formulations. Film-forming formulations useful in the fabrication of organic light emitting devices (OLEDs) are provided including formulations useful for the fabrication of OLED hole transport layers, hole injection layers, electron transport layers, electron injection layers, and emissive layers, of an OLED. Methods of evaluating formulations for suitability in inkjet printing, thermal printing, or both, are also provided. 1. A film-forming formulation for inkjet printing , comprising a film-forming material dissolved in a vehicle , the film-forming material being stable in the vehicle and being present in an amount of from about 0.1% by weight to about 10.0% by weight based on the total weight of the film-forming formulation , wherein the vehicle comprises a blend of at least two solvents that are miscible with one another , each solvent being present in an amount of from about 1% by weight to about 99% by weight based on the total weight of the vehicle , the vehicle being formulated to substantially completely evaporate while the film-forming material forms a solid film , the film-forming formulation having a viscosity and a surface tension at an inkjet jetting temperature , which enable delivery from an inkjet printhead , wherein the vehicle exhibits an evaporation rate that differs from the evaporation rate of any one of the at least two solvents alone and the vehicle exhibits a surface tension that provides a substantially uniformly thick film of the film-forming material.2. The film-forming formulation of claim 1 , wherein the film-forming material comprises one or more components useful in forming at least ...

Подробнее
03-10-2013 дата публикации

Method and Apparatus for Generating High Current Negative Hydrogen ION Beam

Номер: US20130255577A1
Автор: Chang Shengwu

An apparatus to generate negative hydrogen ions includes an ion source operative to generate positive hydrogen ions, a first component to adjust positive molecular hydrogen ion species in the ion source, a second component to adjust extraction voltage for extraction of the positive molecular hydrogen ions from the ion source, and a charge exchange cell comprising charge exchange species to convert the extracted positive molecular hydrogen ions to negative hydrogen ions. The adjusted extraction voltage is effective to generate an ion energy to maximize negative ion current yield in the charge exchange cell based upon a product of extraction efficiency of the positive molecular hydrogen ions and a peak in charge exchange efficiency for converting a species of the positive molecular hydrogen ions to negative hydrogen ions through charge exchange between the extracted hydrogen ions and charge exchange species. 1. An apparatus to generate negative hydrogen ions , comprising:an ion source operative to generate positive hydrogen ions;a first component operative to adjust positive molecular hydrogen ion species in the ion source;a second component operative to adjust extraction voltage for extraction of the positive molecular hydrogen ions from the ion source; anda charge exchange cell comprising charge exchange species to convert the extracted positive molecular hydrogen ions to negative hydrogen ions,the adjusted extraction voltage effective to generate an ion energy to maximize negative ion current yield in the charge exchange cell based upon a product of extraction efficiency of the positive molecular hydrogen ions and a peak in charge exchange efficiency for converting a species of the positive molecular hydrogen ions to negative hydrogen ions through charge exchange between the extracted hydrogen ions and charge exchange species.2. The apparatus of claim 1 , wherein the first component operative to generate a plasma comprising Hions in the ion source.3. The apparatus ...

Подробнее
03-10-2013 дата публикации

ARCHITECTURES AND CRITERIA FOR THE DESIGN OF HIGH EFFICIENCY ORGANIC PHOTOVOLTAIC CELLS

Номер: US20130255758A1
Принадлежит:

An organic photovoltaic cell includes an anode and a cathode, and a plurality of organic semiconductor layers between the anode and the cathode. At least one of the anode and the cathode is transparent. Each two adjacent layers of the plurality of organic semiconductor layers are in direct contact. The plurality of organic semiconductor layers includes an intermediate layer consisting essentially of a photoconductive material, and two sets of at least three layers. A first set of at least three layers is between the intermediate layer and the anode. Each layer of the first set consists essentially of a different organic semiconductor material having a higher LUMO and a higher HOMO, relative to the material of an adjacent layer of the plurality of organic semiconductor layers closer to the cathode. A second set of at least three layers is between the intermediate layer and the cathode. Each layer of the second set consists essentially of a different organic semiconductor material having a lower LUMO and a lower HOMO, relative to the material of an adjacent layer of the plurality of organic semiconductor layers closer to the anode. 1. An organic photovoltaic cell comprising:an anode and a cathode, at least one of the anode and the cathode being transparent; and an intermediate layer consisting essentially of a photoconductive material having a lowest unoccupied molecular orbital (LUMO) and a highest occupied molecular orbital (HOMO);', 'a first set of at least three layers between the intermediate layer and the anode, each layer of the first set consisting essentially of a different organic semiconductor material having a higher LUMO and a higher HOMO, relative to an adjacent layer of the plurality of organic semiconductor layers closer to the cathode; and', 'a second set of at least three layers between the intermediate layer and the cathode, each layer of the second set consisting essentially of a different organic semiconductor material having a lower LUMO and a ...

Подробнее
03-10-2013 дата публикации

ORGANIC COMPOUND AND SOLAR CELL USING THE SAME

Номер: US20130255780A1
Принадлежит: KABUSHIKI KAISHA TOSHIBA

Embodiments of the present invention provide an organic semiconductor excellent in the photoelectric conversion efficiency and also a solar cell using the same. This organic semiconductor has a polymer structure comprising a repeating unit represented by the following formula (I): -[A-D]- (I). In the formula, A is a structure represented by 3. The organic compound according to claim 1 , wherein at least one of Rs is a perfluoroalkyl group.4. The organic compound according to claim 1 , wherein D comprises a benzothiophene skeleton.5. The organic compound according to claim 1 , wherein A in the formula (I) includes two or more different repeating units.6. The organic compound according to claim 1 , which has a weight average molecular weight in terms of polystyrene in the range of 100000 or more.7. The organic compound according to claim 1 , wherein the depth of HOMO is calculated to be 5.00 to 5.30 eV according to the molecular orbital calculation based on the density functional theory.8. The organic compound according to claim 1 , which can form into a solid thin film in which the depth of HOMO is measured to be 5.2 to 6.0 eV by the photoelectron yield spectroscopy.9. The organic compound according to claim 1 , which is an organic semiconductor.10. A solar cell comprising an active layer which contains an n-type semiconductor and the organic compound according to .11. The solar cell according to claim 10 , further comprising a substrate claim 10 , an anode claim 10 , a hole transport layer claim 10 , an electron transport layer claim 10 , and a cathode.12. The solar cell according to claim 10 , wherein the n-type semiconductor is selected from the group consisting of fullerenes and derivatives thereof.13. The solar cell according to claim 10 , further comprising an intermediate layer which contains a polymer having a fluorene skeleton and which is positioned between the anode and the active layer. This application is based upon and claims the benefit of priority ...

Подробнее
03-10-2013 дата публикации

Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography

Номер: US20130256265A1
Принадлежит: UChicago Argonne LLC

Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography. 1. A method of preparing inorganic features with multiply-patterned nanostructures , comprising:preparing a first iteration of inorganic features providing a first pattern for a substrate using SIS treatment;preparing a second iteration of inorganic features providing a second pattern for the substrate; andengraving a double- or multiple-density pattern reflecting the first pattern and the second pattern and further patterns onto the substrate.2. The method of claim 1 , further comprising the step of preparing further iterations of inorganic features providing further pattern for the substrate.3. The method of claim 1 , wherein no hard mask is deposited between the substrate and the inorganic features.4. The method of claim 1 , wherein the method is performed using litho-etch-litho-etch (LELE) multiple-patterning lithography modified such that hard mask deposition claim 1 , transfer claim 1 , and removal steps are replaced with SIS treatment steps.5. The method of claim 1 , wherein the resist comprises a polyacrylate material.6. The method of claim 4 , wherein the polyacrylate material is selected from the group consisting of poly(methyl methacrylate) (PMMA) claim 4 , ZEP520A claim 4 , poly(methyl glutarimide) (PMGI) claim 4 , phenol formaldehyde resin (DNQ/Novolac) claim 4 , polyhydroxystyrene-based polymers claim 4 , polyimides and SU-8.7. The method of claim 1 , wherein the multiple-patterning lithography is double-patterning lithography.8. The method of claim 1 , wherein the SIS treatment comprises alternating exposures of a first precursor reactive with the resist and a second precursor reactive with the ...

Подробнее
03-10-2013 дата публикации

CHARGED PARTICLE OPTICAL EQUIPMENT AND METHOD FOR MEASURING LENS ABERRATION

Номер: US20130256531A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

Beam scanning for obtaining a scanned image is performed by an aberration corrector, which is an aberration measured lens, and a scanning coil disposed above an objective lens, instead of a scanning coil ordinarily placed on the objective lens. Thus, distortion with an aberration of an aberration measured lens is scanned on the surface of a sample, and then a scanned image is formed from a scattered electron beam, a transmission electron beam, or a reflected/secondary electron beam that is generated by the scan, achieving a scanning aberration information pattern equivalent to a conventional Ronchigram. Such means is a feature of the present invention. 1. A method for measuring a lens aberration in a charged particle optical device including means that focuses an electron beam for two-dimensional scanning on a sample ,the charged particle optical device comprising:an electron source that emits an electron beam; sample mounting means for mounting the sample; electromagnetic lenses disposed between the electron source and the sample mounting part;electron beam scanning means that is provided on an electron-optical upstream side of aberration measured lenses of the electromagnetic lenses, and scans and deflect the electron beam;beam diaphragm means that is provided upstream of the electron beam scanning means and focuses the electron beam to a predetermined beam diameter;detecting means that detects an electron signal induced from the sample by the electron beam impinging onto the sample; andcontrol means that controls the electron source, the electromagnetic lenses, the electron beam scanning means, and the detecting means,wherein the electron beam scanning means upstream of the measured lenses scans the electron beam from the electron source so as to two-dimensionally scan a beam probe on a surface of the sample, the beam probe being formed by the electromagnetic lenses,the detecting means detects at least one of signals including a secondary electron, a reflected ...

Подробнее
03-10-2013 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS

Номер: US20130256567A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber. 1. An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma , the apparatus comprising:a chamber in which the extreme ultraviolet light is generated;an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; anda cleaning unit for charging and separating debris adhered to an optical element inside the chamber.2. The extreme ultraviolet light source apparatus according to claim 1 , further comprising a plasma generation laser unit for irradiating the target material with a laser beam.3. The extreme ultraviolet light source apparatus according to claim 1 , wherein an adhered debris charging unit for charging the debris adhered to the optical element inside the chamber, and', 'a charged debris separation unit for separating the charged debris from the optical element., 'the cleaning unit includes'}4. The extreme ultraviolet light source apparatus according to claim 2 , wherein an adhered debris charging unit for charging the debris adhered to the optical element inside the chamber, and', 'a charged debris separation unit for separating the charged debris from the optical element., 'the cleaning unit includes'}5. The extreme ultraviolet light source apparatus according to claim 3 , wherein an electrode for causing electrostatic induction to the optical element inside the chamber to ...

Подробнее
03-10-2013 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS AND METHOD OF GENERATING ULTRAVIOLET LIGHT

Номер: US20130256568A1
Принадлежит: Gigaphoton Inc.

An extreme ultraviolet light source apparatus, which is to generate an extreme ultraviolet light by irradiating a target with a main pulse laser light after irradiating the target with a prepulse laser light, the extreme ultraviolet light source apparatus comprises: a prepulse laser light source generating a pre-plasma by irradiating the target with the prepulse laser light while a part of the target remains, the pre-plasma being generated at a different region from a target region, the different region being located on an incident side of the prepulse laser light; and a main pulse laser light source generating the extreme ultraviolet light by irradiating the pre-plasma with the main pulse laser light. 119-. (canceled)20. An extreme ultraviolet light source apparatus , which is to generate an extreme ultraviolet light by irradiating a target with a main pulse laser light after irradiating the target with a prepulse laser light , the extreme ultraviolet light source apparatus comprising:a prepulse laser light source configured for generating a pre-plasma by irradiating the target with the prepulse laser light while a part of the target remains;a main pulse laser light source configured for generating the extreme ultraviolet light by irradiating the pre-plasma with the main pulse laser light;a light source configured for illuminating the pre-plasma generated by irradiating the target with the prepulse laser light;a camera configured for imaging the pre-plasma illuminated by the light source;an analyzer configured for analyzing an image of the pre-plasma imaged by the camera; anda controller configured for controlling a focus position of the main pulse laser light based on an analysis of the analyzer.21. The extreme ultraviolet light source apparatus according to claim 20 , wherein{'sup': 7', '2', '9', '2, 'an irradiation intensity of the prepulse laser light is equal to or greater than 10W/cmbut not exceeding 10W/cm.'}22. The extreme ultraviolet light source apparatus ...

Подробнее
03-10-2013 дата публикации

ORGANIC LIGHT-EMITTING DIODE LUMINAIRES

Номер: US20130256642A1
Принадлежит: E I DU PONT DE NEMOURS AND COMPANY

There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue; a second plurality of pixels having an emission color that is green, the second plurality of pixels being laterally spaced from the first plurality of pixels; and a third plurality of pixels having an emission color that is red-orange, the third plurality of pixels being laterally spaced from the first and second pluralities of pixels. The additive mixing of all the emitted colors results in an overall emission of white light. 3. The luminaire of claim 2 , wherein:{'sup': '1', 'Ris H, D, F, or alkyl;'}{'sup': '2', 'Ris H, D or alkyl;'}{'sup': 3', '10', '10, 'sub': '2', 'R═H, D, F, alkyl, OR, NR;'}{'sup': '4', 'R═H or D;'}{'sup': '5', 'R═H, D or F;'}{'sup': '6', 'R═H, D, CN, F, aryl, fluoroalkyl, or diaryloxophosphinyl;'}{'sup': '7', 'R═H, D, F, alkyl, aryl, or diaryloxophosphinyl;'}{'sup': '8', 'R═H, D, CN, alkyl, fluoroalkyl;'}{'sup': '9', 'R═H, D, aryl, or alkyl;'}{'sup': 10', '10, 'R=alkyl, where adjacent Rgroups can be joined to form a saturated ring; and'}* represents a point of coordination with Ir.4. The luminaire of claim 2 , wherein the relative emission from blue claim 2 , green and red-orange colors claim 2 , as measured in cd/m claim 2 , is:blue emission=28-33%,green emission=32-37%, andred-orange emission=31-36%.6. The luminaire of claim 5 , wherein the relative emission from the blue claim 5 , green and red-orange colors claim 5 , measured in cd/m claim 5 , is:blue emission=20-25%,green emission=47-52%, andred-orange emission=27-32%.10. The luminaire of claim 9 , wherein:{'sup': 1', '4', '21', '26', '1', '2', '2', '3', '3', '4', '23', '24', '24', '25', '23', '24', '24', '25', '25', '26, 'Rthrough Rand Rthrough Rare the same or different and are H, D, alkyl, silyl, or alkoxy, or Rand ...

Подробнее
03-10-2013 дата публикации

ELECTRON EMITTING ELEMENT, ELECTRON EMITTING DEVICE, LIGHT EMITTING DEVICE, IMAGE DISPLAY DEVICE, AIR BLOWING DEVICE, COOLING DEVICE, CHARGING DEVICE, IMAGE FORMING APPARATUS, ELECTRON-BEAM CURING DEVICE, AND METHOD FOR PRODUCING ELECTRON EMITTING ELEMENT

Номер: US20130257263A1
Принадлежит: SHARP KABUSHIKI KAISHI

An electron emitting element of the present invention includes an electron acceleration layer between an electrode substrate and a thin-film electrode. The electron acceleration layer includes a binder component in which insulating fine particles and conductive fine particles are dispersed. Therefore, the electron emitting element of the present invention is capable of preventing degradation of the electron acceleration layer and can efficiently and steadily emit electrons not only in vacuum but also under the atmospheric pressure. Further, the electron emitting element of the present invention can be formed so as to have an improved mechanical strength. 1. (canceled)2. (canceled)3. (canceled)4. (canceled)5. (canceled)6. (canceled)7. (canceled)8. (canceled)9. (canceled)10. (canceled)11. (canceled)12. (canceled)13. (canceled)14. (canceled)15. (canceled)16. (canceled)17. (canceled)18. (canceled)19. (canceled)20. (canceled)21. (canceled)22. A method for producing an electron emitting element that includes:an electrode substrate;a thin-film electrode; andan electron acceleration layer sandwiched between the electrode substrate and the thin-film electrode,the electron emitting element (i) accelerating electrons in the electron acceleration layer at a time when a voltage is applied between the electrode substrate and the thin-film electrode and (ii) emitting the electrons from the thin-film electrode,the method comprising the steps of:preparing a dispersion solution in which an insulating material is dispersed in a binder component;preparing a mixture solution in which conductive fine particles are dispersed in the dispersion solution; andforming the electron acceleration layer by applying the mixture solution on the electrode substrate.23. A method for producing an electron emitting element that includes:an electrode substrate;a thin-film electrode; andan electron acceleration layer sandwiched between the electrode substrate and the thin-film electrode,the electron ...

Подробнее
03-10-2013 дата публикации

METHOD FOR MAKING CARBON NANOTUBE FIELD EMITTER

Номер: US20130260634A1
Автор: FAN SHOU-SHAN, Liu Peng
Принадлежит:

The present application relates to a method for making a carbon nanotube field emitter. A carbon nanotube film is drawn from the carbon nanotube array by a drawing tool. The carbon nanotube film includes a triangle region. A portion of the carbon nanotube film closed to the drawing tool is treated into a carbon nanotube wire including a vertex of the triangle region. The triangle region is cut from the carbon nanotube film by a laser beam along a cutting line. A distance between the vertex of the triangle region and the cutting line can be in a range from about 10 microns to about 5 millimeters. 1. A method for making a carbon nanotube field emitter , comprising steps of:{'b': '1', '(S) providing a carbon nanotube array located on a substrate;'}{'b': '2', '(S) drawing a carbon nanotube film from the carbon nanotube array by a drawing tool, wherein the carbon nanotube film comprises a triangle region;'}{'b': '3', '(S) forming a carbon nanotube wire of a portion of the carbon nanotube film, wherein the carbon nanotube wire comprises a vertex of the triangle region;'}{'b': '4', '(S) cutting along the triangle region from the carbon nanotube film with a laser beam along a cutting line, and a distance between the vertex of the triangle region and the cutting line is in a range from about 10 microns to about 5 millimeters.'}2. The method of claim 1 , wherein the drawing tool is a clamp or an adhesive tape.33. The method of claim 1 , wherein in the step (S) claim 1 , forming the carbon nanotube wire comprises treating the portion of the carbon nanotube film with an organic solvent.4. The method of claim 3 , wherein the organic solvent comprise a material that is selected from the group consisting of ethanol claim 3 , methanol claim 3 , acetone claim 3 , dichloroethane claim 3 , chloroform claim 3 , or a mixture thereof.53. The method of claim 1 , wherein in the step (S) claim 1 , forming the carbon nanotube wire comprises twisting the portion of the carbon nanotube film.63 ...

Подробнее
10-10-2013 дата публикации

COLD FIELD EMISSION CATHODE USING CARBON NANOTUBES

Номер: US20130264936A1
Принадлежит: INDIAN INSTITUTE OF SCIENCE BANGALORE

Devices for use in cold-field emission and methods of forming the device are generally presented. In one example, a method may include providing a conductive base, dispersing carbon-filled acrylic onto the conductive base to form a conductive film, coupling a copper plate to a first side of the conductive film, and irradiating the conductive film. The method may further include dispersing carbon nanotubes (CNTs) on a second side of the conductive film to form a substantially uniform layer of CNTs, removing excess CNTs from the second side, and curing the conductive film. In one example, a device may include a polycarbonate base, a layer of carbon-filled acrylic on one side of the polycarbonate base and a layer of irradiated carbon-filled acrylic on the other, a copper plate coupled to the carbon-filled acrylic, and a substantially uniform layer of randomly aligned CNTs dispersed on the irradiated carbon-filled acrylic. 1. A method of forming a cold field emission cathode , comprising:providing a conductive base;dispersing carbon-filled acrylic onto a portion of a first side and a portion of a second side of the conductive base to form a first side and a second side of a conductive film;coupling a copper plate to the first side of the conductive film;irradiating at least a portion of the second side of the conductive film;dispersing a plurality of carbon nanotubes (CNTs) onto a portion of the second side of the conductive film to form a substantially uniform layer of CNTs;removing excess CNTs from the portion of the second side of the conductive film; andcuring the conductive film.2. The method of claim 1 , wherein the conductive base comprises a polymeric carbon film.3. The method of claim 1 , wherein the copper plate comprises an ultrapure copper plate.4. The method of claim 1 , wherein irradiating the second side of the conductive film comprises irradiating the second side of the conductive film with infrared light.5. The method of claim 1 , wherein irradiating ...

Подробнее
10-10-2013 дата публикации

NANO-IMPRINT LITHOGRAPHY TEMPLATES

Номер: US20130266682A1
Принадлежит:

Porous nano-imprint lithography templates may include pores, channels, or porous layers arranged to allow evacuation of gas trapped between a nano-imprint lithography template and substrate. The pores or channels may be formed by etch or other processes. Gaskets may be formed on an nano-imprint lithography template to restrict flow of polymerizable material during nano-imprint lithography processes. 1. A nano-imprint lithography template comprising:a nano-imprint lithography substrate layer;a patterned nano-imprint lithography substrate layer; anda multiplicity of posts extending between the substrate layer and the patterned substrate layer,wherein the patterned substrate layer is permeable to a gas, and spacings between the posts define reservoirs capable of accepting the gas.2. The template of claim 1 , wherein the posts have a width between about 10 nm and about 1 μm and a height between about 10 nm and about 300 nm.3. The template of claim 1 , wherein a density of the posts is between about 30% and about 70%.4. The template of claim 1 , wherein the patterned substrate layer is bonded to the posts.5. A method of making the template of claim 1 , the method comprising:providing the nano-imprint lithography substrate layer;forming the multiplicity of posts on the nano-imprint lithography substrate layer;providing the patterned nano-imprint lithography substrate layer; andbonding the patterned nano-imprint lithography substrate layer onto the multiplicity of posts.6. The method of wherein the forming the multiplicity of posts on the nano-imprint lithography substrate layer further comprises forming a pattern consisting of a multiplicity of posts over the nano-imprint lithography substrate and transferring the pattern into the nano-imprint lithography substrate.7. The method of further comprising thinning claim 5 , polishing or planarizing the patterned nano-imprint lithography substrate layer after bonding the patterned nano-imprint lithography substrate layer to the ...

Подробнее
10-10-2013 дата публикации

Embedded NOR Flash Memory Process with NAND Cell and True Logic Compatible Low Voltage Device

Номер: US20130267067A1
Принадлежит:

An integrated circuit formed of nonvolatile memory array circuits, logic circuits and linear analog circuits is formed on a substrate. The nonvolatile memory array circuits, the logic circuits and the linear analog circuits are separated by isolation regions formed of a shallow trench isolation. The nonvolatile memory array circuits are formed in a triple well structure. The nonvolatile memory array circuits are NAND-based NOR memory circuits formed of at least two floating gate transistors that are serially connected such that at least one of the floating gate transistors functions as a select gate transistor to prevent leakage current through the charge retaining transistors when the charge retaining transistors is not selected for reading. Each column of the NAND-based NOR memory circuits are associated with and connected to one bit line and one source line. 1. A method for forming an integrated circuit on a substrate comprising the steps of:forming nonvolatile memory array circuits, logic circuits and linear analog circuits in active semiconductor areas;separating the nonvolatile memory array circuits, the logic circuits and the linear analog circuits by isolation regions with a shallow trench isolation;connecting the nonvolatile memory array circuits, the logic circuits and the linear analog circuits such that the nonvolatile memory array circuits, the logic circuits and the linear analog circuits are in intercommunication to transfer signals and data between them and external circuitry;wherein forming the nonvolatile memory array circuits further comprises forming the nonvolatile memory circuits in a triple well structure by forming a first deep well with an impurity of a first conductivity type and forming a second well with an impurity of a second conductivity type in the first deep well; andwherein forming the nonvolatile memory array circuits further comprises forming NAND-based NOR memory cells by forming at least two floating gate transistors, serially ...

Подробнее
17-10-2013 дата публикации

ORGANIC THIN-FILM TRANSISTORS

Номер: US20130273688A1
Принадлежит:

A thin-film transistor comprises a semiconducting layer comprising a semiconducting material selected from Formula (I) or (II): 2. The method of claim 1 , wherein R claim 1 , R claim 1 , R claim 1 , and Rare hydrogen.3. The method of claim 1 , wherein at least one of R claim 1 , R claim 1 , R claim 1 , and Ris alkyl.4. The method of claim 1 , wherein the ethynylsilane is substituted with three alkyl groups.8. The method of claim 1 , wherein each X is sulfur.9. The method of claim 1 , wherein the semiconducting material has a weight average molecular weight of from about 2 claim 1 ,000 to about 200 claim 1 ,000.10. The method of claim 1 , wherein a and b are independently integers from 1 to about 5.11. The method of claim 1 , wherein the depositing comprises spin coating claim 1 , dip coating claim 1 , blade coating claim 1 , rod coating claim 1 , screen printing claim 1 , stamping claim 1 , or ink jet printing.12. The method of claim 1 , wherein the semiconducting layer has a thickness of from about 5 nm to about 1000 nm.13. The method of claim 1 , wherein the semiconducting layer has a thickness of from about 10 nm to about 100 nm.14. The method of claim 1 , wherein the substrate is composed of silicon claim 1 , glass plate claim 1 , plastic film claim 1 , or plastic sheet.15. The method of claim 1 , The method of claim 1 , wherein the substrate has a thickness of from about 10 micrometers to about 10 millimeters.16. The method of claim 1 , wherein the substrate has a thickness of from about 50 micrometers to about 5 millimeters.17. The method of claim 1 , wherein the substrate has a thickness of from about 0.5 to about 10 millimeters.18. The method of claim 1 , wherein the semiconducting material is of Formula (I).19. The method of claim 1 , wherein the semiconducting material is of Formula (II).20. The method of claim 1 , wherein the semiconducting layer further comprises another semiconducting material selected from the group consisting of an acene a perylene ...

Подробнее
24-10-2013 дата публикации

Tandem Photovoltaic Cells

Номер: US20130276874A1
Принадлежит:

Tandem photovoltaic cells having a recombination layer, as well as related systems, methods, and components, are disclosed. 1. An article , comprising:first and second electrodes;a recombination layer between the first and second electrodes, the recombination layer comprising a p-type semiconductor material and an n-type semiconductor material, and having a thickness of about 10 nm to about 200 nm;a first photoactive layer between the first electrode and the recombination layer; anda second photoactive layer between the second electrode and the recombination layer;wherein:the p-type semiconductor material comprises a polymer selected from the group consisting of polythiophenes, polyanilines, polyvinylcarbazoles, polyphenylenes, polyphenylvinylenes, polysilanes, polythienylenevinylenes, polyisothianaphthanenes, polycyclopentadithiophenes, polysilacyclopentadithiophenes, polycyclopentadithiazoles, polythiazolothiazoles, polythiazoles, polybenzothiadiazoles, poly(thiophene oxide)s, poly(cyclopentadithiophene oxide)s, polythiadiazoloquioxalines, polybenzoisothiazoles, polybenzothiazoles, polythienothiophenes, poly(thienothiophene oxide)s, polydithienothiophenes, poly(dithienothiophene oxide)s, polytetrahydroisoindoles, and copolymers thereof;the n-type semiconductor material comprises a metal oxide;the p-type and n-type semiconductor materials are blended in the recombination layer; and the article is configured as a photovoltaic system.2. The article of claim 1 , wherein the metal oxide comprises an oxide selected from the group consisting of titanium oxides claim 1 , zinc oxides claim 1 , tungsten oxides claim 1 , molybdenum oxides claim 1 , and combinations thereof.3. The article of claim 1 , wherein the first or second photoactive layer comprises an electron donor material and an electron acceptor material.4. The article of claim 3 , wherein the electron donor material comprises a polymer selected from the group consisting of polythiophenes claim 3 , polyanilines ...

Подробнее
24-10-2013 дата публикации

Carbon nanotube field emission device with overhanging gate

Номер: US20130280830A1

A carbon nanotube field emission device with overhanging gate fabricated by a double silicon-on-insulator process. Other embodiments are described and claimed.

Подробнее
24-10-2013 дата публикации

TRANSPARENT CONTACTS ORGANIC SOLAR PANEL BY SPRAY

Номер: US20130280847A1
Принадлежит: UNIVERSITY OF SOUTH FLORIDA

A method of fabricating organic solar panels with transparent contacts. The method uses a layer-by-layer spray technique to create the anode layer. The method includes placing the substrate on a flat magnet, aligning a magnetic shadow mask over the substrate, applying photoresist to the substrate using spray photolithography, etching the substrate, cleaning the substrate, spin coating a tuning layer on substrate, spin coating an active layer of P3HT/PCBM on the substrate, spray coating the substrate with a modified PEDOT solution, and annealing the substrate. 1. A method for fabricating an organic inverted solar photovoltaic cell , comprising the steps of:obtaining a substrate comprising a substrate coated with indium tin oxide;applying photoresist to a substrate by spray photolithography;forming an interstitial layer by spray coating a layer of on top of the indium tin oxide coating;forming an active layer by spray coating a layer of poly-3(hexylthiophene) and [6,6]-phenyl C61-butyric acid methylester disposed on the interstitial layer;forming an anodic layer by spray coating a layer comprising poly (3,4) ethylenedioxythiophene:poly-styrenesulfonate doped with 5 vol. % of dimethylsulfoxide, wherein the anodic layer is disposed on the active layer; andannealing the layers on the substrate.2. The method of claim 1 , wherein the interstitial layer is cesium carbonate claim 1 , zinc oxide (ZnO) claim 1 , or self-assembled molecules.3. The method of claim 1 , wherein the active layer is about 200 nm thick to about 500 nm thick4. The method of claim 1 , wherein the anodic layer is about 100 nm to about 1.26 μm thick.5. The method of claim 5 , wherein the anodic layer is about 500 nm thick claim 5 , about 1 μm thick claim 5 , 100 nm thick claim 5 , 500 nm thick claim 5 , or 1 μm thick.6. The method of claim 1 , further comprising encapsulating the organic inverted photovoltaic cell by applying a UV-cured epoxy encapsulant or silver paint to the edges of the cell.7. The ...

Подробнее
31-10-2013 дата публикации

EXTREME ULTRAVIOLET LIGHT SOURCE DEVICE AND METHOD FOR GENERATING EXTREME ULTRAVIOLET LIGHT

Номер: US20130284949A1
Принадлежит:

An EUV (Extreme Ultra Violet) light source device ionizes a target material in an ionizer, and supplies the ionized target material to a point of generating a plasma. This reduces the generation of debris. The ionizer simultaneously irradiates laser beams of plural wavelengths corresponding to the excited level of tin on a target material to ionize the target material. The ionized target material is extracted from the ionizer with a high voltage applied from an ion beam extractor, and accelerated and supplied to a plasma generation chamber. When driver laser beam is irradiated on the ionized target material, a plasma is generated, thereby emitting EUV radiation. 131-. (canceled)32. An extreme ultraviolet light (EUV) source device for generating EUV light , comprising:a chamber;a target material supply configured to supply a target material to the chamber;a first laser configured to irradiate the target material in the chamber with a first laser beam to ionize the target material;a second laser configured to irradiate the ionized target material, which moves in the chamber after the ionization, with a second laser to turn the ionized target material into plasma from which the EUV light is emitted;a first collecting device configured to collect an unionized target material in the chamber, anda first heater configured to heat the unionized target material deposited on the first collecting device.33. The EUV light source device according to claim 32 , wherein the second laser is a carbon dioxide gas laser.34. The EUV light source device according to claim 32 , further comprising a magnetic field generator configured to generate a magnetic field in the chamber to trap a target material left in the chamber after the plasma is generated.35. The EUV light source device according to claim 34 , further comprising:a second collecting device configured to collect the trapped target material; anda second heater configured to heat the trapped target material deposited on the ...

Подробнее
31-10-2013 дата публикации

METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY PANEL, ORGANIC LIGHT-EMITTING DEVICE, METHOD FOR FORMING FUNCTIONAL LAYER, INK, SUBSTRATE, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY DEVICE, AND INKJET DEVICE

Номер: US20130285032A1
Принадлежит: Panasonic Corporation

To provide a method of efficiently manufacturing an organic light-emitting element with excellent light-emitting characteristics by application, the method includes: preparing ink and filling an inkjet device having an ink ejection nozzle with ink; preparing a substrate having a base layer including a first electrode; and positioning the inkjet device above the substrate, and causing the inkjet device to eject a drop of the ink onto the base layer, wherein, in the preparation of the ink, a value Z denoting a reciprocal of the Ohnesorge number Oh determined by density ρ (g/m), surface tension γ (mN·m), and viscosity η (mPa·s) of the ink and a diameter r (mm) of the ink ejection nozzle satisfies Formula 1, in the ejection of the drop of the ink, speed V (m/s) of the ejected drop satisfies Formula 2, and the value Z and the speed V (m/s) satisfy Formula 3. 1. A method of manufacturing an organic light-emitting element , comprising:preparing ink including functional material as a material for a functional layer and a solvent dissolving the functional material, and filling an inkjet device having an ink ejection nozzle with the ink, the functional material having a weight-average molecular weight equal to or greater than 200,000 and equal to or less than 250,000;preparing a substrate having a base layer including a first electrode;positioning the inkjet device above the substrate, and causing the inkjet device to eject a drop of the ink onto the base layer of the substrate;forming the functional layer by drying the ink ejected onto the base layer of the substrate; andforming a second electrode above the functional layer, wherein{'sup': '3', 'in the preparation of the ink, when a value Z denotes a reciprocal of the Ohnesorge number Oh determined by density ρ (g/m), surface tension γ (mN·m), and viscosity η (mPa·s) of the ink and a diameter r (mm) of the ink ejection nozzle, the value Z is within a range of Formula 1,'}in the ejection of the drop of the ink, speed V (m/s) ...

Подробнее
07-11-2013 дата публикации

MATERIAL COMPOSITION FOR ORGANIC PHOTOELECTRIC CONVERSION LAYER, ORGANIC PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR PRODUCING ORGANIC PHOTOELECTRIC CONVERSION ELEMENT, AND SOLAR CELL

Номер: US20130291944A1
Принадлежит: KONICA MINOLTA, INC.

It is an object of the present invention to provide a material composition for a bulk-heterojunction-type organic photoelectric conversion layer having high photoelectric conversion efficiency and durability through formation of a stable phase-separated structure by drying in a short time with high productivity and to provide an organic photoelectric conversion element, a method of producing the organic photoelectric conversion element, and a solar cell. The material composition for an organic photoelectric conversion layer contains at least a p-type conjugated polymer semiconductor material being a copolymer having a main chain including an electron-donating group and an electron-withdrawing group, an n-type organic semiconductor material having electron acceptability, and a solvent. The solvent is represented by a general formula (1). 17.-. (canceled)9. The material composition for an organic photoelectric conversion layer of claim 8 , wherein the solvent represented by the general formula (1) is toluene or xylene substituted by a Cl or Br atom.10. The material composition for an organic photoelectric conversion layer of claim 8 , wherein the solvent represented by the general formula (1) is thiophene or furan substituted by a Cl or Br atom.11. The material composition for an organic photoelectric conversion layer of claim 8 , wherein the solvent represented by the general formula (1) comprises at least one selected from the group consisted of chlorotoluenes claim 8 , dichlorotoluenes claim 8 , chloroxylenes claim 8 , bromotoluenes claim 8 , dibromotoluenes claim 8 , bromoxylenes claim 8 , bromoethylbenzenes claim 8 , fluorotoluenes claim 8 , fluoroxylenes claim 8 , iodotoluenes claim 8 , iodoxylenes claim 8 , chlorothiophenes claim 8 , bromothiophenes claim 8 , iodothiophenes claim 8 , chloromethylthiophenes claim 8 , bromomethylthiophenes claim 8 , and bromofurans.12. The material composition for an organic photoelectric conversion layer of claim 11 , wherein ...

Подробнее
07-11-2013 дата публикации

Electron emission source, electric device using the same, and method of manufacturing the electron emission source

Номер: US20130295815A1

Provided are an electron emission source, a display apparatus using the same, an electronic device, and a method of manufacturing the display apparatus. The electron emission source includes a substrate, a cathode separately manufactured from the substrate, and a needle-shaped electron emission material layer, e.g., carbon nanotube (CNT) layer, fixed to the cathode by an adhesive layer. The CNT layer is formed by a suspension filtering method, and electron emission density is increased by a subsequent taping process on the electron emission material layer.

Подробнее
14-11-2013 дата публикации

Method for manufacturing photoelectric conversion element and photoelectric conversion element

Номер: US20130298986A1
Автор: Satoru Momose
Принадлежит: Fujitsu Ltd

A method for manufacturing a photoelectric conversion element includes: forming a hole injection layer by applying a solvent containing a first p-type organic semiconductor and an oxidant capable of oxidizing the first p-type organic semiconductor on a transparent substrate and a transparent electrode provided on the transparent substrate and by removing the solvent by drying to oxidize the first p-type organic semiconductor with the oxidant; forming a photoelectric conversion layer by applying a solvent containing an n-type organic semiconductor and a second p-type organic semiconductor on the hole injection layer and by removing the solvent by drying; and forming a metal electrode using a metal layer on the photoelectric conversion layer.

Подробнее
14-11-2013 дата публикации

POLYMER NETWORKS

Номер: US20130299805A1
Принадлежит: UNIVERSITY OF HULL

The invention provides a photopolymerisable or photocrosslinkable reactive mesogen for forming a charge transporting or light emitting polymer network, the mesogen having an asymmetric structure (II): B—S-A-M-(A-S—B)(II) wherein: A and Aare chromophores; S and Sare spacers; B and Bare endgroups which are susceptible to photopolymerisation or photocrosslinking; M is a non-chromophoric aliphatic, alicyclic or aromatic moiety; and n is an integer from 1 to 3; wherein, when the value of n is greater than 1, each of the groups A, S and B may be the same or different. Preferably, M is of the formula Y—Z, wherein Y is an aliphatic, alicyclic, aromatic or heterocyclic moiety, Z is an aliphatic linking group and m is an integer from 2 to 4, and wherein each of the Z groups may be the same or different. The invention also provides a material for forming a light emitting or charge transporting polymer network comprising the photopolymerisable or photocrosslinkable reactive mesogen, a charge transporting or light emitting polymer network which is obtained by polymerisation or crosslinking of the mesogen, a process for the preparation of the polymer via photopolymerisation or photocrosslinking of suitable end-groups of the mesogen, a device comprising a polymer layer formed from the charge transporting or light emitting polymer network, a process for applying a charge transporting or light emitting polymer network to a surface and a backlight or display comprising a charge transporting or light emitting polymernetwork. 140-. (canceled)42. A photopolymerisable or photocrosslinkable reactive mesogen as claimed in wherein M is of the formula Y—Z claim 41 , wherein Y is an aliphatic claim 41 , alicyclic claim 41 , aromatic or heterocyclic moiety claim 41 , Z is an aliphatic linking group and m is an integer from 2 to 4 claim 41 , and wherein each of the Z groups may be the same or different.43. A photopolymerisable or photocrosslinkable reactive mesogen as claimed in wherein at ...

Подробнее
21-11-2013 дата публикации

QUINONE COMPOUNDS FOR USE IN PHOTOVOLTAIC APPLICATION

Номер: US20130306917A1
Принадлежит: Rhodia Operations

The invention relates to a photovoltaic coating containing a mixture of organic N-type (acceptor) and P-type (donor) semiconductor compounds, which makes it possible, when selecting the donor/acceptor pair, to modulate the semiconductor properties of the photovoltaic coating so as to enable the use thereof within a photovoltaic device, wherein one of the organic semiconductors includes a quinone core. 2. The coating according to claim 1 , wherein:{'sub': 1', '1', '2, 'the group =A′and =Aare identical and represent a group ═O, ═C(CN)or ═N(CN); and'}{'sub': 1', '2', '3', '4, 'the groups Rand Rand optionally the groups Rand Rform together with the two carbon atoms to which they are bound, an aromatic or heteroaromatic, cyclic or polycyclic structure, optionally substituted, preferably a benzene, thiophene, thiadiazole, naphthalene, benzothiophene, benzothiazole, naphthothiophene, anthracene, or a combination of these structures.'}3. The coating according to claim 1 , wherein:{'sub': 1', '1', '2, 'the =Aand =A′groups are identical and represent a ═O, ═C(CN)or ═N(CN) group; and'}{'sub': 1', '2', '3', '4', '6', '4, 'R, R, Rand Rare identical and represent an atom or a group selected from: H, F, Cl, CN, —OMe, —OPh, —O—CH—OH, a saturated or unsaturated hydrocarbon chain.'}4. The coating according to claim 1 , wherein:{'sub': 1', '1', '1', '1', '1', '2', '3, 'the group =A′is a group ={A″}=Awherein the group {A″} is an aromatic cyclic unit, it being understood that A′, Rand/or Rmay form together an aromatic polycyclic structure, optionally substituted;'}{'sub': 1', '2, 'the groups Rand Reach represent H.'}6. The coating according to claim 5 , wherein the group {D} represents an organometallic claim 5 , heteroaromatic claim 5 , or aromatic claim 5 , cyclic hydrocarbon group claim 5 , optionally substituted claim 5 , such as for example a phenylene group claim 5 , optionally substituted with two O-alkyl groups claim 5 , for example OCHor OCH claim 5 , or a thiophene group claim ...

Подробнее
28-11-2013 дата публикации

SYSTEMS, METHODS AND APPARATUS FOR ACTIVE COMPENSATION OF QUANTUM PROCESSOR ELEMENTS

Номер: US20130313526A1
Принадлежит: D-WAVE SYSTEMS INC.

Apparatus and methods enable active compensation for unwanted discrepancies in the superconducting elements of a quantum processor. A qubit may include a primary compound Josephson junction (CJJ) structure, which may include at least a first secondary CJJ structure to enable compensation for Josephson junction asymmetry in the primary CJJ structure. A qubit may include a series LC-circuit coupled in parallel with a first CJJ structure to provide a tunable capacitance. A qubit control system may include means for tuning inductance of a qubit loop, for instance a tunable coupler inductively coupled to the qubit loop and controlled by a programming interface, or a CJJ structure coupled in series with the qubit loop and controlled by a programming interface. 114-. (canceled)15. A superconducting qubit comprising:a qubit loop formed by a first superconducting current path;a first compound Josephson junction structure formed by a first pair of parallel superconducting current paths, wherein the first compound Josephson junction structure interrupts the qubit loop, and wherein each superconducting current path in the first pair of parallel superconducting current paths is interrupted by at least one Josephson junction; anda series LC-circuit coupled in parallel with the first compound Josephson junction structure through a superconducting current path, wherein the series LC-circuit realizes a tunable capacitance.16. The superconducting qubit of wherein the superconducting qubit is a superconducting flux qubit.17. The superconducting qubit of wherein the series LC-circuit includes at least one capacitance and a second compound Josephson junction structure coupled in series with the at least one capacitance claim 15 , the second compound Josephson junction structure formed by a second pair of parallel superconducting current paths claim 15 , wherein each superconducting current path in the second pair of parallel superconducting current paths is interrupted by at least one ...

Подробнее
28-11-2013 дата публикации

CARBON NANOTUBE FIELD EMISSION DEVICE WITH HEIGHT VARIATION CONTROL

Номер: US20130313963A1
Автор: Mao Dongsheng, YANIV ZVI
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A carbon nanotube layer for a field emission cathode where individual carbon nanotubes or small groups of carbon nanotubes that stick out from the surface more than the rest of the layer are avoided. Electron fields will concentrate on these sharp points, creating an enhanced image on the phosphor, resulting in a more luminous spot than the surroundings. Activation processes further free such carbon nanotubes or groups of carbon nanotubes sticking out from the surface, exasperating the problem. 1. A carbon nanotube (CNT) cathode comprising CNTs with a variation of lengths less than 20% for 90% of a total quantity of the CNTs.2. The cathode of claim 1 , wherein a flatness variation of a CNT coating using the CNTs is less than 20% before or after an activation step.3. The cathode of claim 1 , wherein the carbon nanotubes are selected from the group of single-wall carbon nanotubes claim 1 , double-wall carbon nanotubes claim 1 , multi-wall carbon nanotubes claim 1 , buckytubes claim 1 , carbon fibrils claim 1 , chemically-modified carbon nanotubes claim 1 , derivatized carbon nanotubes claim 1 , metallic carbon nanotubes claim 1 , semiconducting carbon nanotubes claim 1 , metallized carbon nanotubes claim 1 , and combinations thereof.4. The cathode of claim 1 , wherein the carbon nanotubes are mixed with particles selected from the group consisting of spherical particles claim 1 , dish-shaped particles claim 1 , lamellar particles claim 1 , rod-like particles claim 1 , metal particles claim 1 , semiconductor particles claim 1 , polymeric particles claim 1 , ceramic particles claim 1 , dielectric particles claim 1 , clay particles claim 1 , fibers claim 1 , nanoparticles claim 1 , and combinations thereof.5. The cathode of claim 1 , wherein the average length of CNTs is less than 5 microns.6. The cathode of claim 5 , wherein a layer of cathode material comprising the CNTs has a thickness which ranges from about 10 nm to about 20 micron.7. A field emission display device ...

Подробнее
05-12-2013 дата публикации

MULTIPOLE AND CHARGED PARTICLE RADIATION APPARATUS USING THE SAME

Номер: US20130320227A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

In order to realize a multiple assembled easily with high accuracy, a multipole having assembly accuracy within 10 micrometer and within several seconds of angle is achieved by fixing multipole elements by being guided by grooves provided on an inner side of a cylindrical housing to form the multipole. 1. A multipole comprising a plurality of multipole elements , multipole members including the plurality of multipole elements integrally with a rod , and a cylindrical housing having an opening at a center portion therethrough so as to allow a charged particle radiation to pass therethrough , wherein the cylindrical housing includes a plurality of grooves parallel to a direction of an optical axis on a circumference of an inner wall of the opening , and the multipole members are arranged so as to be fixed by being fitted into the grooves.2. The multipole according to claim 1 , wherein the plurality of multipole elements are formed to have a multistage formed on the rod at predetermined intervals so that distal end portions thereof are oriented in the same direction claim 1 , the cylindrical housing is provided with the grooves at both end portions of the inner wall of the opening claim 1 , the thickness of the inner wall at an intermediate portion of the cylindrical housing is formed to be thinner than the thickness of the inner wall of the both end portions claim 1 , the multipole members are fixed to the cylindrical housing between the grooves and the multipole elements at the both end portions from among the multistage multipole elements formed on the rod.3. The multipole according to claim 2 , wherein the multipole elements are formed by using a soft magnetic metal material or a soft magnetic metal material and a no-magnetic metal material claim 2 , and the multipole members fixedly arrange the plurality of multipole elements at predetermined intervals parallel to the optical axis with insulating members interposed therebetween.4. The multipole according to claim ...

Подробнее
05-12-2013 дата публикации

MULTI CHARGED PARTICLE BEAM WRITING METHOD AND MULTI CHARGED PARTICLE BEAM WRITING APPARATUS

Номер: US20130320230A1
Принадлежит: NuFlare Technology, Inc.

A multi charged particle beam writing method includes calculating first shot positions of multiple beams, each of which includes a distortion amount of an irradiating corresponding beam, in a case of irradiating each beam, based on control grid intervals, calculating first condition positions based on a pre-set condition, each of which is arranged in a corresponding first region surrounded by closest second shot positions of 2×2 in length and width of the first shot positions, calculating, for each of second regions respectively surrounded by closest second condition positions of the first condition positions, an area density of a figure pattern in overlapping with a second region concerned, calculating an irradiation amount or an irradiation time of each beam whose corresponding first shot position is in a corresponding second region, based on an area density, and writing a pattern by irradiating a beam of the calculated irradiation amount or time. 1. A multi charged particle beam writing method comprising:calculating first shot positions of multiple beams, each of the first shot positions including a distortion amount of an irradiating corresponding beam, in a case of irradiating each beam of multiple beams of a charged particle beam on a target object, based on control grid intervals having been set in length and width in advance;calculating first condition positions based on a pre-set condition, each of the first condition positions being arranged in a corresponding first region of a plurality of first regions respectively surrounded by closest second shot positions of 2×2 in length and width of the first shot positions, using the first shot positions including the distortion amount of the corresponding beam;calculating, for each of a plurality of second regions respectively surrounded by a plurality of closest second condition positions of the first condition positions, an area density of a figure pattern to be written in overlapping with a second region ...

Подробнее