• Главная
  • Method and apparatus for applying a material on a substrate

Method and apparatus for applying a material on a substrate

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method and apparatus for use in forming pre-positioned solder bumps on a pad arrangement

Номер патента: US5323947A. Автор: Douglas W. Hendricks,Frank Juskey,Kenneth M. Wasko. Владелец: Motorola Inc. Дата публикации: 1994-06-28.

Alignment method and apparatus for aligning cutting blade

Номер патента: US20020025616A1. Автор: Masakado Kamigaki. Владелец: Individual. Дата публикации: 2002-02-28.

Wafer scheduling method and wafer scheduling apparatus for etching equipment

Номер патента: US20230059538A1. Автор: Jianping Wang,Chien-Hung Chen,Jinjin CAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-23.

Apparatus for supplying chemicals, apparatus and method for treating substrate

Номер патента: US20240216942A1. Автор: Mu Hyeon LEE,In Yong JEONG. Владелец: Semes Co Ltd. Дата публикации: 2024-07-04.

Deposition method and apparatus

Номер патента: US20170099737A1. Автор: Michinobu Mizumura,Koichi Kajiyama. Владелец: V Technology Co Ltd. Дата публикации: 2017-04-06.

Power control method and power control apparatus for a vehicle

Номер патента: US11999259B2. Автор: JungHyeon Bae. Владелец: Kia Corp. Дата публикации: 2024-06-04.

Power control method and power control apparatus for a vehicle

Номер патента: US20220194260A1. Автор: JungHyeon Bae. Владелец: Kia Corp. Дата публикации: 2022-06-23.

Apparatus for making an electrode-electrolyte structure

Номер патента: EP4264719A1. Автор: Matthew Roberts,Steven Robson,Reza PAKZAD. Владелец: Dyson Technology Ltd. Дата публикации: 2023-10-25.

Apparatus for making an electrode-electrolyte structure

Номер патента: US20240047729A1. Автор: Steven Robson,Matthew Robert ROBERTS,Reza PAKZAD. Владелец: Dyson Technology Ltd. Дата публикации: 2024-02-08.

Method and apparatus for determining and controlling the amount of carbon deposited on a surface by a gas

Номер патента: GB2017932A. Автор: . Владелец: Bethlehem Steel Corp. Дата публикации: 1979-10-10.

Production method and production apparatus for joint separator

Номер патента: US20200083544A1. Автор: Toshiki Kawamura,Yasuhide FUKUSHIMA,Yohei Kataoka. Владелец: Honda Motor Co Ltd. Дата публикации: 2020-03-12.

Method and apparatus of forming electrode plate

Номер патента: US11848436B2. Автор: Chao Guo,Shaojun Qiu,Tiefeng Wu,Nengwu LIAO. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2023-12-19.

Apparatus for making an electrode-electrolyte structure

Номер патента: WO2022129860A1. Автор: Matthew Roberts,Steven Robson,Reza PAKZAD. Владелец: Dyson Technology Limited. Дата публикации: 2022-06-23.

Method and apparatus for applying a coating on a substrate

Номер патента: WO1983002910A1. Автор: Edward Bok. Владелец: Edward Bok. Дата публикации: 1983-09-01.

Imprint apparatus, imprinting method, and method for manufacturing article

Номер патента: US20170246658A1. Автор: Junichi Seki,Eiji Sakamoto. Владелец: Canon Inc. Дата публикации: 2017-08-31.

Apparatus for Supplying Chemical Liquid

Номер патента: US20220009249A1. Автор: Jaeyoung Jang,Inseok Ha,Jinhyuck Yang. Владелец: Semes Co Ltd. Дата публикации: 2022-01-13.

Apparatus for supplying chemical liquid

Номер патента: US11890864B2. Автор: Jaeyoung Jang,Inseok Ha,Jinhyuck Yang. Владелец: Semes Co Ltd. Дата публикации: 2024-02-06.

DEVICE FOR APPLYING SOLDER PASTE, ADHESIVE OR THE LIKE ON A SUBSTRATE.

Номер патента: DE69101143T2. Автор: Kenth Nilsson. Владелец: QENICO AKERSBERGA AB. Дата публикации: 1994-05-11.

Methods and apparatus for forming uniform particle layers of phosphor material on a surface

Номер патента: KR101445076B1. Автор: 페이칭 링. Владелец: 페이칭 링. Дата публикации: 2014-10-01.

Methods and apparatus of arc prevention during RF sputtering of a thin film on a substrate

Номер патента: EP2410075A3. Автор: Sean Timothy Halloran. Владелец: Primestar Solar Inc. Дата публикации: 2012-04-18.

Method and apparatus for monitoring a plasma in a material processing system

Номер патента: EP1579470A2. Автор: James E. Klekotka. Владелец: Tokyo Electron Ltd. Дата публикации: 2005-09-28.

Projection exposure method and projection exposure apparatus for microlithography

Номер патента: US20190204756A1. Автор: Michael Patra. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2019-07-04.

Projection exposure method and projection exposure apparatus for microlithography

Номер патента: US20200096877A1. Автор: Michael Patra. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2020-03-26.

Method and attachment apparatus for attaching an electrical and/or optical cable to a cable end piece

Номер патента: US20020059724A1. Автор: Hans-Dieter Weigel. Владелец: Individual. Дата публикации: 2002-05-23.

Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with flash of light

Номер патента: US20120238110A1. Автор: Kenichi Yokouchi. Владелец: Individual. Дата публикации: 2012-09-20.

METHOD AND APPARATUS FOR IMPROVING THE RELIABILITY OF A CONNECTION TO A VIA IN A SUBSTRATE

Номер патента: US20150228569A1. Автор: Wu Albert,Wu Scott,Wang Long-Ching. Владелец: . Дата публикации: 2015-08-13.

Apparatus for mounting electrical components having two aligned connection wires on a belt

Номер патента: US4142347A. Автор: Thomas Weresch. Владелец: Individual. Дата публикации: 1979-03-06.

Heater control method and heater control apparatus for gas sensor

Номер патента: US9386633B2. Автор: Hiroyuki Hayashi,Ai IGARASHI,Kaoru HISADA,Tomohisa Terui. Владелец: NGK Spark Plug Co Ltd. Дата публикации: 2016-07-05.

Heater control method and heater control apparatus for gas sensor

Номер патента: US20140238973A1. Автор: Hiroyuki Hayashi,Ai IGARASHI,Kaoru HISADA,Tomohisa Terui. Владелец: NGK Spark Plug Co Ltd. Дата публикации: 2014-08-28.

Method and system of apparatuses for testing utility power devices

Номер патента: US20170184685A1. Автор: George Matthew Kennedy,Robert Clark WOODWARD, Jr.. Владелец: Doble Engineering Co. Дата публикации: 2017-06-29.

Imprint apparatus, imprint method, and article manufacturing method

Номер патента: US11759994B2. Автор: Yoshihiro Shiode. Владелец: Canon Inc. Дата публикации: 2023-09-19.

Polishing method and polishing apparatus

Номер патента: US20240278380A1. Автор: Masahiro Hatakeyama,Shinro Ota. Владелец: Ebara Corp. Дата публикации: 2024-08-22.

Polishing method and polishing apparatus

Номер патента: US20170190020A1. Автор: Yoichi Kobayashi,Keita Yagi,Masaki Kinoshita,Yoichi Shiokawa. Владелец: Ebara Corp. Дата публикации: 2017-07-06.

Polishing method, and polishing apparatus

Номер патента: US20240181594A1. Автор: Keita Yagi,Yoichi Shiokawa,Toshimitsu Sasaki,Yuki Watanabe,Nachiketa Chauhan,Masashi KABASAWA. Владелец: Ebara Corp. Дата публикации: 2024-06-06.

Method and apparatus for removing microchips from a wafer and applying the microchips to a substrate

Номер патента: CN107251212B. Автор: 迈克·帕尼茨. Владелец: JENOPTIK OPTICAL SYSTEMS GMBH. Дата публикации: 2020-08-18.

Apparatus for cleaning and drying hard disk substrates

Номер патента: US5685040A. Автор: Masami Onodera. Владелец: System Seiko Co Ltd. Дата публикации: 1997-11-11.

Material transport system, transport method and storage device

Номер патента: GB2588062A. Автор: WU Kung. Владелец: Shanghai Fortrend Tech Co Ltd. Дата публикации: 2021-04-14.

Apparatus for manufacturing printed circuit board

Номер патента: US20110132546A1. Автор: Yoong Oh,Dek Gin Yang. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2011-06-09.

Semiconductor process device, and control method and apparatus for moving component thereof

Номер патента: EP4362072A1. Автор: Jinheng ZHU. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-05-01.

Method and device for positionally accurate processing of a material web

Номер патента: US20240025687A1. Автор: Norbert Dylla,Johannes Jansen. Владелец: Optima Life Science GmbH. Дата публикации: 2024-01-25.

Battery cell electrode sheet inspection method and apparatus, and electronic device

Номер патента: EP4401034A1. Автор: Zhihong Wang. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2024-07-17.

Method and apparatus for pasting battery plates

Номер патента: US3951688A. Автор: Herbert G. Pankow,Terrance M. Larkin,Roland L. Young,Donald H. McClelland. Владелец: Gates Rubber Co. Дата публикации: 1976-04-20.

Method and apparatus for pasting battery plates

Номер патента: CA1042981A. Автор: Herbert G. Pankow,Terrance M. Larkin,Roland L. Young,Donald H. McClelland. Владелец: Gates Rubber Co. Дата публикации: 1978-11-21.

Method and system for cleaning a field emission cathode device

Номер патента: US20240079197A1. Автор: Cheng Qian,Jian Zhang. Владелец: NCX Corp. Дата публикации: 2024-03-07.

Method and system for cleaning a field emission cathode device

Номер патента: US11996282B2. Автор: Cheng Qian,Jian Zhang. Владелец: NCX Corp. Дата публикации: 2024-05-28.

Method and system for cleaning a field emission cathode device

Номер патента: CA3194247A1. Автор: Cheng Qian,Jian Zhang. Владелец: NCX Corp. Дата публикации: 2022-04-07.

Method and system for cleaning a field emission cathode device

Номер патента: EP4222771A1. Автор: Cheng Qian,Jian Zhang. Владелец: NCX Corp. Дата публикации: 2023-08-09.

Method of applying a resin-rich skin on the surface of reinforced material gear or other wear surface

Номер патента: US20030107157A1. Автор: Harry Buchanan. Владелец: Valeo Electrical Systems Inc. Дата публикации: 2003-06-12.

Method of applying a resin-rich skin on the surface of reinforced material gear or other wear surface

Номер патента: EP1453649A1. Автор: Harry C. Buchanan, Jr.. Владелец: Valeo Electrical Systems Inc. Дата публикации: 2004-09-08.

Battery cell electrode plate detection method and apparatus, and electronic device

Номер патента: US20240177287A1. Автор: Zhihong Wang. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2024-05-30.

Apparatus for reacting a gas with a material in an electromagnetic field

Номер патента: CA978140A. Автор: Adir Jacob. Владелец: LFE Corp. Дата публикации: 1975-11-18.

Methods and systems for deposition to gaps using an inhibitor

Номер патента: US20230069459A1. Автор: Varun Sharma,Suvi P. Haukka,Eva E. Tois. Владелец: ASM IP Holding BV. Дата публикации: 2023-03-02.

Process for manufacturing copper foil on a metal carrier substrate

Номер патента: WO2003069666A1. Автор: Sidney J. Clouser,David B. Russell,Jiangtao Wang,Dan Lillie. Владелец: Nikko Materials Usa, Inc.. Дата публикации: 2003-08-21.

Thin-film deposition method and semiconductor device

Номер патента: US20230005741A1. Автор: Xiaoling Wang,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-05.

Thin film deposition method and semiconductor device

Номер патента: EP4135011A1. Автор: Xiaoling Wang,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-15.

PROCEDURE FOR APPLYING A FIRM BONDED METAL LAYER ON A RESIN SURFACE AND PROCEDURE FOR PREPARING A SOLUTION TO BE APPLIED TO THIS.

Номер патента: NL7512988A. Автор: . Владелец: Kollmorgen Corp. Дата публикации: 1976-05-11.

Methods for reducing material overhang in a feature of a substrate

Номер патента: US20150221486A1. Автор: Alan A. Ritchie,Zhenbin Ge,Sally Lou,Jenn Yue Wang. Владелец: Applied Materials Inc. Дата публикации: 2015-08-06.

Method and apparatus for determining a position of an attitude control motor on a guided missile

Номер патента: US20050048808A1. Автор: William Black,Steven Marian. Владелец: Lockheed Martin Corp. Дата публикации: 2005-03-03.

Methods for reducing material overhang in a feature of a substrate

Номер патента: WO2015119825A1. Автор: Alan A. Ritchie,Zhenbin Ge,Sally Lou,Jenn Yue Wang. Владелец: Applied Materials, Inc.. Дата публикации: 2015-08-13.

Method and device for optimizing signal power on a wired communications network

Номер патента: US20080199001A1. Автор: Song-Lin Young. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2008-08-21.

Apparatus for generating magnetic fields during semiconductor processing

Номер патента: US11915915B2. Автор: Martin Lee Riker,Suhas Bangalore Umesh. Владелец: Applied Materials Inc. Дата публикации: 2024-02-27.

Device For Sputtering A Material Onto A Surface Of A Substrate While Moving

Номер патента: US20190144990A1. Автор: Groves Oliver James. Владелец: . Дата публикации: 2019-05-16.

Method and apparatus for implementing the training phase of adaptive channel equalization on a digital communications path

Номер патента: EP1258120A1. Автор: Janne Väänänen. Владелец: TELLABS OY. Дата публикации: 2002-11-20.

Recording method and a recording apparatus for internal information of image equipment

Номер патента: US20090080859A1. Автор: Takehiko Nagano,Tomohiko Shigeoka. Владелец: HITACHI LTD. Дата публикации: 2009-03-26.

Method and image processing apparatus for video coding

Номер патента: US11431971B2. Автор: Ching-Chieh Lin,Chang-Hao YAU,Bing-Jung Fuh. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2022-08-30.

Packet collection method and packet collection apparatus for virtualization core network session management

Номер патента: US20240179165A1. Автор: Gu Min NAM,Hyun Jong SEO. Владелец: WINS Co Ltd. Дата публикации: 2024-05-30.

Method and system for securing user identities and creating virtual users to enhance privacy on a communication network

Номер патента: US20160358138A1. Автор: Dekel Shiloh. Владелец: Individual. Дата публикации: 2016-12-08.

Shaving processing method and shaving processing apparatus for gear

Номер патента: US20210187643A1. Автор: Ryoichi Ueno,Yoshihiro Teramoto. Владелец: Mazda Motor Corp. Дата публикации: 2021-06-24.

Shaving processing method and shaving processing apparatus for gear

Номер патента: US11192198B2. Автор: Ryoichi Ueno,Yoshihiro Teramoto. Владелец: Mazda Motor Corp. Дата публикации: 2021-12-07.

Method and apparatus for recording and retrieving video information in two modes on a single laser recording disc

Номер патента: US4558375A. Автор: Carl G. Sontheimer. Владелец: Individual. Дата публикации: 1985-12-10.

Filtering method and filtering control apparatus for load cell

Номер патента: EP4363812A1. Автор: Shenhui Wang,Song Zhang,Qin Sun,Qi WAN,Along WEI. Владелец: Mettler Toledo Changzhou Precision Instruments Ltd. Дата публикации: 2024-05-08.

Electronic device, camera device, and anti-shake method and anti-shake apparatus for camera device

Номер патента: US20230328376A1. Автор: Wei Wu,Kun AN. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2023-10-12.

Method and image processing apparatus for video coding

Номер патента: US20200404264A1. Автор: Ching-Chieh Lin,Chang-Hao YAU,Bing-Jung Fuh. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2020-12-24.

System and apparatus for connecting a wireless device to a remote location on a network

Номер патента: US6961555B1. Автор: Jeffry Jovan Philyaw. Владелец: LV Partners LP. Дата публикации: 2005-11-01.

Method and device for controlling selection of a menu item from a menu displayed on a screen

Номер патента: US6088031A. Автор: Ju-Ha Park,Young-Soon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-07-11.

Selective etching method and etching assembly

Номер патента: US20240312790A1. Автор: Eva Tois,Shaoren Deng,Marko Tuominen,Daniele Chiappe,Vincent Vandalon,Viraj Madhiwala. Владелец: ASM IP Holding BV. Дата публикации: 2024-09-19.

Chip packaging method and particle chips

Номер патента: US11683020B2. Автор: Jian Wang. Владелец: Shenzhen Newsonic Technologies Co Ltd. Дата публикации: 2023-06-20.

Substrate stripping method and epitaxial wafer

Номер патента: US20240153761A1. Автор: Peng Li,Jiangbo Wang,Hongpo Hu,Binzhong DONG. Владелец: HC Semitek Zhejiang Co Ltd. Дата публикации: 2024-05-09.

Packaging Method and Semiconductor Device

Номер патента: US20180090657A1. Автор: YUE Fei,Ying Zhang,Xuhong Wang. Владелец: Shanghai Industrial Micro Technology Research Institute. Дата публикации: 2018-03-29.

Method and apparatus for monitoring a plasma in a material processing system

Номер патента: AU2003299437A1. Автор: James E. Klekotka. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-07-22.

Plasma processing method and apparatus

Номер патента: US20050037629A1. Автор: Ichiro Nakayama,Yoshihiro Yanagi. Владелец: Individual. Дата публикации: 2005-02-17.

Apparatus for thermal treatment of substrates

Номер патента: US6957690B1. Автор: Ivo Raaijmakers. Владелец: ASM America Inc. Дата публикации: 2005-10-25.

Method and apparatus for multi-chip packaging

Номер патента: US7691668B2. Автор: Yong Du,John Yan. Владелец: SPANSION LLC. Дата публикации: 2010-04-06.

Chip packaging method and particle chips

Номер патента: US20230275561A1. Автор: Jian Wang. Владелец: Shenzhen Newsonic Technologies Co Ltd. Дата публикации: 2023-08-31.

Conveying device, conveying method and evaporation apparatus

Номер патента: US20180005858A1. Автор: Qinghua Zou,Shupeng GUO,Changqi Hu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-01-04.

Methods and apparatus for optimizing an electrical response to a conductive layer on a substrate

Номер патента: TW200730855A. Автор: Andrew D Bailey Iii. Владелец: Lam Res Corp. Дата публикации: 2007-08-16.

Method and apparatus for removing heat from a component

Номер патента: EP1303174B1. Автор: Henry Wong,Thomas J. Bertram. Владелец: Motorola Inc. Дата публикации: 2006-11-15.

Method and apparatus for removing heat from a component

Номер патента: US20030058616A1. Автор: Henry Wong,Thomas Bertram. Владелец: Individual. Дата публикации: 2003-03-27.

Method and heat treatment apparatus for uniformly heating a substrate during a bake process

Номер патента: US8178820B2. Автор: Michael A. Carcasi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-05-15.

Method and system for facilitating bi-directional growth

Номер патента: US8663387B2. Автор: James S. Im. Владелец: Columbia University in the City of New York. Дата публикации: 2014-03-04.

A method and a manufacturing apparatus for manufacturing a fiber preform

Номер патента: WO2004094325A1. Автор: Markku Rajala,Kauko Janka,Harri Valkonen,Tomi Forsblom. Владелец: Liekki Oy. Дата публикации: 2004-11-04.

Apparatus for applying a force to a vehicle on a track

Номер патента: GB2597720A9. Автор: Eduardo Carbonell Daniel,Cathcart Geddes Hamish. Владелец: Lenz Ltd. Дата публикации: 2022-03-16.

Apparatus for applying a force to a vehicle on a track

Номер патента: US20230356757A1. Автор: Daniel Eduardo CARBONELL,Hamish Cathcart GEDDES. Владелец: Lenz Ltd. Дата публикации: 2023-11-09.

A method and apparatus for plasma assisted laser cooking of food products

Номер патента: EP2684420A1. Автор: Inderjit Singh. Владелец: SINGH Inderjit. Дата публикации: 2014-01-15.

Method and apparatus of monitoring optical power level in waveguiding structures

Номер патента: US20030161569A1. Автор: Alexandru Paunescu,Linda Braun,Jane LeGrange. Владелец: Individual. Дата публикации: 2003-08-28.

Intaglio engraving method and apparatus

Номер патента: WO1999011456A1. Автор: Kenneth W. Jackson,Tony D. Beckett,David R. Seitz. Владелец: Ohio Electronic Engravers, Inc.. Дата публикации: 1999-03-11.

Method and apparatus for projecting images on surfaces

Номер патента: US20240242643A1. Автор: Jouni JUNES,Claudio STUPPI,Markus HAUTAKORPI,Michihito Mizutani,Tero KÖNÖNEN. Владелец: Kone Corp. Дата публикации: 2024-07-18.

Apparatus for assembling lamellar packages for electrical use

Номер патента: EP4229663A1. Автор: Alberto CURATOLI,Davide VERRI,Gianluca Bertocchi,Pietro Maurizio Perucchi,Ivan DE ROSSI. Владелец: CORRADA SpA. Дата публикации: 2023-08-23.

Multi-Link Communication Method and Apparatus

Номер патента: US20230007717A1. Автор: Yifan Zhou,Jian Yu,Yunbo Li,Ming GAN,Yuchen Guo,Dandan LIANG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-01-05.

Multi-link communication method and apparatus

Номер патента: US12048040B2. Автор: Yifan Zhou,Jian Yu,Yunbo Li,Ming GAN,Yuchen Guo,Dandan LIANG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-07-23.

Channel indication method and device in wireless local access network

Номер патента: EP4131828A1. Автор: Yunbo Li,Ming GAN,Yuchen Guo. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-02-08.

Communication method and communication device

Номер патента: EP4432764A1. Автор: MAO Yang,Bo Li,Yunbo Li,Ming GAN,Qingsong Gao. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-18.

Control system, control method and equalization apparatus

Номер патента: US20190109890A1. Автор: Shunsuke Hayashi. Владелец: Yokogawa Electric Corp. Дата публикации: 2019-04-11.

METHOD AND APPARATUS FOR RAISING THE TEMPERATURE OF A MATERIAL

Номер патента: FR2459601B1. Автор: Gary R Simpson. Владелец: Honeywell Inc. Дата публикации: 1985-12-27.

Methods and apparatus for communicating using a gateway communications device

Номер патента: WO2010148368A1. Автор: Vladimir Parizhsky,Richard John Dynarski. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-12-23.

Method and Apparatus for Heating Current Control of a Pulsed X-Ray Tube

Номер патента: US20140192961A1. Автор: Andreas Böhme,Johannes Walk,Rudolf Auernhammer. Владелец: Individual. Дата публикации: 2014-07-10.

Method and apparatus for low dc bus voltage ride through

Номер патента: WO2021188918A1. Автор: Kurtis VERHEYEN,Jacob C. LESTER. Владелец: Magnetek, Inc.. Дата публикации: 2021-09-23.

Multi-link communication method and device

Номер патента: EP4114093A1. Автор: Yifan Zhou,Jian Yu,Yunbo Li,Ming GAN,Yuchen Guo,Dandan LIANG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-01-04.

Method and apparatus for use in applying a band of liquid adhesive

Номер патента: CA1176837A. Автор: Raymond Hanson. Владелец: USM Corp. Дата публикации: 1984-10-30.

Apparatus for manufacturing and/or treating a material web, in particular a paper or board web

Номер патента: US20070039184A1. Автор: Diethelm Beisiegel. Владелец: Voith Paper Patent GmbH. Дата публикации: 2007-02-22.

Coating machine for applying and drying a colored photosensitive emulsion on a plastic film

Номер патента: US20010045185A1. Автор: William Campbell,Dennis Burgess. Владелец: Individual. Дата публикации: 2001-11-29.

Method and device for pressing a liquid extract out of a material to be pressed

Номер патента: CA3220864A1. Автор: Maximilian Rosenberger,Frank Gockel,Denis Beil. Владелец: Messer SE and Co KGaA. Дата публикации: 2022-12-08.

Method and apparatus for screwing a ring nut of a trigger pump on a container

Номер патента: EP2509910B1. Автор: Renzo Vesentini. Владелец: REJVES Srl. Дата публикации: 2014-10-15.

Apparatus for coating a liquid on opposite sides of a flexible sheet material

Номер патента: US3986476A. Автор: Tadaaki Komatsu,Eizi Yano,Shunjiro Ohkawa. Владелец: Bridgestone Corp. Дата публикации: 1976-10-19.

Method and apparatus for preventing or arresting crack development and propagation

Номер патента: CA2672397C. Автор: Paul S. Prevey, III. Владелец: Surface Technology Holdings Ltd. Дата публикации: 2014-07-29.

Method and apparatus for transferring or applying a drawing to a surface

Номер патента: US20030073057A1. Автор: Theodore Johnson. Владелец: Individual. Дата публикации: 2003-04-17.

Method and apparatus to control loaded isotopic fuel within a material

Номер патента: US20010019594A1. Автор: Mitchell Swartz. Владелец: Individual. Дата публикации: 2001-09-06.

Heat press suitable for applying a water-based ink print on a stack of fabric layers of different sizes

Номер патента: US20240239095A1. Автор: Eric Solomon. Владелец: Individual. Дата публикации: 2024-07-18.

Method and apparatus for extracting a compound from a material

Номер патента: GB2617642A. Автор: Osei Berchie Derek. Владелец: Individual. Дата публикации: 2023-10-18.

Method of applying a multilayer wet-on-wet coating to a substrate

Номер патента: ZA201907113B. Автор: Williams Marcus,John Kraus. Владелец: Umicore Ag & Co Kg. Дата публикации: 2023-10-25.

Method and device for measuring the pole orientation angle of a magnetic levitation vehicle on a magnetic levitation track

Номер патента: US20100026291A1. Автор: Robert Schmid. Владелец: SIEMENS AG. Дата публикации: 2010-02-04.

A method and an apparatus for the parallel displacement of a material web

Номер патента: CA2166908C. Автор: Hans Nantin,Henrik Mellquist,Rolf Thulin. Владелец: Tetra Laval Holdings and Finance SA. Дата публикации: 2005-05-03.

Apparatus for drying and classification of a material

Номер патента: AU2011365335B2. Автор: Ilpo Tapio Kylmakorpi,Hannu Mansikkaviita,Jyri Juhani Talja,Juhani Kalevi Vasaramaki. Владелец: Kumera Oy. Дата публикации: 2016-11-17.

Apparatus for drying and classification of a material

Номер патента: CA2825278C. Автор: Ilpo Tapio Kylmakorpi,Hannu Mansikkaviita,Jyri Juhani Talja,Juhani Kalevi Vasaramaki. Владелец: Kumera Oy. Дата публикации: 2017-10-03.

Improvements in building structures and in methods and and apparatus for constructing same

Номер патента: GB644890A. Автор: . Владелец: Individual. Дата публикации: 1950-10-18.

Process and apparatus for the winding and binding of skeins of yarn on a reel

Номер патента: GB685492A. Автор: . Владелец: CONRAD BAREISS. Дата публикации: 1953-01-07.

Press forming method and press forming apparatus for automotive outer panel

Номер патента: US11813659B2. Автор: Yasuhiro Kishigami. Владелец: JFE Steel Corp. Дата публикации: 2023-11-14.

LASER WELDING METHOD AND LASER WELDING APPARATUS FOR Si-CONTAINING STEEL SHEETS

Номер патента: US20240033852A1. Автор: Yasushi Kitani,Asato HARA. Владелец: JFE Steel Corp. Дата публикации: 2024-02-01.

Traction control method and traction control apparatus for vehicle

Номер патента: US12017653B2. Автор: Jae Min Yun,Sung Keun Lim,Yoon Seong JEON,Chung Hee HAN. Владелец: Kia Corp. Дата публикации: 2024-06-25.

Method and automatic charging apparatus for filling carbonated drinks into bottles

Номер патента: WO2004002874A1. Автор: Laszlo Schlotthauer. Владелец: Schlotthauer Laszlo. Дата публикации: 2004-01-08.

Method and extruding die apparatus for producing a plastic closure strip

Номер патента: US4540537A. Автор: Ewald A. Kamp. Владелец: Union Carbide Corp. Дата публикации: 1985-09-10.

Remote control method and remote control apparatus for autonomous vehicle

Номер патента: US11853056B2. Автор: GAO Yu,SHI Hu,Jingjing Xue. Владелец: Apollo Intelligent Driving Technology Beijing Co Ltd. Дата публикации: 2023-12-26.

Aiming adjustment method and aiming adjustment apparatus for vehicle lamp

Номер патента: US11835661B2. Автор: Mitsuharu Mano. Владелец: Koito Manufacturing Co Ltd. Дата публикации: 2023-12-05.

Aiming adjustment method and aiming adjustment apparatus for vehicle lamp

Номер патента: US20210055395A1. Автор: Mitsuharu Mano. Владелец: Koito Manufacturing Co Ltd. Дата публикации: 2021-02-25.

Method and multiple-tool apparatus for surface flaming of stone or stone-like products

Номер патента: EP2105275A3. Автор: Claudio Lovato. Владелец: MAEMA Srl. Дата публикации: 2012-01-25.

Apparatus for aligning sheets through the use of stops disposed on a cylinder

Номер патента: US7837192B2. Автор: Andreas MÜLLER,Burkhard Wolf. Владелец: HEIDELBERGER DRUCKMASCHINEN AG. Дата публикации: 2010-11-23.

Apparatus for the removal of a circular collar swage-mounted on a cylindrical projection

Номер патента: GB2564414A. Автор: Brewer Jonathan,WASMUTH Philip. Владелец: Avdel UK Ltd. Дата публикации: 2019-01-16.

Method for applying a cured covering layer on a substrate

Номер патента: WO2005053934A3. Автор: Antonius Cornelis Hendr Visker. Владелец: Antonius Cornelis Hendr Visker. Дата публикации: 2005-11-03.

Method for applying a cured covering layer on a substrate

Номер патента: WO2005053934A2. Автор: Antonius Cornelis Hendrikus Maria Visker. Владелец: Visker Beheer B.V.. Дата публикации: 2005-06-16.

Method for applying a cured covering layer on a substrate

Номер патента: EP1708868A2. Автор: Antonius Cornelis Hendrikus Maria Visker. Владелец: Visker Beheer BV. Дата публикации: 2006-10-11.

Method for cell processing and apparatus for cell processing using the same

Номер патента: EP1788755B1. Автор: Dezhi Huawei Administration Bldg. Tang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2009-06-24.

Systems, Methods and Apparatuses for Prevention of Relay Attacks

Номер патента: US20180139233A1. Автор: Sergey Ignatchenko,Dmytro IVANCHYKHIN. Владелец: OLogN Technologies AG. Дата публикации: 2018-05-17.

Systems, Methods and Apparatuses for Prevention of Relay Attacks

Номер патента: US20170237772A1. Автор: Sergey Ignatchenko,Dmytro IVANCHYKHIN. Владелец: OLogN Technologies AG. Дата публикации: 2017-08-17.

Method and apparatus for separating carbon dioxide and for utilizing carbon dioxide

Номер патента: EP4177530A1. Автор: Ari Piispanen. Владелец: Soletair Power Oy. Дата публикации: 2023-05-10.

Method and apparatus for detecting coding artifacts in an image

Номер патента: US20110235921A1. Автор: Frank Moesle,Zhichun Lei,Paul Springer,Matthias BRUEGGEMANN. Владелец: Sony Corp. Дата публикации: 2011-09-29.

Method and apparatuses for location-based access to a wireless network

Номер патента: WO2008144520A4. Автор: Ajay Malik,Saurabh BHARGAVA,Ranjith Chirakkoly Ravi,Shilpa Moghe. Владелец: Shilpa Moghe. Дата публикации: 2009-04-30.

Data transmission scheme and apparatus

Номер патента: WO2018020041A1. Автор: Harald Haas,Dushyantha A. BASNAYAKA. Владелец: THE UNIVERSITY COURT OF THE UNIVERSITY OF EDINBURGH. Дата публикации: 2018-02-01.

Communication method and apparatus

Номер патента: EP1119182A3. Автор: Takehiro Yoshida. Владелец: Canon Inc. Дата публикации: 2001-08-08.

Method and apparatus for avoiding call disturbances and facilitating urgent calls based on a callers decision

Номер патента: WO2004039105A1. Автор: John Aminzadeh. Владелец: John Aminzadeh. Дата публикации: 2004-05-06.

Process and apparatus for applying rigidity strips to a foil web

Номер патента: US20040244900A1. Автор: Oskar Karolyi. Владелец: Haaga Ruediger GmbH. Дата публикации: 2004-12-09.

Data transmission method and apparatus

Номер патента: CA3049322C. Автор: Xiaoyan Bi,Hongzhe SHI,Shibin GE. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-29.

Communication method and apparatus

Номер патента: EP4247074A1. Автор: Lei Chen,Bingzhao Li,Hongyu XIANG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-09-20.

Image capture method, image display method, and apparatus

Номер патента: US20240323544A1. Автор: Jinsong Wen,Weiwei Xu,Wenhong Gong,Qiyan ZHAI,Shuncai Zhong. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-26.

Security authentication method and apparatus applied to wi-fi

Номер патента: EP4236407A1. Автор: Kai Liu,Yanjiang YANG,Yanjie Gu,Lianbing TIAN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-30.

Method and apparatus for determining the location of a wireless client device on a wireless network

Номер патента: US8374630B2. Автор: Andrew Paryzek,Guy Duxbury. Владелец: Apple Inc. Дата публикации: 2013-02-12.

Method and Apparatus for Determining the Location of a Wireless Client Device on a Wireless Network

Номер патента: US20120129549A1. Автор: Guy Duxbury,Andrew Payzek. Владелец: Apple Inc. Дата публикации: 2012-05-24.

Amplitude Modulation Apparatus, Amplitude Limiting Method, and Computer-Readable Program

Номер патента: US20080207142A1. Автор: Takayuki Numakunai. Владелец: Mitsumi Electric Co Ltd. Дата публикации: 2008-08-28.

Method and associated apparatus for determining motion vectors

Номер патента: US8437399B2. Автор: Chung-Yi Chen,Su Chun Wang. Владелец: MStar Semiconductor Inc Taiwan. Дата публикации: 2013-05-07.

Method and apparatus for dispensing a liquid with a pipetting needle

Номер патента: CA2505216A1. Автор: Olivier Elsenhans,Frank May,Juerg Dual. Владелец: F Hoffmann La Roche AG. Дата публикации: 2005-11-14.

Method and display apparatus for image processing

Номер патента: US20240179396A1. Автор: Luming YANG,Junhou JIANG,Zhaolei LIU,Deli TIAN. Владелец: Hisense Visual Technology Co Ltd. Дата публикации: 2024-05-30.

Method and system for tracking device inventory and utilization

Номер патента: US20210288894A1. Автор: Simon Lane,Gina MANACCI,David ANSELMO,Alpa STAMP. Владелец: JPMorgan Chase Bank NA. Дата публикации: 2021-09-16.

Method and relevant apparatus for achieving localized roaming of mobile terminal

Номер патента: US20160050556A1. Автор: Guangzhu Zeng,Meixin LIN,Yuqing Zhao. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2016-02-18.

Method and apparatus for iconifying and automatically dialing telephone numbers which appear on a web page

Номер патента: ZA984535B. Автор: Joseph Giordano Iii. Владелец: InfoGear Technology Corp. Дата публикации: 1998-12-03.

Data recording method and data recording system as well as data recording medium

Номер патента: US20030123843A1. Автор: Yuji Ino,Kanako Yamada. Владелец: Sony Corp. Дата публикации: 2003-07-03.

Method and apparatus for applying signaling of WLAN-3GPP interworking

Номер патента: EP2849486A2. Автор: Richard Lee-Chee Kuo,Meng-Hui Ou. Владелец: Innovative Sonic Corp. Дата публикации: 2015-03-18.

Slice admission control method and communication apparatus

Номер патента: US20240129843A1. Автор: Zhongding Lei. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-18.

Communication method and communication apparatus

Номер патента: US12088444B2. Автор: Fan Wang,Yi Qin. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-10.

Method and system for applying a finely registered printed laminated overlay to a substrate

Номер патента: US20060019051A1. Автор: Joseph Pufahl. Владелец: Individual. Дата публикации: 2006-01-26.

Image processing device, image processing method, and image forming apparatus for overwriting a setting

Номер патента: US10182166B2. Автор: Hiroyoshi NAKAYAMA. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2019-01-15.

Apparatus for sensing rotor location and motor comprising apparatus

Номер патента: US20190229600A1. Автор: Nam Hoon Kim,Shung Hun Woo. Владелец: LG Innotek Co Ltd. Дата публикации: 2019-07-25.

Systems and methods for pre-association discovery of services on a network

Номер патента: US20140204826A1. Автор: George Cherian. Владелец: Qualcomm Inc. Дата публикации: 2014-07-24.

Systems and methods for the discovery of services on a wireless network

Номер патента: EP2949107A1. Автор: George Cherian. Владелец: Qualcomm Inc. Дата публикации: 2015-12-02.

Image capturing method, image display method, and device

Номер патента: EP4429245A1. Автор: Jinsong Wen,Weiwei Xu,Wenhong Gong,Qiyan ZHAI,Shuncai Zhong. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-11.

Data processing method and user equipment

Номер патента: US12035377B2. Автор: Peng Sun,Xiaohang Chen. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-07-09.

A method and system for digital rights management and digital content distribution

Номер патента: WO2005091636B1. Автор: Brian Wormington,William R Lear Jr. Владелец: William R Lear Jr. Дата публикации: 2005-12-01.

Gimbal, method and apparatus for controlling photographing apparatus

Номер патента: US20230353866A1. Автор: Zhiyong Li,Zhendong Wang,Xieping WANG. Владелец: SZ DJI Technology Co Ltd. Дата публикации: 2023-11-02.

Communication method and device

Номер патента: EP4429146A1. Автор: Haibo Xu,Jagdeep Singh Ahluwalia,Mengchen Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-11.

Methods and apparatus for automating the adjustment of rearview mirrors

Номер патента: US5706144A. Автор: Bertil A. Brandin. Владелец: Individual. Дата публикации: 1998-01-06.

Layered encoding and decoding methods and apparatuses

Номер патента: EP4277274A1. Автор: Jing Wang,Haitao Yang,Jue MAO,Ze CUI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-15.

Scalable encoding and decoding method and apparatus

Номер патента: US20240007658A1. Автор: Jing Wang,Haitao Yang,Jue MAO,Ze CUI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-01-04.

Methods and arrangements to negotiate communication speed

Номер патента: WO2008005901A2. Автор: Robert A. Dunstan,Dale Stolitzka,Tom Slaight. Владелец: Intel Corporation. Дата публикации: 2008-01-10.

System and method for adaptive routing on a virtual path broadband network

Номер патента: WO1997004604A1. Автор: Wlodek Holender. Владелец: Telefonaktiebolaget lM Ericsson (publ). Дата публикации: 1997-02-06.

Resource scheduling method and related device

Номер патента: US12082233B2. Автор: Hui Gao,Longke HE,Yutang ZHU,Shengchao DENG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-03.

Method and device for pushing information

Номер патента: US20160004700A1. Автор: Ge Chen,Jian Gao. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2016-01-07.

Communication method and device

Номер патента: US20240313896A1. Автор: Haibo Xu,Jagdeep Singh Ahluwalia,Mengchen Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-19.

Method and apparatus for paste backfill

Номер патента: FI127637B. Автор: Mathew Revell. Владелец: Outotec Finland Oy. Дата публикации: 2018-11-15.

Method of controlling production processes and apparatus therefor

Номер патента: US4250543A. Автор: Richard L. Smith,Kent Van Allen. Владелец: SCANS ASSOC Inc. Дата публикации: 1981-02-10.

Systems, methods and apparatuses for prevention of relay attacks

Номер патента: WO2015040560A1. Автор: Sergey Ignatchenko,Dmytro IVANCHYKHIN. Владелец: OLogN Technologies AG. Дата публикации: 2015-03-26.

Reduction method and apparatus

Номер патента: EP2335357A1. Автор: Frederic Mercier,Vincent Rambeau,Luca Lococo. Владелец: NXP BV. Дата публикации: 2011-06-22.

Methods and signal processing apparatuses for processing an input bitstream

Номер патента: TW201204050A. Автор: Jian-Liang Lin,Fang-Yi Hsieh. Владелец: MediaTek Inc. Дата публикации: 2012-01-16.

Method and information processing apparatus for flagging anomalies in text data

Номер патента: EP3913882B1. Автор: Joseph Townsend. Владелец: Fujitsu Ltd. Дата публикации: 2024-07-24.

Mapping audio to visual images on a display device having a curved screen

Номер патента: US12058510B2. Автор: Erik Hockman,Stuart Elby. Владелец: Sphere Entertainment Group LLC. Дата публикации: 2024-08-06.

Method and corresponding device for improved bandwidth utilization

Номер патента: US20140219145A1. Автор: Yaron Menahem Peleg. Владелец: Go Net Systems Ltd. Дата публикации: 2014-08-07.

Method and corresponding device for improved bandwidth utilization

Номер патента: US20170085476A1. Автор: Yaron Menahem Peleg. Владелец: Go Net Systems Ltd. Дата публикации: 2017-03-23.

Method and Apparatus for Measuring a Load in a Material Handling System

Номер патента: US20130302113A1. Автор: Gregory A. Byzewski,Kurtis L. Verheyen. Владелец: Magnetek Inc. Дата публикации: 2013-11-14.

Methods and apparatus for providing application credentials

Номер патента: WO2005043334A2. Автор: Laurence Lundblade. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2005-05-12.

Methods and apparatus for providing application credentials

Номер патента: WO2005043334A3. Автор: Laurence Lundblade. Владелец: Laurence Lundblade. Дата публикации: 2005-12-22.

Method and apparatus for separating carbon dioxide and for utilizing carbon dioxide

Номер патента: WO2017140954A1. Автор: Ari Piispanen. Владелец: Soletair Power Oy. Дата публикации: 2017-08-24.

Block processing method and apparatus, and data query method and apparatus based on blockchain

Номер патента: EP4009187A1. Автор: Xin Li. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2022-06-08.

Method and apparatus for transceiving signals

Номер патента: US20140204813A1. Автор: Joonkui Ahn,Suckchel Yang,Dongyoun Seo. Владелец: LG ELECTRONICS INC. Дата публикации: 2014-07-24.

Information transmission method and apparatus

Номер патента: US20240129900A1. Автор: FAN Wei,Lei Wang,Yan Chen,Xiuqiang Xu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-18.

Method and apparatus for uplink transmission

Номер патента: US20220231815A1. Автор: Yi Wang,Bin Yu,Qi Xiong,Jingxing FU,Feifei SUN,Sa ZHANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-07-21.

Programming method and apparatus for core routing and switching system

Номер патента: US20160112331A1. Автор: Ke Xu,Meng Shen,Youjian Zhao,Yuchao ZHANG. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2016-04-21.

Method and apparatus for uplink transmission

Номер патента: EP4265031A1. Автор: Yi Wang,Bin Yu,Qi Xiong,Jingxing FU,Feifei SUN,Sa ZHANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-25.

Remotely controlling playback of content stored on a device

Номер патента: EP1915659A2. Автор: Vladimir Sadovsky,Oren Rosenbloom,Blake Manders,Konstantin Roslyakov,Mysore Jaisimha. Владелец: Microsoft Corp. Дата публикации: 2008-04-30.

Remotely controlling playback of content stored on a device

Номер патента: WO2007001614A3. Автор: Vladimir Sadovsky,Oren Rosenbloom,Blake Manders,Konstantin Roslyakov,Mysore Jaisimha. Владелец: Microsoft Corp. Дата публикации: 2009-05-14.

Method and system for creating interaction input based on displayed content

Номер патента: US20240223873A1. Автор: Jason Hewson. Владелец: Hoppr Ltd. Дата публикации: 2024-07-04.

Method and apparatus for providing infrastructure processing and communications

Номер патента: WO2021202615A2. Автор: Jack Ivan Jmaev. Владелец: Jack Ivan Jmaev. Дата публикации: 2021-10-07.

Method and apparatus for rapid access to a contact in a contact list

Номер патента: WO2014085524A2. Автор: Tzahi Efrati,Uri Mischari. Владелец: VONAGE NETWORK LLC. Дата публикации: 2014-06-05.

Method and apparatus for generating hybrid automatic repeat request HARQ information

Номер патента: US11949514B2. Автор: Jinlin Peng,Zhenfei Tang,Jiehua Xiao. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-02.

Method, system and apparatus for processing audio signals

Номер патента: US20130287229A1. Автор: Dong Shi,Jun Xu,Anders Erik Gustav Norrman. Владелец: CREATIVE TECHNOLOGY LTD. Дата публикации: 2013-10-31.

Method and apparatus for multiplexing harq-ack feedback for multicast service on pusch

Номер патента: WO2023184485A1. Автор: Haipeng Lei. Владелец: LENOVO (BEIJING) LIMITED. Дата публикации: 2023-10-05.

A method, system and apparatus for processing audio signals

Номер патента: EP2661744A1. Автор: Dong Shi,Jun Xu,Anders Erik Gustav Norrman. Владелец: CREATIVE TECHNOLOGY LTD. Дата публикации: 2013-11-13.

A method, system and apparatus for processing audio signals

Номер патента: WO2012093966A8. Автор: Dong Shi,Jun Xu,Anders Erik Gustav Norrman. Владелец: CREATIVE TECHNOLOGY LTD.. Дата публикации: 2012-08-09.

A method, system and apparatus for processing audio signals

Номер патента: WO2012093966A1. Автор: Dong Shi,Jun Xu,Andres Erik Gustav NORRMAN. Владелец: CREATIVE TECHNOLOGY LTD. Дата публикации: 2012-07-12.

Method and apparatus for managing data traffic associated with a user on a network

Номер патента: GB2385741A. Автор: Christopher Robert Linzell,Paul James Moran. Владелец: 3Com Corp. Дата публикации: 2003-08-27.

Control channel configuration method and apparatus, and communication device

Номер патента: EP4274145A1. Автор: QI Hong,Gen LI. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2023-11-08.

Signal sending method and apparatus, and signal receiving method and apparatus

Номер патента: EP4231567A1. Автор: Xin Gao,Jianghua Liu,Mengting LIU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-23.

Tape application method and tape application device

Номер патента: US20090320997A1. Автор: Jürgen Liepert,Frank Herz,Wolfgang Semmlinger. Владелец: KUKA SYSTEMS GMBH. Дата публикации: 2009-12-31.

Communication method and device

Номер патента: EP4293938A1. Автор: Jian Wang,Shengli Liu,Guanding Yu,Xinyi Xu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-20.

Communication method and apparatus

Номер патента: US20230421286A1. Автор: Jian Wang,Shengli Liu,Guanding Yu,Xinyi Xu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-28.

Data transmission method and apparatus

Номер патента: US20240172237A1. Автор: Xiao Han,Jian Yu,Xun Yang,Yuchen Guo. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-05-23.

Communication Method and Apparatus

Номер патента: US20230085378A1. Автор: Jun Wang,Nanxin Wang,Wenjie Peng,Qiang Fan. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-03-16.

Flexible ethernet overhead frame processing method and apparatus

Номер патента: EP4254843A1. Автор: Jingfeng Chen,Hongliang SUN,Yongzhi Liu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-10-04.

Data transmission method and apparatus, and storage medium

Номер патента: US11924121B2. Автор: Qin MU. Владелец: Beijing Xiaomi Mobile Software Co Ltd. Дата публикации: 2024-03-05.

Data transmission method and apparatus

Номер патента: EP4301069A1. Автор: Zheng Yu,Xiaolei Tie,Zhe Jin,Hailong HOU,Zhihu LUO,Weilin Qu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-01-03.

Packet transmission method and apparatus

Номер патента: EP4290812A1. Автор: TAO Han,Jie Dong,Ping'an Yang,Zhibo Hu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-13.

Antenna configuration parameter optimization method and apparatus, and storage medium

Номер патента: EP4280660A1. Автор: Xiaowen Li,Qitao Song,Xinli GENG,Jueying WU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-22.

Synchronization signal block sending and receiving method and apparatus

Номер патента: US11943727B2. Автор: Pu YUAN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-03-26.

Method and apparatus for introducing uniform quantities of a material into a metallurgical sample

Номер патента: CA2032999A1. Автор: Richard F. Conti,Edwin Kaufman. Владелец: Individual. Дата публикации: 1991-07-06.

Method and apparatus for inverting the polarity of an input image formed on a surface of an image recording device

Номер патента: US4109316A. Автор: Jan S. Snyder. Владелец: Xerox Corp. Дата публикации: 1978-08-22.

Extrusion forming method and forming apparatus for manufacturing self-clinching rivet

Номер патента: EP3976289A1. Автор: Haifeng Lu. Владелец: Penn Engineering and Manufacturing Corp. Дата публикации: 2022-04-06.

Method and apparatus for manufacturing molded products

Номер патента: US20020017742A1. Автор: Masanori Kikuchi,Kazutoshi Nagahori. Владелец: Individual. Дата публикации: 2002-02-14.

Methods and systems for negative 3d printing machine at high resolution

Номер патента: US20230264416A1. Автор: Guy NESHER,Ziv Gilan,Michael Zenou. Владелец: IO Tech Group Ltd. Дата публикации: 2023-08-24.

Methods and systems for negative 3D printing machine at high resolution

Номер патента: US11872750B2. Автор: Guy NESHER,Ziv Gilan,Michael Zenou. Владелец: IO Tech Group Ltd. Дата публикации: 2024-01-16.

Extrusion forming method and forming apparatus for manufacturing self-clinching rivet

Номер патента: CA3142347A1. Автор: Haifeng Lu. Владелец: Penn Engineering and Manufacturing Corp. Дата публикации: 2020-12-03.

Extrusion molding method and molding apparatus for manufacturing self-clinching rivet

Номер патента: US11819908B2. Автор: Haifeng Lu. Владелец: Penn Engineering and Manufacturing Corp. Дата публикации: 2023-11-21.

DEVICE FOR APPLYING ADHESIVE TO A MATERIAL

Номер патента: US20150132480A1. Автор: Daum Thomas,Bäth Daniel. Владелец: WINKLER & DÜNNEBIER GMBH. Дата публикации: 2015-05-14.

Apparatus for applying a force to a vehicle on a track

Номер патента: GB202011899D0. Автор: . Владелец: Lenz Ltd. Дата публикации: 2020-09-16.

Method and apparatus for producing a sheet of a material containing alkaloids

Номер патента: EP3897225A1. Автор: Michele DEL BORRELLO. Владелец: PHILIP MORRIS PRODUCTS SA. Дата публикации: 2021-10-27.

Characteristics evaluating method and characteristics evaluating apparatus for a magnetic head

Номер патента: US20090268327A1. Автор: Shigeru Akema. Владелец: Fujitsu Ltd. Дата публикации: 2009-10-29.

Method and magnetic resonance apparatus for suppressing undesired coherence pathways

Номер патента: US20150293202A1. Автор: Thorsten Feiweier. Владелец: SIEMENS AG. Дата публикации: 2015-10-15.

Method and device for determining the existence of contaminations in a material

Номер патента: US20020071116A1. Автор: Svante BJÖRK. Владелец: Svante Björk AB. Дата публикации: 2002-06-13.

Method and apparatus for measuring the permeability of a material

Номер патента: CA1165141A. Автор: Peter S. Sorce,Earl W. Clifford. Владелец: Aro Corp. Дата публикации: 1984-04-10.

Device for applying a liquid along a line on a support

Номер патента: US3754654A. Автор: E Nybom. Владелец: INSTRUMENTA AB. Дата публикации: 1973-08-28.

Method and magnetic resonance apparatus for establishing a resonant frequency

Номер патента: US20150309138A1. Автор: Kuan Jin Lee. Владелец: SIEMENS AG. Дата публикации: 2015-10-29.

Method and magnetic resonance apparatus for the acquisition of mr data of a slice within a subject

Номер патента: US20150268322A1. Автор: Dominik Paul. Владелец: SIEMENS AG. Дата публикации: 2015-09-24.

Method and magnetic resonance apparatus for determination of radio-frequency pulses

Номер патента: US20150234025A1. Автор: Rainer Schneider. Владелец: SIEMENS AG. Дата публикации: 2015-08-20.

Method and apparatus for measuring fracture toughness of a material

Номер патента: US5602329A. Автор: Frederick G. Haubensak. Владелец: Massachusetts Institute of Technology. Дата публикации: 1997-02-11.

Method and magnetic resonance apparatus for recording a magnetic resonance data set

Номер патента: US10371778B2. Автор: Lars Lauer. Владелец: Siemens Healthcare GmbH. Дата публикации: 2019-08-06.

Method and apparatus for providing a layout defining a structure to be patterned onto a substrate

Номер патента: US9262576B2. Автор: Hanno Melzner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-02-16.

Method and magnetic resonance apparatus for recording a magnetic resonance data set

Номер патента: US20170261579A1. Автор: Lars Lauer. Владелец: Siemens Healthcare GmbH. Дата публикации: 2017-09-14.

Method and Apparatus for Providing a Layout Defining a Structure to be Patterned onto a Substrate

Номер патента: US20130037915A1. Автор: Hanno Melzner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2013-02-14.

Method and Apparatus for Providing a Layout Defining a Structure to be Patterned onto a Substrate

Номер патента: US20140223405A1. Автор: Hanno Melzner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-08-07.

Print control method and print control apparatus for controlling printing of structured document

Номер патента: US20110096361A1. Автор: Nobushige Aoki,Asuka Kanno. Владелец: Canon Inc. Дата публикации: 2011-04-28.

Image pre-processing method and image processing apparatus for fundoscopic image

Номер патента: US20220301111A1. Автор: Ming-Ke Chen,Yi-Jin Huang,Chin-Han Tsai. Владелец: Acer Medical Inc. Дата публикации: 2022-09-22.

Image pre-processing method and image processing apparatus for fundoscopic image

Номер патента: US11954824B2. Автор: Ming-Ke Chen,Yi-Jin Huang,Chin-Han Tsai. Владелец: Acer Medical Inc. Дата публикации: 2024-04-09.

Apparatus for storing and applying a product

Номер патента: EP4312657A1. Автор: Alexis LEONARD. Владелец: LOreal SA. Дата публикации: 2024-02-07.

Apparatus for storing and applying a product

Номер патента: WO2022210448A8. Автор: Alexis LEONARD. Владелец: L'oreal. Дата публикации: 2023-10-12.

Apparatus for storing and applying a product

Номер патента: US20240180316A1. Автор: Alexis LEONARD. Владелец: LOreal SA. Дата публикации: 2024-06-06.

Methods and devices for detemining the contact angle of a drop of liquid placed on a substrate

Номер патента: CA2013691A1. Автор: Philippe Martin,Gilles Le Boudec. Владелец: Photonetics. Дата публикации: 1990-10-06.

A method and an electronic apparatus for positioning a cursor on a display

Номер патента: EP1323022A1. Автор: Lars Philipson. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2003-07-02.

Method and apparatus for displaying radar video registered with computer generated data on a television monitor

Номер патента: US4162494A. Автор: James R. Bacon. Владелец: Burroughs Corp. Дата публикации: 1979-07-24.

Method and medical imaging apparatus for supporting a preparation of a patient for a medical imaging investigation

Номер патента: US11540741B2. Автор: Mario Zeller. Владелец: Siemens Healthcare GmbH. Дата публикации: 2023-01-03.

Method and magnetic resonance apparatus for determining a phase describing map by a min-cut/max-flow

Номер патента: US20160169995A1. Автор: Marcel Dominik Nickel. Владелец: SIEMENS AG. Дата публикации: 2016-06-16.

Method and apparatus for producing and laying a cold or emulsion macadam on a surface

Номер патента: GB2291087A. Автор: Adrian Holt. Владелец: Individual. Дата публикации: 1996-01-17.

Method and apparatus for verifying a signal recorded in an encoded form on a medium

Номер патента: US5175655A. Автор: Seiichiro Satomura. Владелец: Canon Inc. Дата публикации: 1992-12-29.

Method and magnetic resonance apparatus for acquiring magnetic resonance data of an examination object

Номер патента: US20150234023A1. Автор: David Grodzki,Bjoern Heismann. Владелец: SIEMENS AG. Дата публикации: 2015-08-20.

Method and magnetic resonance apparatus for determining diffusion parameters

Номер патента: US20180306885A1. Автор: Thorsten Feiweier,Stefan Huwer. Владелец: Siemens Healthcare GmbH. Дата публикации: 2018-10-25.

Method and magnetic resonance apparatus for determining diffusion parameters

Номер патента: US10613183B2. Автор: Thorsten Feiweier,Stefan Huwer. Владелец: Siemens Healthcare GmbH. Дата публикации: 2020-04-07.

Apparatus for the application of a fluid pasty material on a wire shaped element

Номер патента: US3823797A. Автор: J Ducatillon. Владелец: Cato Oil and Grease Co Inc. Дата публикации: 1974-07-16.

Method and magnetic resonance apparatus for automatic interaction with a patient

Номер патента: US20220171003A1. Автор: Maria KROLL. Владелец: Siemens Healthcare GmbH. Дата публикации: 2022-06-02.

Design aid method and design aid apparatus for integrated circuits

Номер патента: US5367468A. Автор: Kunihiko Yamagishi,Masatoshi Sekine,Takayuki Fukasawa. Владелец: Toshiba Corp. Дата публикации: 1994-11-22.

A method and an electronic apparatus for acquiring a floor map of a room layout

Номер патента: EP4298610A1. Автор: Jie Chen,JUAN Liu,Huasin WANG,Sukun YOON,Longhai WU. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-01-03.

Method and magnetic resonance apparatus for quantitative, highly reproducible tissue differentiation

Номер патента: US11874360B2. Автор: Stefan KUCZERA,Stephan Ernst Maier. Владелец: Individual. Дата публикации: 2024-01-16.

Method and magnetic resonance apparatus for quality control in planning radiotherapy of a patient

Номер патента: US20170021195A1. Автор: Bernd Schweizer. Владелец: Siemens Healthcare GmbH. Дата публикации: 2017-01-26.

Method and magnetic resonance apparatus for quality control in planning radiotherapy of a patient

Номер патента: US9878178B2. Автор: Bernd Schweizer. Владелец: Siemens Healthcare GmbH. Дата публикации: 2018-01-30.

Method and Magnetic Resonance Apparatus for Quantitative, Highly Reproducible Tissue Differentiation

Номер патента: US20230296711A1. Автор: Stefan KUCZERA,Stephan Ernst Maier. Владелец: Individual. Дата публикации: 2023-09-21.

Method and magnetic resonance apparatus for determining basic shim settings of the magnetic resonance apparatus

Номер патента: US10197650B2. Автор: Andrew Dewdney. Владелец: SIEMENS AG. Дата публикации: 2019-02-05.

Method and magnetic resonance apparatus for determining a shim setting

Номер патента: US10429465B2. Автор: Ralf Ladebeck,Daniel NIEDERLOEHNER,Johann Sukkau. Владелец: Siemens Healthcare GmbH. Дата публикации: 2019-10-01.

Method and magnetic resonance apparatus for determining a shim setting

Номер патента: US20170293004A1. Автор: Ralf Ladebeck,Daniel NIEDERLOEHNER,Johann Sukkau. Владелец: Siemens Healthcare GmbH. Дата публикации: 2017-10-12.

Method and medical examination apparatus for editing a film clip produced by medical imaging

Номер патента: US20060269275A1. Автор: Uwe-Erik Martin,Mihaela-Cristina Krause. Владелец: SIEMENS AG. Дата публикации: 2006-11-30.

Method and magnetic resonance apparatus for creating at least two image data sets

Номер патента: US9995809B2. Автор: Peter Speier. Владелец: SIEMENS AG. Дата публикации: 2018-06-12.

Method and magnetic resonance apparatus for quiet echo-planar imaging

Номер патента: US20180259605A1. Автор: Robin Heidemann,Patrick Liebig. Владелец: Siemens Healthcare GmbH. Дата публикации: 2018-09-13.

Method and magnetic resonance apparatus for determining dephasing factors in mr multiecho techniques

Номер патента: US20170082709A1. Автор: Marcel Dominik Nickel. Владелец: Siemens Healthcare GmbH. Дата публикации: 2017-03-23.

Method and magnetic resonance apparatus for monitoring a cooling system of the magnetic resonance apparatus

Номер патента: US20190072624A1. Автор: Stephan Biber. Владелец: Siemens Healthcare GmbH. Дата публикации: 2019-03-07.

Method and magnetic resonance apparatus for monitoring a cooling system of the magnetic resonance apparatus

Номер патента: US10823791B2. Автор: Stephan Biber. Владелец: Siemens Healthcare GmbH. Дата публикации: 2020-11-03.

Image forming method and image forming apparatus for same

Номер патента: US20040241566A1. Автор: Koji Suzuki,Yutaka Takahashi,Mitsuo Aoki,Setsuo Soga,Tadashi Kasai,Yasushi Koichi,Bing Shu. Владелец: Ricoh Co Ltd. Дата публикации: 2004-12-02.

Method and magnetic resonance apparatus for determination of patient movement during data acquisition

Номер патента: US20080214923A1. Автор: Arne Littmann,Gunnar Krueger. Владелец: Individual. Дата публикации: 2008-09-04.

Image forming method and image forming apparatus for forming an image on a surface of a transfer member

Номер патента: US7660542B2. Автор: Shunsuke Hamahashi. Владелец: Ricoh Co Ltd. Дата публикации: 2010-02-09.

Image Processing Method and Image Processing Apparatus for Registering Additional Information of Image Information

Номер патента: US20160171161A1. Автор: Masahiro Abe. Владелец: Canon Inc. Дата публикации: 2016-06-16.

Image processing method and image processing apparatus for registering additional information of image information

Номер патента: US20050203954A1. Автор: Masahiro Abe. Владелец: Canon Inc. Дата публикации: 2005-09-15.

Method and system for creating and storing a backup copy of file data stored on a computer

Номер патента: US5659614A. Автор: John E. Bailey, III. Владелец: Bailey, Iii; John E.. Дата публикации: 1997-08-19.

Apparatus for adjusting the longitudinal position of a safety binding on a ski

Номер патента: US4817981A. Автор: Pierre Feche,Jack Desbiolles. Владелец: SALOMON SAS. Дата публикации: 1989-04-04.

Apparatus for discharging control gates after performing an access operation on a memory cell

Номер патента: US20200258574A1. Автор: Jeffrey S. McNeil. Владелец: Micron Technology Inc. Дата публикации: 2020-08-13.

Method and apparatus for coating a solution onto a substrate

Номер патента: EP1095314A1. Автор: Jeffrey Glenn Innocenzo,Frank Santo Principe. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2001-05-02.

Method and apparatus for applying narrow, closely spaced beads of viscous liquids to a substrate

Номер патента: AU2259588A. Автор: Charles H. Scholl,Theodore M. Hadzimihalis. Владелец: Nordson Corp. Дата публикации: 1989-02-13.

Method and apparatus for coating a solution onto a substrate

Номер патента: WO1999059031A1. Автор: Jeffrey Glenn Innocenzo,Frank Santo Principe. Владелец: E.I. Du Pont De Nemours and Company. Дата публикации: 1999-11-18.

Spin coating method and coating apparatus

Номер патента: US20020037366A1. Автор: Hiroyuki Arioka. Владелец: TDK Corp. Дата публикации: 2002-03-28.

Powder and coating formation method and apparatus

Номер патента: EP1497061A2. Автор: Isaac Tsz Hong Chang. Владелец: METAL NANOPOWDERS Ltd. Дата публикации: 2005-01-19.

Apparatus for applying a protective coating to a film strip

Номер патента: US5354379A. Автор: Thomas M. Milbourn,Ashwani K. Mehta. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 1994-10-11.

Method and apparatus for discharge of whitewater

Номер патента: US20020112837A1. Автор: Wolfgang Mayer,Ralf Rziha. Владелец: Voith Paper Patent GmbH. Дата публикации: 2002-08-22.

Method and apparatus for measuring amount of coating on paper web

Номер патента: US20050106312A1. Автор: Markku Mantyla. Владелец: METSO AUTOMATION OY. Дата публикации: 2005-05-19.

Method and arrangement implementing heat treatment after the execution of sprayfrom techniques

Номер патента: US20030098138A1. Автор: Richard Allor,Samir Samir,Allen Roche. Владелец: Ford Motor Co. Дата публикации: 2003-05-29.

Apparatus for applying liquid fluoropolymer solutions to substrates

Номер патента: US6007626A. Автор: Howard V. Leendertsen. Владелец: Individual. Дата публикации: 1999-12-28.

Method for applying stretched elastic strands to a substrate

Номер патента: US20140196836A1. Автор: Wesley C. Fort. Владелец: Nordson Corp. Дата публикации: 2014-07-17.

System and method for applying individually coated non-linear elastic strands to a substrate

Номер патента: US20130233472A1. Автор: Wesley C. Fort. Владелец: Nordson Corp. Дата публикации: 2013-09-12.

Production method and production apparatus for magnetic recording medium

Номер патента: US20040175510A1. Автор: Mitsuru Takai,Kazuhiro Hattori,Takahiro Suwa. Владелец: TDK Corp. Дата публикации: 2004-09-09.

Method and apparatus for manufacturing glass laminate

Номер патента: WO2020214870A1. Автор: Cheol Hee Park,Joo Sok Kim,Seung-Yong Park. Владелец: CORNING INCORPORATED. Дата публикации: 2020-10-22.

Systems and methods for digital, multiplexed, extracellular vesicle-derived biomarker diagnostic lab-on-a-chip

Номер патента: WO2024168317A1. Автор: Bryan Joseph Rice. Владелец: Rice Bryan Joseph. Дата публикации: 2024-08-15.

Method and composition for tinting a spectacle lens substrate

Номер патента: US12090717B2. Автор: Christian Wolff,Florian LAUNAY,Dominik Wiedemann. Владелец: CARL ZEISS VISION INTERNATIONAL GMBH. Дата публикации: 2024-09-17.

Method and system of apparatus for processing dung, especially poultry dung

Номер патента: EP1149062A1. Автор: Hendrikus Johannes Josephus Te Wierik. Владелец: WIERKO RAALTE BV. Дата публикации: 2001-10-31.

Hand-held applicator device for applying a layer of fluid droplets

Номер патента: US20030121990A1. Автор: David Dobson. Владелец: Individual. Дата публикации: 2003-07-03.

Apparatus and method for deposting an overcoat on an image on a substrate

Номер патента: US20220305833A1. Автор: Eliud Robles Flores,Charles FACCHINI,Pedro PAGAN. Владелец: XEROX CORPORATION. Дата публикации: 2022-09-29.

Device for applying a flowable medium to a surface of a substrate

Номер патента: DE10137195C1. Автор: Michael Hoehn,Dirk Jacob. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2003-05-22.

Method for applying a liquid, pasty or plastic substance to a substrate

Номер патента: EP0463507B1. Автор: Volker Ludwig. Владелец: Zweckform Buero Produkte GmbH. Дата публикации: 1996-01-31.

System for applying plastering material and filler material on areas to be worked

Номер патента: AU1259700A. Автор: Frank Ewering. Владелец: Individual. Дата публикации: 2000-04-10.

METHOD AND APPARATUS FOR ADJUSTING THE TEMPERATURE OF A MATERIAL UNDER TREATMENT

Номер патента: FR2301047A1. Автор: . Владелец: Owens Corning Fiberglas Corp. Дата публикации: 1976-09-10.

Methods and devices for nucleic acids synthesis

Номер патента: WO2012078312A3. Автор: Joseph Jacobson,Daniel Schindler,Senthil Ramu,Li-Yun A. Kung,Andrew Kirk Wilson,Mike Hudson. Владелец: Gen9, Inc.. Дата публикации: 2012-09-27.

Methods and devices for nucleic acids synthesis

Номер патента: US11845054B2. Автор: Joseph Jacobson,Daniel Schindler,Senthil Ramu,Michael E. Hudson,Li-Yun A. Kung,Andrew Kirk Wilson. Владелец: Gen9 Inc. Дата публикации: 2023-12-19.

Method and apparatus for coating a carrier

Номер патента: CA2522187C. Автор: Michael Harris,Thomas Kreuzer,Egbert Lox,Dieter Detterbeck. Владелец: Umicore AG and Co KG. Дата публикации: 2013-01-29.

Method and apparatus for preparing animal food pellets

Номер патента: WO1998024330A1. Автор: Harold Ronald Harrison. Владелец: Harold Ronald Harrison. Дата публикации: 1998-06-11.

Carbon nanotube separation method and separation apparatus

Номер патента: US20220267152A1. Автор: Sumio Iijima,Ryota Yuge. Владелец: NEC Corp. Дата публикации: 2022-08-25.

DEVICE FOR APPLYING OR PROJECTING A MATERIAL OF HIGH DENSITY.

Номер патента: FR2916659B1. Автор: Alain Grange. Владелец: JJB DIFFUSION. Дата публикации: 2011-04-08.

DEVICE FOR APPLYING OR PROJECTING A MATERIAL OF HIGH DENSITY.

Номер патента: FR2916659A1. Автор: Alain Grange. Владелец: JJB DIFFUSION SOC PAR ACTIONS. Дата публикации: 2008-12-05.

Method and Apparatus for Extracting a Compound from a Material

Номер патента: US20240066432A1. Автор: Derek Osei Berchie. Владелец: Individual. Дата публикации: 2024-02-29.

Method and apparatus for applying a layer having a relief on a flat face of a substrate

Номер патента: EP3235626B1. Автор: Erik Luursema,Jurgen Kremer. Владелец: IAI Industrial Systems BV. Дата публикации: 2022-07-06.

Method and arrangement for a rotary stitcher

Номер патента: WO2002020229A1. Автор: Leif Hall,Gary Aldgard. Владелец: Tolerans Ingol Holding Ab. Дата публикации: 2002-03-14.

Method and apparatus for correcting heliostat

Номер патента: US20110094499A1. Автор: Makoto Kounosu. Владелец: Mitsui Engineering and Shipbuilding Co Ltd. Дата публикации: 2011-04-28.

Method and apparatus for replicating light shaping surface structures on a rigid substrate

Номер патента: EP1123208A1. Автор: Gajendra D. Savant,Abbas Hosseini,Kang S. Lee. Владелец: Physical Optics Corp. Дата публикации: 2001-08-16.

Method and apparatus for transferring granular material to a mould

Номер патента: WO2006095249A2. Автор: Carlo Antonio Camorani. Владелец: Mira Di Algeri Maris. Дата публикации: 2006-09-14.

Feeding method and apparatus

Номер патента: US12043430B2. Автор: Davide Parazza,Luca Federici. Владелец: GD SpA. Дата публикации: 2024-07-23.

Staggered die method and apparatus for necking containers

Номер патента: AU5103498A. Автор: Antonio Caleffi,Leo F. Hayden,William A. Hecimovich,Timothy R. Wright. Владелец: Rexam Beverage Can Co. Дата публикации: 1998-05-29.

Classroom activity courseware producing method and apparatus, and storage medium and electronic device

Номер патента: EP4357934A1. Автор: Chengbiao LIU. Владелец: Guangzhou Shirui Electronics Co Ltd. Дата публикации: 2024-04-24.

METHOD AND APPARATUS FOR APPLYING A LAYER HAVING A RELIEF ON A FLAT FACE OF A SUBSTRATE

Номер патента: US20170291350A1. Автор: Luursema Erik,Kremer Jurgen. Владелец: . Дата публикации: 2017-10-12.

Method and apparatus for applying a layer having a relief on a flat face of a substrate

Номер патента: US10611078B2. Автор: Erik Luursema,Jurgen Kremer. Владелец: IAI Industrial Systems BV. Дата публикации: 2020-04-07.

Apparatus and process for forming and applying a profile and adjacent rib-type zipper to a traveling film web

Номер патента: US4741789A. Автор: Larry Zieke,James Trombley. Владелец: Dow Chemical Co. Дата публикации: 1988-05-03.

Driving support method and driving support apparatus for vehicle

Номер патента: US20240262415A1. Автор: Masamu Chiba,Shunta SANO. Владелец: Toyota Motor Corp. Дата публикации: 2024-08-08.

METHOD AND APPARATUS FOR APPLYING A RING OF MATERIAL ON A CENTRIFUGAL CAST MOLD

Номер патента: FR2552350B1. Автор: . Владелец: Noble Charles. Дата публикации: 1987-12-24.

Imprint apparatus, imprint method, and method for manufacturing article

Номер патента: US20170144363A1. Автор: Kazuhiro Sato,Tsutomu Terao,Kazuki Nakagawa. Владелец: Canon Inc. Дата публикации: 2017-05-25.

A method and a control apparatus for controlling an elevator system

Номер патента: EP3601133A1. Автор: Aki HAIKONEN,Sami Saarela,Klaus Mäkelä,Sakke AHONIEMI. Владелец: Kone Corp. Дата публикации: 2020-02-05.

Method and a control apparatus for controlling an elevator system

Номер патента: US12060249B2. Автор: Aki HAIKONEN,Sami Saarela,Klaus Mäkelä,Sakke AHONIEMI. Владелец: Kone Corp. Дата публикации: 2024-08-13.

Method and apparatus for extracting a compound from a material

Номер патента: EP4331700A1. Автор: Derek Osei Berchie. Владелец: Individual. Дата публикации: 2024-03-06.

Apparatus and method for controlling laser processing of a material

Номер патента: EP3426428A1. Автор: Stephen John Keen. Владелец: SPI LASERS UK LTD. Дата публикации: 2019-01-16.

Method and device for applying a decoration and a surface structure to a substrate

Номер патента: EP4134242A1. Автор: Norbert Kalwa,Georg Seidack. Владелец: Flooring Technologies Ltd. Дата публикации: 2023-02-15.

Method and Application Device for Applying a Transfer Layer of a Film to a Substrate

Номер патента: US20180311997A1. Автор: Kosalla Konstantin,Triepel Michael,Pforte Klaus. Владелец: . Дата публикации: 2018-11-01.

Method and apparatus for improved conditioning of polishing pads

Номер патента: US5941762A. Автор: Yuli Verhovsky,Michael A. Ravkin,Ilya A. Ravkin. Владелец: Individual. Дата публикации: 1999-08-24.

A method and a device in die-cutting, and a die-cutting press

Номер патента: EP1861253A1. Автор: Pekka Koivukunnas. Владелец: Avantone Oy. Дата публикации: 2007-12-05.

Apparatus and method for deposting an overcoat on an image on a substrate

Номер патента: US20200346478A1. Автор: Eliud Robles Flores,Charles T. FACCHINI,Pedro PAGAN. Владелец: Xerox Corp. Дата публикации: 2020-11-05.

Method for applying clear,vivid,and water-fast printed images to a substrate

Номер патента: GB9805680D0. Автор: . Владелец: Hewlett Packard Co. Дата публикации: 1998-05-13.

Conveyance apparatus, conveyance method and food production method

Номер патента: US12091261B2. Автор: Ryuichi Itou,Suguru HIRAYAMA,Minoru Mamiya. Владелец: Nichirei Foods Inc. Дата публикации: 2024-09-17.

PROCEDURE AND APPARATUS FOR APPLYING A HANDLE OR SUSPENSION ELEMENT ON A TUBE-SHAPED

Номер патента: DK319485D0. Автор: Raoul Louis Auguste Gautier,Michel Cazes. Владелец: Vittel Eaux Min. Дата публикации: 1985-07-12.

Rotary roller surface cleaning method and rotary roller surface cleaning apparatus

Номер патента: US9950359B2. Автор: Noriyuki Ueno,Daisuke Sakuma. Владелец: Toyota Motor Corp. Дата публикации: 2018-04-24.

Lateral flow assay and apparatus

Номер патента: WO2008110781A1. Автор: Ian William Davidson,Matthew Colin Partridge,Paul Robert Edwards,Omer Karim. Владелец: Mediwatch Biomedical Limited.. Дата публикации: 2008-09-18.

Methods for controlling a manufacturing operation, automated manufacturing methods and corresponding data processing apparatuses

Номер патента: EP4360817A1. Автор: Tue Beijer. Владелец: Stilride AB. Дата публикации: 2024-05-01.

Facsimile method and apparatus with sheet feeding

Номер патента: CA1161157A. Автор: G. William Hartman, Jr.,Ronald F. Schley. Владелец: Exxon Research and Engineering Co. Дата публикации: 1984-01-24.

METHOD AND APPARATUS FOR CONTROLLING THE TENSION OF A MATERIAL TABLE

Номер патента: FR2492351A1. Автор: Peter Steven Miller,Jack Harold Myers. Владелец: Owens Illinois Inc. Дата публикации: 1982-04-23.

Method of and apparatus for exhausting internal combustion engines

Номер патента: US9103263B2. Автор: Dustin NORD,Thomas Shirra. Владелец: NG1 Technologies Inc. Дата публикации: 2015-08-11.

Method and apparatus for automated filling of a container with a fluid

Номер патента: US4708175A. Автор: Hadi A. Akeel,Robert J. Janashak,Christopher G. Felice. Владелец: GMF Robotics Corp. Дата публикации: 1987-11-24.

Method and apparatus for making articles by photoprocessing a material

Номер патента: WO1995015842A1. Автор: Andre-Luc Allanic,Claude Medard,Jean-Philippe Schaeffer. Владелец: Laser International S.A.. Дата публикации: 1995-06-15.

Method and apparatus for belt conveyor load tracking.

Номер патента: MY111424A. Автор: J Murphy Christopher,E Bliss Ronald. Владелец: Jervis B Webb Int Company. Дата публикации: 2000-04-29.

Method and apparatus for transporting perishable materials

Номер патента: US4741167A. Автор: Freddie J. Wigley. Владелец: Individual. Дата публикации: 1988-05-03.

Method and apparatus for making articles by photoprocessing a material

Номер патента: CA2178606A1. Автор: Andre-Luc Allanic,Claude Medard,Jean-Philippe Schaeffer. Владелец: Laser International S.A.. Дата публикации: 1995-06-15.

Drum washing machine, and control method and apparatus for same

Номер патента: US11952700B2. Автор: Lei Xu,Liming Gong,Xiangnan Qin. Владелец: Guangdong Welling Motor Manufacturing Co Ltd. Дата публикации: 2024-04-09.

Method and device for detecting concentration of soldering flux in reflow oven

Номер патента: EP4227637A1. Автор: Xiujuan Yang,Ye Lv. Владелец: Honor Device Co Ltd. Дата публикации: 2023-08-16.

Method of and apparatus for extracting chemicals

Номер патента: EP2231296A1. Автор: Ewa Cukrowska,Luke Chimuka. Владелец: University of the Witwatersrand, Johannesburg. Дата публикации: 2010-09-29.

Method of and apparatus for extracting chemicals

Номер патента: WO2009068977A1. Автор: Ewa Cukrowska. Владелец: UNIVERSITY OF WITWATERSRAND, JOHANNESBURG. Дата публикации: 2009-06-04.

Method and apparatus for producing a padding product, and padding product

Номер патента: CA3029125C. Автор: Jean-Marc Slovencik. Владелец: STOROPACK HANS REICHENECKER GMBH. Дата публикации: 2022-09-06.

METHOD AND APPLIANCE FOR APPLYING A STRIP-SHAPED MULTIPACK PACKING ON A NUMBER OF CONTAINERS

Номер патента: DK193579A. Автор: E J Mcardle. Владелец: Illinois Tool Works. Дата публикации: 1979-11-23.

Method and apparatus for reeving a hoisting cable

Номер патента: CA2753805A1. Автор: Wolfgang Ingelfinger,Ulrich Dorzbach. Владелец: Wolffkran Ag. Дата публикации: 2010-09-02.

Method and apparatus for creating part program data using a tablet

Номер патента: US4697249A. Автор: Kunio Tanaka,Masaki Seki,Hajimu Kishi. Владелец: FANUC Corp. Дата публикации: 1987-09-29.

Pneumatic method and apparatus for seating tubeless tires

Номер патента: CA2049572C. Автор: Sandy Ochoa. Владелец: Tire Service Equipment Manufacturing Co Inc. Дата публикации: 1995-05-09.

Pneumatic method and apparatus for seating tubeless tires

Номер патента: US5072764A. Автор: Sandy Ochoa. Владелец: Tire Service Equipment Manufacturing Co Inc. Дата публикации: 1991-12-17.

Method and apparatus for controlling and monitoring a brazing process

Номер патента: US20060076389A1. Автор: Guido Strotmann,Alfred Kemper,Thomas Licht,Christian Robohm. Владелец: EUPEC GmbH. Дата публикации: 2006-04-13.

Apparatus for manufacturing an absorbent article with channels

Номер патента: US11806220B2. Автор: Werner Van Ingelgem,Steven Smet,Tom DERYCKE,Dries VERDUYN. Владелец: Drylock Technologies NV. Дата публикации: 2023-11-07.

Process estimation method and process estimation device

Номер патента: US20230280700A1. Автор: Takeshi Nishiuchi,Yasuaki Tanioku. Владелец: Proterial Ltd. Дата публикации: 2023-09-07.

Method and apparatus for performing a rescue run

Номер патента: EP3003946A1. Автор: Ari Kattainen,Ari Ketonen,Antti Hovi. Владелец: Kone Corp. Дата публикации: 2016-04-13.

Method for applying clear,vivid,and water-fast printed images to a substrate

Номер патента: GB2324506B. Автор: Mark H Kowalski. Владелец: Hewlett Packard Co. Дата публикации: 2002-02-13.

Retrieval method and apparatus for reservoir water storage

Номер патента: US20240061101A1. Автор: Di Long,Yi-Ming Wang,Xing-Dong Li. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2024-02-22.

Method and apparatus for lifting green ceramic castings

Номер патента: GB1024227A. Автор: Fred Lewis Derror. Владелец: Mansfield Sanitary Inc. Дата публикации: 1966-03-30.

Device for applying sections from a material web to individual blanks provided for producing a packaging

Номер патента: DE202013105129U1. Автор: . Владелец: Johannes Slot. Дата публикации: 2013-11-21.

Image forming apparatus, control method and non-transitory recording medium

Номер патента: US11794477B2. Автор: Kunihiro Yamanaka. Владелец: Ricoh Co Ltd. Дата публикации: 2023-10-24.

Content visualizing method and apparatus

Номер патента: US20210341305A1. Автор: Hee Sae Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-11-04.

Apparatus for applying a sizing composition to reinforcement fibers

Номер патента: WO2001049626A3. Автор: Hong Peng,Christopher M Hawkins. Владелец: Christopher M Hawkins. Дата публикации: 2002-03-07.

Apparatus for applying a sizing composition to reinforcement fibers

Номер патента: EP1248748A2. Автор: Hong Peng,Christopher M. Hawkins. Владелец: Owens Corning. Дата публикации: 2002-10-16.

Apparatus for applying a sizing composition to reinforcement fibers

Номер патента: WO2001049626A2. Автор: Hong Peng,Christopher M. Hawkins. Владелец: Owens Corning. Дата публикации: 2001-07-12.

Method and apparatus for controlling a winemaking process

Номер патента: AU2018319504B2. Автор: Giacomo DELLA TOFFOLA,Yacine AMAMI,Luca Comin. Владелец: Omnia Della Toffola SpA. Дата публикации: 2023-06-15.

Method and apparatus for controlling a winemaking process

Номер патента: WO2019038626A1. Автор: Giacomo DELLA TOFFOLA,Yacine AMAMI,Luca Comin. Владелец: Della Toffola S.P.A.. Дата публикации: 2019-02-28.

Process and apparatus for cooking or gelatinizing materials

Номер патента: AU6538580A. Автор: Esra Pitchon,Theodore H. Joseph,Joseph D. O:rourke. Владелец: General Foods Corp. Дата публикации: 1981-07-16.

Apparatus for the epitaxial growth of material from the liquid phase

Номер патента: GB1447380A. Автор: . Владелец: International Business Machines Corp. Дата публикации: 1976-08-25.

Apparatus for sensing deposition of a thin film layer of a material

Номер патента: US4425871A. Автор: Richard T. Martin. Владелец: Applied Magnetics Corp. Дата публикации: 1984-01-17.

Apparatus for applying a sizing composition to reinforcement fibers

Номер патента: CA2395550A1. Автор: Hong Peng,Christopher M. Hawkins. Владелец: Individual. Дата публикации: 2001-07-12.

Vapor deposition method and vapor deposition apparatus for forming organic thin films

Номер патента: US20030131796A1. Автор: Toshitaka Kawashima. Владелец: Individual. Дата публикации: 2003-07-17.

Methods and systems for predicting drug-response

Номер патента: US20210102233A1. Автор: Nahid Razi. Владелец: Individual. Дата публикации: 2021-04-08.

Methods and systems for predicting drug-response

Номер патента: EP2817628A1. Автор: Nahid Razi. Владелец: Razi Nahid. Дата публикации: 2014-12-31.

Methods and systems for predicting drug-response

Номер патента: US20170166949A1. Автор: Nahid Razi. Владелец: Individual. Дата публикации: 2017-06-15.

Methods and systems for predicting drug-response

Номер патента: US20150024409A1. Автор: Nahid Razi. Владелец: Individual. Дата публикации: 2015-01-22.

Methods and systems for predicting drug-response

Номер патента: US10774357B2. Автор: Nahid Razi. Владелец: Individual. Дата публикации: 2020-09-15.

METHOD AND APPARATUS FOR INTRODUCING UNIFORM AMOUNTS OF A MATERIAL IN A METALLURGICAL SAMPLE

Номер патента: MX173290B. Автор: Edwin Kaufman,Richard F Conti. Владелец: Electro Nite. Дата публикации: 1994-02-14.

Process and apparatus for for applying horizontal marking to streets or other traffic areas

Номер патента: CZ282984B6. Автор: Peter-Christian Dr. Boldt. Владелец: Plastiroute S.A.. Дата публикации: 1997-12-17.

Method and apparatus for controlling a winemaking process

Номер патента: AU2018319504A1. Автор: Giacomo DELLA TOFFOLA,Yacine AMAMI,Luca Comin. Владелец: Della Toffola Spa. Дата публикации: 2020-02-27.

Method and apparatus for controlling a winemaking process

Номер патента: EP3673040A1. Автор: Giacomo DELLA TOFFOLA,Yacine AMAMI,Luca Comin. Владелец: Della Toffola Spa. Дата публикации: 2020-07-01.

Method and apparatus for controlling a winemaking process

Номер патента: US20200354658A1. Автор: Giacomo DELLA TOFFOLA,Yacine AMAMI,Luca Comin. Владелец: Della Toffola Spa. Дата публикации: 2020-11-12.

A phosphorus effusion cell for producing molecular beams to be deposited on a substrate

Номер патента: EP1002140A1. Автор: Paul E. Colombo,Scott Priddy. Владелец: Chorus Corp. Дата публикации: 2000-05-24.

Mineral fiber production method and apparatus

Номер патента: CA1048787A. Автор: Donald Cassidy,Ray W. Barkhau. Владелец: L C CASSIDY AND SON. Дата публикации: 1979-02-20.

Apparatus for forming undercut sockets in a material in a hot plastic state

Номер патента: US2602270A. Автор: Baillie Granville Hugh,Wilcock John Edward. Владелец: Pilkington Brothers Ltd. Дата публикации: 1952-07-08.

Apparatus and method for applying a two-dimensional image on a three-dimensional model

Номер патента: EP2973421A1. Автор: Stuart Jennings,Amy Jennings. Владелец: Creative Edge Software LLC. Дата публикации: 2016-01-20.

Apparatus and method for applying a two-dimensional image on a three-dimensional model

Номер патента: WO2014140540A1. Автор: Stuart Jennings,Amy Jennings. Владелец: Fruit Based Software Ltd. Дата публикации: 2014-09-18.

Method and apparatus for optimizing and applying multilayer neural network model, and storage medium

Номер патента: US11755880B2. Автор: Wei Tao,Tsewei Chen,Dongchao Wen,Hongxing Gao. Владелец: Canon Inc. Дата публикации: 2023-09-12.

Apparatus for monitoring an item for radioactive material on or associated with the item

Номер патента: CA2588996A1. Автор: Christopher Henry Orr. Владелец: Individual. Дата публикации: 2006-06-08.

Apparatus for monitoring an item for radioactive material on or associated with the item

Номер патента: WO2006059077A1. Автор: Christopher Henry Orr. Владелец: Bil Solutions Limited. Дата публикации: 2006-06-08.

Method and apparatus for containing, transporting, and providing a material

Номер патента: EP2362748A1. Автор: Jason Chavarria. Владелец: Biomet Biologics LLC. Дата публикации: 2011-09-07.

Prognostic method and apparatus for a processing apparatus

Номер патента: US20180210991A1. Автор: Chuang-Hua Chueh,Po-Yu Huang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2018-07-26.

Method and apparatus for determining writing power for recording data

Номер патента: US20110019517A1. Автор: Young Il Kim. Владелец: Individual. Дата публикации: 2011-01-27.

Method and apparatus for setting a metering gap in a developer station

Номер патента: US20020037183A1. Автор: Joseph Knott,Heinrich Schwarz. Владелец: Individual. Дата публикации: 2002-03-28.

Method and apparatus for monitoring cable stranding

Номер патента: EP1425621A1. Автор: Valter Castiglioni,Francesco Becchi,Feliciano Cecchi. Владелец: Pirelli and C SpA. Дата публикации: 2004-06-09.

Method and apparatus for implementing batch system calls

Номер патента: EP4276603A1. Автор: Xin Gao,Bin Fang,Hai HE,Yuzhong WEN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-15.

Method and apparatus for analysing an image of a microlithographic microstructured component

Номер патента: EP4095810A1. Автор: Mario Laengle,Dmitry Klochkov. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-11-30.

Method and apparatus for analyzing an image of a microlithographic microstructured component

Номер патента: US20220383485A1. Автор: Mario Laengle,Dmitry Klochkov. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-12-01.

Method and apparatus for reading a code provided on a code carrier

Номер патента: US5929424A. Автор: Tim Fowler. Владелец: SICK AG. Дата публикации: 1999-07-27.

Method and apparatus for using flex circuit technology to create a reference electrode channel

Номер патента: WO2007100644A2. Автор: Kenneth M. Curry. Владелец: EDWARDS LIFESCIENCES CORPORATION. Дата публикации: 2007-09-07.

Method and apparatus for modeling deformable body including particles

Номер патента: US20160063152A1. Автор: Hyong Euk Lee,Jiyeon Kim,Nahyup KANG,Hwiryong JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-03-03.

Method and apparatus for detecting object position using sensor

Номер патента: US12039135B2. Автор: Sung Hyun Park,Jun Seong SEO. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2024-07-16.

Method and device for investigation of a surface layer

Номер патента: EP1499873A1. Автор: Sune Svanberg,Gabriel Somesfalean,Mikael Sjoholm. Владелец: Somesfalean Gabriel. Дата публикации: 2005-01-26.

Method and apparatus for optimized presentation of complex maps

Номер патента: EP3080552A2. Автор: Hui Chao,Saumitra Mohan Das,Jiajian Chen. Владелец: Qualcomm Inc. Дата публикации: 2016-10-19.

Weight measuring method and system

Номер патента: EP1241455B1. Автор: Pentti Sauli Asikainen. Владелец: Tamtron Oy. Дата публикации: 2005-08-10.

Automating Creation of Multiple Simultaneous Software Development Environments on a Computer System

Номер патента: US20240095028A1. Автор: Jason McCarthy. Владелец: Dell Products LP. Дата публикации: 2024-03-21.

Method and apparatus for testing leach resistance of a material

Номер патента: GR3030803T3. Автор: Rudolf Dr Ahrens-Botzong. Владелец: SIEMENS AG. Дата публикации: 1999-11-30.

Method and apparatus for preparing an edible food composition

Номер патента: AU2018265195B2. Автор: Jonathan Sutton,Jamey GERMAN,Adam Lee Baldwin,Alessandra Negreiros. Владелец: Nestle SA. Дата публикации: 2023-10-26.

Voice broadcasting method and apparatus

Номер патента: EP4270170A1. Автор: Yuewan Lu,Lele Zhang,Zhanjing BAO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-01.

Data Visualization Method and Apparatus

Номер патента: US20180232918A1. Автор: Yanhui GENG,Bing NI,Wenchao WU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2018-08-16.

Reflecting electrode forming method and liquid crystal display

Номер патента: EP1469340A4. Автор: Yoshihiko Hamawaki. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2005-06-08.

Reflecting electrode forming method and liquid crystal display

Номер патента: EP1469340B1. Автор: Yoshihiko Hamawaki. Владелец: TPO Hong Kong Holding Ltd. Дата публикации: 2007-08-29.

Apparatus and method for inspection of a film on a substrate

Номер патента: US20200271591A1. Автор: Thomas C. Mohr,James D. Jogerst,Aaron C. HAVENER,Keith B. Rider. Владелец: Individual. Дата публикации: 2020-08-27.

Apparatus and method for inspection of a film on a substrate

Номер патента: EP3931535A1. Автор: Thomas C. Mohr,James D. Jogerst,Aaron C. HAVENER,Keith B. Rider. Владелец: BWXT NOG Technologies Inc. Дата публикации: 2022-01-05.

Apparatus and method for inspection of a film on a substrate

Номер патента: CA3130839A1. Автор: Thomas C. Mohr,James D. Jogerst,Aaron C. HAVENER,Keith B. Rider. Владелец: BWXT NOG Technologies Inc. Дата публикации: 2020-09-03.

Printing control method and printing control apparatus for line light source detection

Номер патента: US20120118948A1. Автор: Ting-Yuan Cheng. Владелец: Individual. Дата публикации: 2012-05-17.

Printing control method and printing control apparatus for line light source detection

Номер патента: US8397993B2. Автор: Ting-Yuan Cheng. Владелец: Primax Electronics Ltd. Дата публикации: 2013-03-19.

Control method and control apparatus for speech interaction

Номер патента: US20210407494A1. Автор: LEI Jia,Jinfeng BAI,Cong Gao,Saisai ZOU. Владелец: Beijing Baidu Netcom Science And Technology Co Ltd. Дата публикации: 2021-12-30.

Method and system for managing derivatives portfolios

Номер патента: US11734762B2. Автор: Hans Buehler,Louis-Andre Moussu. Владелец: JPMorgan Chase Bank NA. Дата публикации: 2023-08-22.

Systems and Methods for Digital, Multiplexed, Extracellular Vesicle-Derived Biomarker Diagnostic Lab-on-a-Chip

Номер патента: US20240319201A1. Автор: Bryan Joseph Rice. Владелец: Individual. Дата публикации: 2024-09-26.

Method and apparatus for blood film preparation

Номер патента: CA1095344A. Автор: Vladimir V. Pirc,Francis W. Maher, Jr.. Владелец: Perkin Elmer Corp. Дата публикации: 1981-02-10.

Method and system for user account initiation and reconciliation

Номер патента: WO2021173133A1. Автор: Hans P. NIRMAL,Justin R. SIMEONE,Ritesh Kumar SAHAY,Mark N BROWN. Владелец: JPMORGAN CHASE BANK, N.A.. Дата публикации: 2021-09-02.

Method and system for user account initiation and reconciliation

Номер патента: AU2020431128A1. Автор: Mark N. BROWN,Hans P. NIRMAL,Justin R. SIMEONE,Ritesh Kumar SAHAY. Владелец: JPMorgan Chase Bank NA. Дата публикации: 2022-09-01.

Method and and apparatus for measuring a physical parameter in mammal soft tissues by propagating shear waves

Номер патента: IL217726A0. Автор: . Владелец: Univ Paris Diderot Paris 7. Дата публикации: 2012-03-29.

Sentence Retrieval Method and Sentence Retrieval System

Номер патента: US20170212954A1. Автор: Yoshiyuki Kobayashi,Toshinori Miyoshi. Владелец: HITACHI LTD. Дата публикации: 2017-07-27.

Processing method and processing apparatus for efficacy of combined drug

Номер патента: US20180276347A1. Автор: Linna LI,Shoujun YUAN,Dexuan YANG. Владелец: Institute of Radiation Medicine of CAMMS. Дата публикации: 2018-09-27.

Method and apparatus for measuring properties of thin materials using polarized light

Номер патента: US4672196A. Автор: Lawrence S. Canino. Владелец: Individual. Дата публикации: 1987-06-09.

Methods and apparatus for measuring temperature and heat flux in a material using ultrasound

Номер патента: US8256953B2. Автор: Donald E. Yuhas,Don G. Walker, JR.,Mark J. Mutton. Владелец: Individual. Дата публикации: 2012-09-04.

Method and apparatus for assessing material properties of sheet-like materials

Номер патента: WO2000014477A9. Автор: Kenneth L Telschow,Vance A Deason. Владелец: Lockheed Martin Idaho Tech Co. Дата публикации: 2000-08-17.

Printing method and information processing apparatus for transmitting printing instruction to printing apparatus

Номер патента: US20090109457A1. Автор: Hiroki Koyano. Владелец: Canon Inc. Дата публикации: 2009-04-30.

System, Method, and Apparatus for Controlling Environment Surrounding Eye

Номер патента: US20230355433A1. Автор: Ping Cerina ZHANG. Владелец: Aurora Tears Technology Inc. Дата публикации: 2023-11-09.

Method and apparatus for ultrasonic doppler detection

Номер патента: CA1149504A. Автор: William E. Glenn. Владелец: NEW YORK INSTITUTE OF TECHNOLOGY. Дата публикации: 1983-07-05.

Visualisation of deposits formed by contact with skin on a substrate

Номер патента: GB2415783A. Автор: John Stewart Brennan. Владелец: UK Secretary of State for the Home Department. Дата публикации: 2006-01-04.

Human resource scheduling method and electronic apparatus for scheduling human resources

Номер патента: US20230394398A1. Автор: Yu-Ching Lin,Guan-He Wu,Hsien-Hung Shih. Владелец: Wistron Corp. Дата публикации: 2023-12-07.

Apparatus and method for irradiating a substrate

Номер патента: US20040008310A1. Автор: David Kessler,Rongguang Liang,Xiang-Dong Mi,Janet Donner,Carl Leidig. Владелец: Eastman Kodak Co. Дата публикации: 2004-01-15.

Systems, methods, and apparatus for agricultural liquid application

Номер патента: CA3222659A1. Автор: Jason Stoller,Brian McMahon. Владелец: PRECISION PLANTING LLC. Дата публикации: 2015-11-12.

Systems, methods, and apparatus for agricultural liquid application

Номер патента: CA3214314A1. Автор: Jason Stoller,Brian McMahon. Владелец: PRECISION PLANTING LLC. Дата публикации: 2015-11-12.

Systems, methods, and apparatus for agricultural liquid application

Номер патента: CA3214274A1. Автор: Jason Stoller,Brian McMahon. Владелец: PRECISION PLANTING LLC. Дата публикации: 2015-11-12.

A method and data-processing apparatus for teaching

Номер патента: WO2016080907A1. Автор: Zhizhong Neo. Владелец: Beautyful Minds Educations Pte Ltd. Дата публикации: 2016-05-26.

Apparatus for the evaluation of rotational x-ray projections

Номер патента: WO2006018817A2. Автор: Michael Grass,Volker Rasche. Владелец: Philips Intellectual Property & Standards Gmbh. Дата публикации: 2006-02-23.

Signal processing method and an evaluation circuit

Номер патента: US20030222207A1. Автор: Frank Bloehbaum. Владелец: SICK AG. Дата публикации: 2003-12-04.

Method and apparatus for performing a double shift print on a substrate

Номер патента: US6163368A. Автор: Bernie B. Hu. Владелец: Intel Corp. Дата публикации: 2000-12-19.

Method for densification of an amount of soil and apparatus

Номер патента: WO2024038079A1. Автор: Auchinleck Jewell RICHARD. Владелец: FNV IP B.V.. Дата публикации: 2024-02-22.

Method and image processing entity for applying a convolutional neural network to an image

Номер патента: US20190188512A1. Автор: Simon Molin,Markus Skans,Niclas Danielsson. Владелец: AXIS AB. Дата публикации: 2019-06-20.

Systems, methods, and apparatus for agricultural liquid application

Номер патента: EP3139723A1. Автор: Jason Stoller,Brian McMahon. Владелец: PRECISION PLANTING LLC. Дата публикации: 2017-03-15.

On-chip temperature controlled liquid chromatography methods and devices

Номер патента: WO2005079944A1. Автор: Jun Xie,Yu-Chong Tai,Qing He,Chi-Yuan Shih. Владелец: California Institute of Technology. Дата публикации: 2005-09-01.

Method for densification of an amount of soil and apparatus

Номер патента: NL2032770B1. Автор: Auchinleck Jewell RICHARD. Владелец: FNV IP BV. Дата публикации: 2024-02-27.

Method and system for determining moving/stationary state of tracking target based on neural network

Номер патента: EP4102474A1. Автор: Yifei Hu,Lihong WENG. Владелец: NIO Technology Anhui Co Ltd. Дата публикации: 2022-12-14.

Method of and apparatus for testing the hardness of materials

Номер патента: CA1050784A. Автор: Dietmar Leeb,Marco Brandestini. Владелец: PROCEQ SA. Дата публикации: 1979-03-20.

Control logic for applying preservative to agricultural bales

Номер патента: US20140165857A1. Автор: Timothy J Kraus. Владелец: Deere and Co. Дата публикации: 2014-06-19.

System and method for migrating processes on a network

Номер патента: WO2001095094A2. Автор: Thomas E. Saulpaugh,Gregory L. Slaughter,Robert Rodriquez. Владелец: SUN MICROSYSTEMS, INC.. Дата публикации: 2001-12-13.

Method and system for determining moving/stationary state of tracking target based on neural network

Номер патента: US20220397671A1. Автор: Yifei Hu,Lihong WENG. Владелец: NIO Technology Anhui Co Ltd. Дата публикации: 2022-12-15.

Physical therapy personal protective screen apparatus, method and kit

Номер патента: US20230011462A1. Автор: Robert Weatherly. Владелец: Individual. Дата публикации: 2023-01-12.

Methods and apparatus for modulus measurement

Номер патента: US20100286555A1. Автор: Alfred J. Crosby,Jessica Zimberlin. Владелец: Individual. Дата публикации: 2010-11-11.

Method and device for forming an isolated visualization of body structures

Номер патента: WO2003049040A3. Автор: Rafael Wiemker,Thomas Blaffert. Владелец: Philips Corp Intellectual Pty. Дата публикации: 2004-03-11.

Method and device for forming an isolated visualization of body structures

Номер патента: WO2003049040A2. Автор: Rafael Wiemker,Thomas Blaffert. Владелец: Philips Corporate Intellectual Property GmbH. Дата публикации: 2003-06-12.

Method and device for forming an isolated visualization of body structures

Номер патента: EP1459262A2. Автор: Rafael Wiemker,Thomas Blaffert. Владелец: Philips Corporate Intellectual Property GmbH. Дата публикации: 2004-09-22.

Intelligent device navigation method and navigation system

Номер патента: US20200103231A1. Автор: Wei Wang,Tao Jiang,Shengkai Zhang. Владелец: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY. Дата публикации: 2020-04-02.

Method and device for handling timeout of system service

Номер патента: EP3678023A1. Автор: Yu Li,Sen Wang,Junmin ZHAO,Shun XU,Zhenchao LIN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2020-07-08.

Heterogeneous visual acuity chart and visual acuity testing method and device

Номер патента: US20220218194A1. Автор: Lan Wang,Yixiang YUAN. Владелец: QINGDAO UNIVERSITY. Дата публикации: 2022-07-14.

Method and apparatus for enabling data paths on a remote bus

Номер патента: US5655112A. Автор: Alexander Garland MacInnis. Владелец: International Business Machines Corp. Дата публикации: 1997-08-05.

Method and apparatus for processing a sized ore feed

Номер патента: CA2640018C. Автор: Paul Frederick MacDougall,Terry Dirk. Владелец: Suncor Energy Inc. Дата публикации: 2014-02-11.

A method and apparatus for determining an amount of a material present in a mixture of at least two materials

Номер патента: GB202300670D0. Автор: . Владелец: Nicoventures Trading Ltd. Дата публикации: 2023-03-01.

Method and system for allocating shared resources between applications

Номер патента: WO2004027613A2. Автор: Christian Hentschel,Reinder J. Bril. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-04-01.

Method and Apparatus for Providing a Layout Defining a Structure to be Patterned onto a Substrate

Номер патента: US20140223405A1. Автор: Melzner Hanno. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-08-07.

METHOD AND APPARATUS FOR DETECTING THE PRESENCE OR ABSENCE OF A COATING ON A SUBSTRATE

Номер патента: FR2518264B1. Автор: Edwin Langberg. Владелец: Johnson and Johnson KK. Дата публикации: 1986-04-11.

METHOD AND APPARATUS FOR DETECTING THE PRESENCE OR ABSENCE OF A COATING ON A SUBSTRATE

Номер патента: FR2518264A1. Автор: Edwin Langberg. Владелец: Johnson and Johnson KK. Дата публикации: 1983-06-17.

Method and apparatus for the automatic production of ice cubes

Номер патента: CA1043114A. Автор: Charalambos K. Apostolou. Владелец: Individual. Дата публикации: 1978-11-28.

Method and apparatus for the measurement of the thermal conductivity of gases

Номер патента: AU631176B2. Автор: Jacques Marcotte,Raynald Hachey,Daniel Lamarrre. Владелец: Alcan International Ltd Canada. Дата публикации: 1992-11-19.

Method and apparatus for verifying game outcome

Номер патента: US20060035703A1. Автор: Binh Nguyen,Craig Paulsen. Владелец: INTERNATIONAL GAME TECHNOLOGY. Дата публикации: 2006-02-16.

Measure of the degree of crystallinity of a polymer coating on a metal substrate

Номер патента: CA3116836A1. Автор: Nathalie LABBE,Morgan FERTE. Владелец: ArcelorMittal SA. Дата публикации: 2020-06-25.

Method and system for performing an application upgrade based on user behavior

Номер патента: US11893376B2. Автор: Shelesh Chopra,Parminder Singh Sethi,Lakshmi Saroja Nalam. Владелец: Dell Products LP. Дата публикации: 2024-02-06.

Method and system for performing an application upgrade based on user behavior

Номер патента: US20230289168A1. Автор: Shelesh Chopra,Parminder Singh Sethi,Lakshmi Saroja Nalam. Владелец: Dell Products LP. Дата публикации: 2023-09-14.

Measure of the degree of crystallinity of a polymer coating on a metal substrate

Номер патента: EP3899499A1. Автор: Nathalie LABBE,Morgan FERTE. Владелец: ArcelorMittal SA. Дата публикации: 2021-10-27.

Measure of the degree of crystallinity of a polymer coating on a metal substrate

Номер патента: WO2020128687A1. Автор: Nathalie LABBE,Morgan FERTE. Владелец: ArcelorMittal. Дата публикации: 2020-06-25.

Measure of the degree of crystallinity of a polymer coating on a metal substrate

Номер патента: CA3116836C. Автор: Nathalie LABBE,Morgan FERTE. Владелец: ArcelorMittal SA. Дата публикации: 2023-08-22.

Method and device for investigation of a surface layer

Номер патента: US8067244B2. Автор: Sune Svanberg,Mikael Sjoholm,Gabriel Somes-Falean. Владелец: GasPorOx AB. Дата публикации: 2011-11-29.

Image processing method and image processing apparatus

Номер патента: US20240087079A1. Автор: Mei Yang,Shan AN,Fangru ZHOU. Владелец: Beijing Wodong Tianjun Information Technology Co Ltd. Дата публикации: 2024-03-14.

Method and arrangement for segmentation of handwritten objects

Номер патента: WO2003025829A2. Автор: Thomas Craven-Bartle,Magnus Hollström,Lars Petterson. Владелец: Anoto AB. Дата публикации: 2003-03-27.

Method and Apparatus for Containing, Transporting, and Providing a Material

Номер патента: US20140012315A1. Автор: Chavarria Jason. Владелец: Biomet Biologics, LLC. Дата публикации: 2014-01-09.

Method and device for testing the fire hazard of a material

Номер патента: WO2009080217A2. Автор: Bahman Sarabi,Martin Behrendt. Владелец: UL International TTC GmbH. Дата публикации: 2009-07-02.

Method and device for testing the fire hazard of a material

Номер патента: EP2223093A2. Автор: Bahman Sarabi,Martin Behrendt. Владелец: Underwriters Laboratories Inc. Дата публикации: 2010-09-01.

Incremental refresh of a materialized view

Номер патента: US11809408B2. Автор: Benoit Dageville,Thierry Cruanes,Jiaqi YAN,Prasanna Rajaperumal. Владелец: Snowflake Inc. Дата публикации: 2023-11-07.

Marking method and system

Номер патента: WO2019077329A1. Автор: Nicholas John Weston,Duncan Paul Hand,Krystian Lukasz WLODARCZYK. Владелец: RENISHAW PLC. Дата публикации: 2019-04-25.

Marking method and system

Номер патента: EP3698216A1. Автор: Nicholas John Weston,Duncan Paul Hand,Krystian Lukasz WLODARCZYK. Владелец: RENISHAW PLC. Дата публикации: 2020-08-26.

Methods and apparatuses for trialing a humeral head

Номер патента: EP4255354A1. Автор: Donald W. Dye,Peter Darrigan. Владелец: Zimmer Inc. Дата публикации: 2023-10-11.

Methods and apparatuses for trialing a humeral head

Номер патента: US20230310166A1. Автор: Donald W. Dye, Jr.,Peter Darrigan. Владелец: Zimmer Inc. Дата публикации: 2023-10-05.

Incremental refresh of a materialized view

Номер патента: US20240020295A1. Автор: Benoit Dageville,Thierry Cruanes,Jiaqi YAN,Prasanna Rajaperumal. Владелец: Snowflake Inc. Дата публикации: 2024-01-18.

Method and device for investigation of a surface layer

Номер патента: US20050148092A1. Автор: Sune Svanberg,Mikael Sjoholm,Gabriel Somes-Falean. Владелец: GasPorOx AB. Дата публикации: 2005-07-07.

Method and apparatus for monitoring operating data of boiler based on bayesian network

Номер патента: EP3822868A1. Автор: Jie Yang. Владелец: Ennew Digital Technology Co Ltd. Дата публикации: 2021-05-19.

Method and System for Dynamically Setting Backlight Dimming Algorithm for Displays

Номер патента: US20220392411A1. Автор: Ben Jin Tan,Shohrab Sheikh. Владелец: Dell Products LP. Дата публикации: 2022-12-08.

Hands-free apparatus to apply a liner to an amputated appendage

Номер патента: US20220133511A1. Автор: Tim Lee Stevenson. Владелец: Individual. Дата публикации: 2022-05-05.

A method and data-processing apparatus for teaching

Номер патента: SG11201703340UA. Автор: Zhizhong Neo. Владелец: Beautyful Minds Educations Pte Ltd. Дата публикации: 2017-06-29.

Printing control method and printing control apparatus for line light source detection

Номер патента: TW201220204A. Автор: Ting-Yuan Cheng. Владелец: Primax Electronics Ltd. Дата публикации: 2012-05-16.

A method and an electronic apparatus for acquiring a floor map of a room layout

Номер патента: EP4298610A4. Автор: Jie Chen,JUAN Liu,Huasin WANG,Sukun YOON,Longhai WU. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-21.

Display inspection method and display inspection apparatus for a display panel

Номер патента: TW200402676A. Автор: Yuya Shirakawa. Владелец: Pioneer Display Prod Corp. Дата публикации: 2004-02-16.

METHOD AND APPARATUS FOR THE WEATHERABILITY TESTING OF A MATERIAL

Номер патента: US20130316459A1. Автор: Nettesheim Stefan. Владелец: . Дата публикации: 2013-11-28.

Method and apparatus for producing a sheet of a material containing alkaloids

Номер патента: US20220079209A1. Автор: Michele DEL BORRELLO. Владелец: PHILIP MORRIS PRODUCTS SA. Дата публикации: 2022-03-17.

METHOD AND APPARATUS FOR MEASURING A PROPERTY OF A MATERIAL, IN PARTICULAR ITS HUMIDITY CONTENT

Номер патента: FR2360072A1. Автор: . Владелец: Industrial Nucleonics Corp. Дата публикации: 1978-02-24.

Optical signal control method and apparatus

Номер патента: CA1305243C. Автор: Nigel Gordon Walker. Владелец: British Telecommunications plc. Дата публикации: 1992-07-14.

Method and apparatus for determining the local spatial extent of the phase of valuable mineral in a rock

Номер патента: AU2011264086B2. Автор: Thomas Mutze,Silke Rontzsch. Владелец: SIEMENS AG. Дата публикации: 2014-05-08.

Method and apparatus for treating cellulosic fabrics quickly with liquid ammonia

Номер патента: US3915632A. Автор: Jackson Lawrence,Walter S Troope. Владелец: Cluett Peabody and Co Inc. Дата публикации: 1975-10-28.

Method and apparatus for precision pattern knitting on a warp knitting machine

Номер патента: US5699681A. Автор: Peter H. Nittmann. Владелец: Albani Bayeux Inc. Дата публикации: 1997-12-23.

Method and apparatus for distinguishing regions where a material is present on a surface

Номер патента: WO2000003259A2. Автор: Egbert F. A. Land. Владелец: Philips Ab. Дата публикации: 2000-01-20.

Adaptive cushion method and apparatus for minimizing force concentrations on a human body

Номер патента: WO2009120270A2. Автор: Geoffrey L. Taylor. Владелец: Taylor Geoffrey L. Дата публикации: 2009-10-01.

Method and apparatus for determining the permeability of a material

Номер патента: US3431772A. Автор: Kjell Rosengren,Stig Sunner. Владелец: Individual. Дата публикации: 1969-03-11.

Method and apparatus for producing a sheet of a material containing alkaloids

Номер патента: EP3897225B1. Автор: Michele DEL BORRELLO. Владелец: PHILIP MORRIS PRODUCTS SA. Дата публикации: 2022-12-07.

METHOD AND APPARATUS FOR ELIMINATING A LIQUID FROM A MATERIAL ESPECIALLY OBTAINED BY A PAPER MAKING PROCESS.

Номер патента: ATE44784T1. Автор: Andre M Faurie. Владелец: Du Pin Cellulose. Дата публикации: 1989-08-15.

Methods and apparatus for monitoring a condition of a material

Номер патента: US20090041078A1. Автор: Donald E. Yuhas. Владелец: Industrial Measurement Systems Inc. Дата публикации: 2009-02-12.

Method and apparatus for measuring the geometry of a material strip

Номер патента: EP1460375A3. Автор: Rainer Fackert. Владелец: IMS Messsysteme GmbH. Дата публикации: 2004-12-08.

Method and apparatus for monitoring operation of electrical household appliances

Номер патента: US20030156034A1. Автор: Roberto Longobardi. Владелец: International Business Machines Corp. Дата публикации: 2003-08-21.

Method and apparatus for determining a highly accurate position of a vehicle

Номер патента: US20240077330A1. Автор: Tobias Strauss,Jan Rohde. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-03-07.

Processing method and apparatus for notification message, and smart wearable device

Номер патента: US20240004914A1. Автор: Huan LI,Junyu Luo. Владелец: Goertek Inc. Дата публикации: 2024-01-04.

Methods and apparatus for estimating the wear of a non-volatile memory

Номер патента: US20200249851A1. Автор: Steffen Allert,Christoph Baumhof,Martin Roeder. Владелец: HYPERSTONE GMBH. Дата публикации: 2020-08-06.

Sensing method and apparatus

Номер патента: US20210251495A1. Автор: Yang Hyun JOO,Seong Ha Jang,Ju Un Park. Владелец: LG Innotek Co Ltd. Дата публикации: 2021-08-19.

Sensing method and apparatus

Номер патента: US11957432B2. Автор: Yang Hyun JOO,Seong Ha Jang,Ju Un Park. Владелец: LG Innotek Co Ltd. Дата публикации: 2024-04-16.

Method and apparatus of encoding/decoding series of data

Номер патента: WO2023103639A1. Автор: Sébastien Lasserre. Владелец: BEIJING XIAOMI MOBILE SOFTWARE CO., LTD.. Дата публикации: 2023-06-15.

Method and apparatus for shaping pizza dough

Номер патента: CA3078285A1. Автор: Mairo Morello. Владелец: Morello Forni Di Morello Marco & C Sas. Дата публикации: 2019-04-11.

Method and apparatus for shaping pizza dough

Номер патента: EP3691454A1. Автор: Mairo Morello. Владелец: Morello Forni Di Morello Marco&c Sas. Дата публикации: 2020-08-12.

Method and apparatus for operating an automatic door system

Номер патента: US20230193685A1. Автор: Alexander Beck,Marco HAURI. Владелец: AGTATEC AG. Дата публикации: 2023-06-22.

Electromechanical process and apparatus to control the chemical state of a material

Номер патента: GB1564520A. Автор: . Владелец: Massachusetts Institute of Technology. Дата публикации: 1980-04-10.

Method and apparatus for measuring the moisture content of pulp material on a wire

Номер патента: US20170307555A1. Автор: Klaus Bartelmuss. Владелец: Individual. Дата публикации: 2017-10-26.

Method and apparatus for removable menu window

Номер патента: CA1308815C. Автор: William D. Atkinson. Владелец: Apple Computer Inc. Дата публикации: 1992-10-13.

Method and apparatus for producing baked goods

Номер патента: CA2862279C. Автор: Michael Hobel,Norbert Kraihamer,Peter AUGENDOPLER. Владелец: HU PRIVATSTIFTUNG. Дата публикации: 2020-06-09.

Method and apparatus for measuring the moisture content of pulp material on a wire

Номер патента: CA2960581A1. Автор: Klaus Bartelmuss. Владелец: Individual. Дата публикации: 2017-10-25.

Method and apparatus for the diagnosis of polyneuropathy syndromes

Номер патента: US5293876A. Автор: Peter KÖLTRINGER. Владелец: Individual. Дата публикации: 1994-03-15.

Method and apparatus for implementing a virtual shared world

Номер патента: WO2000069244A2. Автор: Winifred Jiau,Robert Tolar. Владелец: GRAPHIC GEMS. Дата публикации: 2000-11-23.

Method and apparatus for carrying out circuit simulation

Номер патента: US6999911B2. Автор: Hisanori Fujisawa. Владелец: Fujitsu Ltd. Дата публикации: 2006-02-14.

Methods and apparatus for term normalization

Номер патента: US20080208837A1. Автор: Xinglong Wang. Владелец: University of Edinburgh. Дата публикации: 2008-08-28.

Method and apparatus for carrying out circuit simulation

Номер патента: US20010011209A1. Автор: Hisanori Fujisawa. Владелец: Fujitsu Ltd. Дата публикации: 2001-08-02.

Method and apparatus for structuring a radiation-sensitive material

Номер патента: US20090191490A1. Автор: Heiko Feldmann. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2009-07-30.

Method and apparatus for forming insulated bulk from dried vegetal material on soil

Номер патента: HU189809B. Автор: Ebbe Korsgaard. Владелец: Ebbe Korsgaard. Дата публикации: 1986-08-28.

Stereo encoding method and apparatus, and stereo decoding method and apparatus

Номер патента: US11887607B2. Автор: Yuan Gao,Bin Wang,Eyal Shlomot. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-01-30.

Method and apparatus for implementing direct play of multi-media information

Номер патента: WO2006051504A3. Автор: Maurice Hebben,Ronald Geerlings. Владелец: Ronald Geerlings. Дата публикации: 2006-07-27.

Method and apparatus for implementing a virtual shared world

Номер патента: WO2000069244A3. Автор: Winifred Jiau,Robert Tolar. Владелец: GRAPHIC GEMS. Дата публикации: 2001-02-01.

Method and apparatus for monitoring cable stranding

Номер патента: US20050043920A1. Автор: Valter Castiglioni,Francesco Becchi,Feliciano Cecchi. Владелец: Individual. Дата публикации: 2005-02-24.

Method and apparatus for modification of a magnetic resonance actuation sequence

Номер патента: US20170123031A1. Автор: David Grodzki,Dominik Paul. Владелец: Siemens Healthcare GmbH. Дата публикации: 2017-05-04.

Method and apparatus for implementing batch system call

Номер патента: US20230376289A1. Автор: Xin Gao,Bin Fang,Hai HE,Yuzhong WEN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-23.

Method and apparatus for performing a tomographic examination of an object

Номер патента: AU2020256438A1. Автор: Federico Giudiceandrea,Enrico Ursella. Владелец: Microtec SRL. Дата публикации: 2021-05-06.

Method and apparatus for skill sharing

Номер патента: US20200242537A1. Автор: Quan Liang,Huan Tang,Liangcheng Wu,Yaowen QI. Владелец: Baidu Online Network Technology Beijing Co Ltd. Дата публикации: 2020-07-30.

Method and apparatus for performing a tomographic examination of an object

Номер патента: US20210116395A1. Автор: Federico Giudiceandrea,Enrico Ursella. Владелец: Microtec SRL. Дата публикации: 2021-04-22.

Method and apparatus for performing a tomographic examination of an object

Номер патента: ZA202006397B. Автор: Giudiceandrea Federico,URSELLA ENRICO. Владелец: Microtec SRL. Дата публикации: 2023-10-25.

Method and device for pruning and for applying a treatment liquid to the resultant cuts

Номер патента: ZA833711B. Автор: Regis Auderset. Владелец: Felco SA. Дата публикации: 1984-02-29.

Using a third party dynamic qr code on a personal mobile device to complete a transaction at an atm

Номер патента: US20240273493A1. Автор: Jeremy J. Phillips. Владелец: Capital One Services LLC. Дата публикации: 2024-08-15.

Logical event notification method and apparatus

Номер патента: CA2039164C. Автор: Darryl E. Rubin. Владелец: Microsoft Corp. Дата публикации: 1996-02-20.

Digital signal overlapped or joined recording method and apparatus

Номер патента: US5343455A. Автор: Takao Arai,Izumi Kimura,Yutaka Nagai,Toshifumi Takeuchi. Владелец: HITACHI LTD. Дата публикации: 1994-08-30.

Improved Method and Apparatus for Obtaining Stereoscopic Effect in Cinematograph Pictures.

Номер патента: GB191100840A. Автор: Antonio Calichiopulo. Владелец: Individual. Дата публикации: 1911-09-21.

APPARATUS FOR APPLYING LIQUID AND OR SPREADABLE MATERIAL ON PIECES

Номер патента: DK504777A. Автор: K Kemper. Владелец: K Kemper. Дата публикации: 1978-05-27.

Method and apparatus for depiction of medical image data

Номер патента: US20170294035A1. Автор: Christian Hofmann,Javier Pena,Nora Huenemohr. Владелец: Siemens Healthcare GmbH. Дата публикации: 2017-10-12.

Method and apparatus for detecting object position using sensor

Номер патента: US20240061529A1. Автор: Sung Hyun Park,Jun Seong SEO. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2024-02-22.

Method and apparatus for clustering and visualization of multicolor cytometry data

Номер патента: WO2009046185A3. Автор: Kevin Carter,Alfred Hero,Raviv Raich,William Finn. Владелец: William Finn. Дата публикации: 2009-07-23.

Apparatus for developing electrostatic latent images

Номер патента: US3791730A. Автор: W Sullivan. Владелец: Xerox Corp. Дата публикации: 1974-02-12.

Stuffing method and apparatus

Номер патента: CA1213780A. Автор: Joseph A. Nausedas. Владелец: Union Carbide Corp. Дата публикации: 1986-11-12.

Method and apparatus for training and applying a neural network

Номер патента: WO2020220191A1. Автор: Hui Hu,Tieyong ZENG,Hongfei YANG,Xiaofeng DING. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2020-11-05.

Method and system for reading data during control sync operations

Номер патента: US20180357010A1. Автор: Karin Inbar,Einat Lev,Michael Micha IONIN. Владелец: Western Digital Technologies Inc. Дата публикации: 2018-12-13.

Liveness test method and apparatus

Номер патента: US20180276489A1. Автор: Hao Feng,Chao Zhang,Byungin Yoo,Jingtao XU,Yaozu AN,Changkyu Choi,Jae-Joon HAN,Yanhu SHAN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-09-27.

Method and apparatus for calculating the contact position of an ultrasound probe on a head

Номер патента: EP2948923A1. Автор: Fritz Vollmer,Ingmar Thiemann. Владелец: BRAINLAB AG. Дата публикации: 2015-12-02.

Liveness test method and apparatus

Номер патента: US20200257913A1. Автор: Hao Feng,Chao Zhang,Byungin Yoo,Jingtao XU,Yaozu AN,Changkyu Choi,Jae-Joon HAN,Yanhu SHAN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-08-13.

Substrate bending stiffness measurement method and system

Номер патента: US20030126931A1. Автор: Robert Clark. Владелец: Xerox Corp. Дата публикации: 2003-07-10.

Method and apparatus for optimized presentation of complex maps

Номер патента: WO2015088693A2. Автор: Hui Chao,Saumitra Mohan Das,Jiajian Chen. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2015-06-18.

Apparatus for measuring a dimension of a material or an article electronically

Номер патента: AU4781172A. Автор: G. Maxwell M.. Владелец: KRIESLER AUSTRALASIA Pty Ltd. Дата публикации: 1974-04-26.

Method and device for indirect thin coating application

Номер патента: RU2254937C2. Автор: Жан-Ив СИМОН,ВЬЕ Данг ДАО,Габриель УБА. Владелец: Таркетт Сас. Дата публикации: 2005-06-27.

Method and apparatus for coating a decorative workpiece

Номер патента: CA2298032C. Автор: Angelo Rao,Oscar Chiarotto,Vittorino Nonis. Владелец: Individual. Дата публикации: 2000-09-05.

Method of and apparatus for scattered resisted yarns dyeing method and and apparatus for hand bundles

Номер патента: JPS5249386A. Автор: Masaji Hirai,Manzou Makita. Владелец: HIRAI SENSHIYOKU KK. Дата публикации: 1977-04-20.

Method and apparatus for measuring neutron characteristics of a material

Номер патента: MY8500258A. Автор: B Nelligan William. Владелец: Societe De Prospection Electrique Schlumberger. Дата публикации: 1985-12-31.

Method and apparatus for modifying a surface of a material by laser irradiation

Номер патента: GB9704306D0. Автор: . Владелец: British Aerospace PLC. Дата публикации: 1997-04-23.

Method and apparatus for determining and applying a gain function

Номер патента: CA907722A. Автор: S. Sullivan Douglas,G. Mathieu Paul. Владелец: Continental Oil Co. Дата публикации: 1972-08-15.

Apparatus and method for applying adhesive onto a substrate

Номер патента: IES980352A2. Автор: Daniel Glynn,Mary Bateman,Dermot Glynn. Владелец: Carterbridge Holdings Ltd. Дата публикации: 1999-03-24.

Apparatus and method for applying adhesive onto a substrate

Номер патента: IES80143B2. Автор: Daniel Glynn,Mary Bateman,Dermot Glynn. Владелец: Carterbridge Holdings Ltd. Дата публикации: 1998-06-03.

Method and picking up apparatus for catching hydrobionts

Номер патента: RU2093025C1. Автор: Л.Д. Асанов. Владелец: Асанов Лев Дмитриевич. Дата публикации: 1997-10-20.

Method and Apparatus for Providing a Layout Defining a Structure to be Patterned onto a Substrate

Номер патента: US20130037915A1. Автор: Melzner Hanno. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2013-02-14.

A mounting method and a mounting apparatus for devices with matrix alignment

Номер патента: TW201127235A. Автор: Kun-Nan Tsai,Fu-Tien Lee. Владелец: Welland Ind Co Ltd. Дата публикации: 2011-08-01.

Improved Method and Machinery or Apparatus for Extracting Gold from Crushed Ore or Material Containing it.

Номер патента: GB189611839A. Автор: Arthur Samuel Francis Robinson. Владелец: Individual. Дата публикации: 1897-05-29.

Method and Means or Apparatus for Producing Colour Effects.

Номер патента: GB189324814A. Автор: Alexander Wallace Rimington. Владелец: Individual. Дата публикации: 1895-03-23.

Method and Means or Apparatus for Facilitating the Combustion of Acetylene Gas.

Номер патента: GB189609630A. Автор: Camille Coppeaux. Владелец: Individual. Дата публикации: 1897-05-06.

METHODS AND APPARATUS OF ARC PREVENTION DURING RF SPUTTERING OF A THIN FILM ON A SUBSTRATE

Номер патента: US20120000767A1. Автор: Halloran Sean Timothy. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Method and apparatus for measuring neutron characteristics of a material

Номер патента: CA858665A. Автор: B. Nelligan William. Владелец: Schlumberger Canada Ltd. Дата публикации: 1970-12-15.

Method and apparatus for extracting a compound from a material

Номер патента: GB202212669D0. Автор: . Владелец: Berchie Derek Osei. Дата публикации: 2022-10-12.

Apparatus for applying a photosensitive emulsion, for example, on a celluloid film

Номер патента: SU107325A1. Автор: Н.Н. Чекушкин,З.Ф. Шакиров. Владелец: З.Ф. Шакиров. Дата публикации: 1956-11-30.

Apparatus for the continuous transfer of a material rope from a pile to a following device

Номер патента: CA967905A. Автор: Ernst Weichel. Владелец: Individual. Дата публикации: 1975-05-20.

Apparatus for delivering predetermined weights of a material

Номер патента: CA744478A. Автор: W. L. Clamp David. Владелец: Joseph Lucas Industries Ltd. Дата публикации: 1966-10-11.

Apparatus for removing a product from a material exchange column

Номер патента: CA700059A. Автор: Frolich Martin. Владелец: Sulzer Freres SA. Дата публикации: 1964-12-15.